KR101385709B1 - 알루미늄 질화물의 사용에 의한, 마이크로구조 디바이스 내의 구리 기반 금속화 구조들의 신뢰성 개선 - Google Patents

알루미늄 질화물의 사용에 의한, 마이크로구조 디바이스 내의 구리 기반 금속화 구조들의 신뢰성 개선 Download PDF

Info

Publication number
KR101385709B1
KR101385709B1 KR1020097018207A KR20097018207A KR101385709B1 KR 101385709 B1 KR101385709 B1 KR 101385709B1 KR 1020097018207 A KR1020097018207 A KR 1020097018207A KR 20097018207 A KR20097018207 A KR 20097018207A KR 101385709 B1 KR101385709 B1 KR 101385709B1
Authority
KR
South Korea
Prior art keywords
layer
dielectric
copper
forming
aluminum
Prior art date
Application number
KR1020097018207A
Other languages
English (en)
Other versions
KR20090115190A (ko
Inventor
크리스토프 스트렉
볼커 카러트
Original Assignee
글로벌파운드리즈 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 글로벌파운드리즈 인크. filed Critical 글로벌파운드리즈 인크.
Publication of KR20090115190A publication Critical patent/KR20090115190A/ko
Application granted granted Critical
Publication of KR101385709B1 publication Critical patent/KR101385709B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C23C16/303Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76867Barrier, adhesion or liner layers characterized by methods of formation other than PVD, CVD or deposition from a liquids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

자기 제한적 공정 시퀀스에 의해 알루미늄 질화물층(106)을 형성함으로써, 층 스택의 전체적인 유전율을 낮은 레벨로 유지하면서도, 구리 기반 금속화층(copper-based metallization layer)의 인터페이스 특성들이 현저히 개선될 수 있다.

Description

알루미늄 질화물의 사용에 의한, 마이크로구조 디바이스 내의 구리 기반 금속화 구조들의 신뢰성 개선{INCREASING RELIABILITY OF COPPER-BASED METALLIZATION STRUCTURES IN A MICROSTRUCTURE DEVICE BY USING ALUMINUM NITRIDE}
본 개시의 내용은 일반적으로 집적 회로의 형성에 관한 것으로, 보다 상세하게는, 금속의 전자이동(electromigration) 성능 향상을 위해 장벽 물질을 포함하는 유전체 물질 내부에 매립되는 구리와 같은 고 전도성 금속들을 포함하는 금속화층들을 형성하는 것에 관한 것이다.
현재의 집적 회로들에서, 전계 효과 트랜지스터들의 채널 길이등의 최소 피쳐 사이즈(feature size)는 마이크론 미만의 범위까지 도달했으며, 이에 의해 속도 및/또는 전력 소비와 관련된 이들 회로들의 성능이 꾸준하게 향상되고 있다. 개별 회로 소자들의 사이즈가 현저하게 감소됨에 따라, 예를 들어, 트랜지스터 소자들의 스위칭 속도가 개선되며, 개별 회로 소자들을 전기적으로 연결하는 배선들을 위한 사용가능한 바닥 면적(floor space) 또한 감소된다. 결과적으로, 사용가능한 바닥 면적의 감소량에 대해 보상하기 위하여, 그리고 단위 면적당 제공되는 회로 소자들의 수를 증가시키기 위하여, 이러한 배선들의 치수(dimension)가 감소되어야 한다.
대략 0.35μ이하의 최소 치수를 갖는 집적 회로들에서, 디바이스 성능의 제약 요소는 트랜지스터 소자들의 스위칭 속도에 의해 야기되는 신호 전파 지연(signal propagation delay)이다. 이제 이 트랜지스터 소자들의 채널 길이가 50nm 이하에 도달함에 따라, 신호 전파 지연은 더 이상 전계 효과 트랜지스터들에 의해 제한되지 않으며, 증가된 회로 밀도로 인하여, 배선 라인들에 의해 제한되는데, 이는 트랜지스터들의 단면적 감소로 인하여 라인간 커패시턴스(C)가 증가되고, 라인들의 저항(R)이 또한 증가되기 때문이다. 그러므로, 기생 RC 시정수(parastic RC time constant)들은 금속화층을 형성하기 위한 새로운 종류의 물질의 도입을 필요로 한다.
일반적으로, 금속화층들(즉, 특정 회로 레이아웃에 따라 회로 소자들의 전기적 연결을 제공하기 위한 금속 라인들 및 비아들을 포함하는 배선층(wiring layer)들)은, 예를 들어, 전형적인 금속으로서 알루미늄과, 실리콘 이산화물 및/또는 실리콘 질화물을 포함하는 유전체 층 스택에 의해 형성된다. 극도로 스케일된 피쳐 사이즈를 지닌 집적 회로들에서 필요할 수 있는 고 전류 밀도에서, 알루미늄은 현저한 전자이동(electromigration)을 겪으므로, 알루미늄은 예를 들어, 전자이동에 대한 보다 높은 저항력과 보다 낮은 전기 저항을 갖는 구리로 대체되고 있다. 매우 정교한 애플리케이션들에서, 구리 및/또는 구리 합금들을 사용하는 것에 부가하여, 확립되고 잘 알려진 유전체 물질들인, 실리콘 이산화물(k=4.2)과 실리콘 질화물(k>5)은, 대략 3.0 이하의 상대 유전율(relative permittivity)을 갖는 소위 낮은 k(low-k) 유전체 물질들로 대체될 수 있다. 그러나, 잘 알려지고 확립된 알루미늄/실리콘 이산화물 금속화층으로부터 구리 기반(copper-based)의 금속화층(가능하게는, 낮은 k 유전체 물질과 결합한)으로 변환하는 것은 처리되어야할 복수의 문제점들을 수반한다.
예를 들어, 구리는 화학적 그리고 물리적 기상 증착과 같은 확립된 증착 방법들에 의해서는 효율적인 방식으로 상대적으로 많은 양이 증착되지 않을 수 있다. 더우기, 구리는 확립된 이방성 식각 공정들에 의해 효과적으로 패턴되지 않을 것이다. 그러므로, 소위 다마신(damascene) 또는 인레이(inlaid) 기법이 구리 라인들 및 비아들을 포함하는 금속화 층들을 형성하는데 있어서 빈번하게 사용된다. 일반적으로, 다마신 기법에서, 유전체층이 증착되고, 상기 유전체층은, 후속적으로 전기도금(electroplating) 또는 무전해 도금(electroless plating)과 같은 도금 방법들에 의해 구리 또는 구리의 합금들로 충전(filling)될 트렌치들과 비아 개구들을 수용하기 위하여 패턴된다. 또한, 구리는 실리콘 이산화물과 같은 복수의 유전체들 내에서 그리고 낮은 k 유전체들 내에서 쉽게 확산되므로, 이웃하는 유전체 물질과의 인터페이스들에 확산 장벽층을 형성하는 것이 요구될 수 있다. 또한, 구리 기반 금속 내부로 수분 및 산소가 확산되는 것이 억제되어야하는바, 이는 구리가 쉽게 반응하여 산화된 부분들을 형성함으로써, 접착, 전도성, 그리고 전자이동에 대한 저항과 관련된 구리 기반 금속 라인의 특성들을 저하시키킬 수 있기 때문이다.
금속 영역의 전체적인 전도성을 과도하게 감소시키지 않기 위하여, 트렌치들의 내부 측벽 영역들을 덮기 위하여 전도성 장벽 물질들이 일반적으로 사용되며, 유전체 장벽 물질들은 일반적으로 캡 층들 또는 유전체 장벽 층들로서 사용된다. 상기 캡층들 또는 유전체 장벽 층들은 또한, 다음의 더 높은 금속화 레벨의 금속 영역으로부터 신장되는 비아에 의해 상기 금속 영역에 접촉부를 형성하기 위한 식각 공정에서, 효과적인 식각 정지 물질로서 작용할 수 있다. 예를 들어, 실리콘 질화물은 효과적인 구리 확산 장벽으로 알려져 있으며, 예를 들어, 유전체 장벽층으로서 사용될 수 있다. 다른 경우들에서는, 실리콘 질화물의 적절하게 높은 유전율이 부적절하게 여겨질 때, 질소 농축(nitrogen-enriched) 실리콘 카바이드(SiCN)가 구리 확산 장벽으로서 빈번하게 사용된다. 실리콘 질화물 장벽 층들 및 실리콘 카바이드 기반 장벽 층들의 확산 방해 효과에도 불구하고, 그러나, 전류 유도 물질 이동(electric current induced material transport)(전자이동) 또는 다른 스트레스 유도(stress-induced) 물질 이동 효과들에 대한 구리의 내성(resistance)은, 구리 기반 금속과 인접 유전체 장벽층 사이의 인터페이스 특성들에 매우 의존적이다. 그러므로, 일반적으로, 높은 전류 밀도 특징을 지닌 정교한 집적 회로들에서, 요구되는 높은 접착성 및, 그로 인한 전자이동 또는 스트레스 유도 질량 이동(stress-induced mass transport)과 관련된 고성능을 달성하게끔 구리기반 금속과 유전체 장벽층 사이의 인터페이스를 설계하는 것이 중요하다.
그러므로, 개선된 디바이스 성능, 즉, 금속화층 내의 유전체 물질들의 낮은 전체 유전율과 함께 보다 나은 신뢰성, 즉, 보다 나은 전자이동 특성을 얻기 위하여, 이러한 관점에서 복수의 기법들이 제시되고 실행되어 왔다. 그러므로, SiN, SiC, SiCN 등과 같은 많은 물질들, 그리고 이러한 물질들의 다양한 화합물들도 유전체 장벽 물질로서 사용될 수 있다. 그러나, 구리, 산소, 수분등과 관련하여 요구되는 확산 차단 특성들을 제공하는 많은 유전체 물질들은 일반적으로 적당하게 높은 k 값을 가지므로, 두가지 요구사항들(즉, 보다 나은 전자이동 특성 및 낮은 k 특성)을 모두 만족시키기는 매우 어려운것으로 밝혀졌다. 또한, 구리 표면은 노출후에 매우 반응적일 수 있으며, 일반적으로, 동작 중에 물질 이동을 위한 확산 경로들을 제공할 수 있고 장벽 물질의 접착을 감소시킬 수도 있는 구리 산화물 잔여물을 제거하기 위하여, 유전체 장벽 물질의 증착 전에 각각의 처리들을 필요로 할 수 있다. 각각의 증착전(pre-deposition) 처리는, 그러나, 구리 표면상에 현저한 영향을 미침으로써, 추가적인 공정 및/또는 디바이스 동작 중 구리의 성능 저하에 기여한다. 그러므로, 구리 기반 물질의 과도한 손상을 방지하기 위하여, 덜 과격한 처리들이 제시되어왔다. 이러한 관점에서, 구리 실리사이드 또는 질소 함유(nitrogen-containing) 구리 실리사이드(CuSiN)가, 결과적으로 인터페이스를 매우 안정적이게 함으로써 해당 금속 영역에 증가된 내성을 주며, 따라서 전자이동 및 다른 스트레스 유도 대용량 이동 효과들에 관한 신뢰성을 주는 효과적인 합금으로서 확인되었다. 그러므로, 일부 종래의 기법들에서, 구리 표면은, 실리콘 함유(silicon-containing) 전구체로서 실란(SiH4)를 포함할 수 있는 플라즈마 어시스트 가스 분위기(plasma assisted gas ambient) 및 질소-함유 가스와 같은 반응성 가스 분위기에 노출되며, 그러므로써 특정 분율의 질소가 있는(with a specific fraction of nitrogen) 구리 실리사이드를 생성한다. 보통, 노출된 구리 인터페이스에서 질소 함유 구리 실리사이드(CuSiN) 물질을 형성하기 위한 공정은, 실리콘 질화물, 질소 농축(nitrogen-enriched) 실리콘 카바이드 또는 그것의 혼합물들과 같은 장벽 물질의 플라즈마 증진 화학적 기상 증착(PECVD) 직전에 수행되며, 여기서, 질소 함유 구리 실리사이드(CuSiN) 합금의 후속적인 형성 및 유전체 장벽 물질의 증착에 대해 구리 표면을 준비하기 위하여, 플라즈마 증진 공정들 및 이와 유사한 공정과 같은 각각의 세정 공정들이 수행될 수 있다. 그러므로, 구리 표면과 상호작용할 수 있으며 따라서 결과적으로 표면 조건들을 복잡하게 할 수 있는 복수의 정교한 공정들이 수반될 수 있으며, 따라서, 질소 함유 구리 실리사이드(CuSiN) 물질을 형성하는 중에 정밀하게 제어되는 공정 조건들이 필요 할 수 있다. 미세한 공정 조건들의 변화도 결과적인 질소 함유 구리 실리사이드(CuSiN) 물질의 혼합물에는 현저한 차이점들을 야기할 수 있으며, 그로 인하여 표면 부근의 구리 특성을 저하시킬 수 있다. 그러므로, 질소 함유 구리 실리사이드(CuSiN)가 구리 기반 금속화 구조체의 성능을 향상시키기 위한 유망한 후보임에도 불구하고, 예를 들어, 전구체 물질들의 약간의 불균형이 결과적으로 금속 라인의 예기치못한 성능 특성들을 야기할 수 있으므로, 상기 공정은 제어하기가 어렵다.
본 개시는 위에서 정의된 문제점들 중 하나 이상의 영향을 방지하거나 적어도 감소시킬 수 있는 다양한 방법들 및 디바이스들에 관한 것이다.
본 발명의 몇가지 양상들의 기본적인 이해를 제공하기 위하여, 본 발명의 개략적인 개요가 하기에서 제공된다. 본 개요는 본 발명을 전반적으로 개관(overview)하는 것은 아니다. 본 개요는 본 발명의 핵심 또는 중요한 요소들을 식별하거나 본 발명의 범주를 경계짓는 것으로 의도된 것이 아니다. 본 개요의 목적은 오로지 나중에 논의되는 보다 상세한 설명의 서두로서, 일부 개념들을 개략적으로 제시하기 위한 것이다.
일반적으로, 본 개시의 내용은 구리 함유 금속 영역(copper-containing metal region)과 같은 노출된 금속 함유 영역(metal-containing region) 위에 효과적인 유전체 장벽층을 형성하기 위한 기법에 관한 것이며, 여기서, 유전체 물질 및 금속 영역 위에 연속적인 물질 필름을 생성하기 위하여, 자기 제한적(self-limiting) 증착 기법들이 사용될 수 있다. 화학적인 결합들 기반의 고도로 제어가능한 성장 메커니즘으로 인하여, 접착성이 개선될 수 있으며, 적절한 물질들과 함께, 효과적인 확산 차단 특성들 또한 제공될 수 있고, 그로 인해 잠재적으로 추가의 유전체 물질들을 생략할 수 있게 되거나 적어도 그것의 두께를 현저하게 감소시킬 수 있게 된다. 결과적으로, 유전체층 스택의 감소된 기생 커패시턴스의 관점에서 우수한 성능 특성들이 얻어진다. 일부 양상들에서, 원자층 증착(ALD)과 같은 공정을 기반으로 형성된 유전체 장벽층은 알루미늄과 니트라이드를 포함하는 층일 수 있으며, 이 층은 알루미늄 니트라이드 층으로 지칭될 것이고, 구리 확산 차단 특성들을 제공할 것이며, 또한 산소와 수분에 관하여 우수한 게터(getter) 성능을 가질 수 있다. 그러므로, 감소된 상대 유전율 및 각각의 확산 차단 특성들과 함께 자기 제한적 기법을 바탕으로 한 우수한 증착 공정 제어는 진보된 반도체 디바이스들에서 금속화 구조체들의 신뢰성을 현저히 개선하는데 기여한다.
일 예시적인 실시예에 따라, 마이크로구조 디바이스의 유전체층 내에 형성된 금속 영역의 노출 표면 위에 질소 함유층을 형성하는 단계를 포함하는 방법이 제시된다. 상기 방법은 상기 금속 영역 위에 알루미늄 및 질소 함유 제1 장벽층을 형성하기 위하여, 알루미늄 함유 가스 기반으로 확립된 분위기에 질소 함유층을 노출시키는 단계를 더 포함한다.
또 다른 예시적인 실시예에 따르면, 그 위에 마이크로구조 디바이스의 유전체층 내에 형성된 노출된 구리 함유 금속 영역이 형성되어 있는 기판을 제공하는 단계를 포함하는 방법이 제시된다. 상기 방법은 가스 분위기(gaseous ambient) 내에서 수행되는 자기 제한적 반응 메커니즘을 사용하여, 노출된 구리 함유 금속 영역 및 유전체층 위에 유전체 장벽층을 형성하는 단계를 더 포함한다.
또 다른 예시적인 실시예에 따르면, 반도체 디바이스는 유전체층 내에 형성된 구리 함유 영역과 상기 구리 함유 영역 및 상기 유전체층 위에 형성된 알루미늄 니트라이드층을 포함한다. 또한, 낮은 k 유전체층이 알루미늄 니트라이드층 위에 형성되며, 낮은 k 유전체층 내에, 구리 함유 영역에 연결되는 금속 비아가 형성된다.
본 개시는 유사한 참조 부호들이 유사한 요소들을 나타내는 첨부의 도면들과 함께 하기의 설명을 참조로하여 이해될 수 있다.
도 1a 내지 1e는 예시적인 실시예들에 따라, 알루미늄 함유 프리커스 가스를 사용하는 자기 제한적 공정을 바탕으로, 금속 함유 영역 상에 유전체 장벽층을 형성하는 다양한 제조 단계들 동안의 마이크로구조 디바이스를 도시한다.
도 1f는 예시적인 실시예들에 따라, ALD 유사(ALD-like) 증착 공정의 증착 사이클 횟수에 의해 제어되는 특정한 두께를 지닌 유전체 장벽층이 위에 형성된 마이크로구조 디바이스를 도시한다.
도 1g는 추가적인 예시적 실시예들에 따라, 마이크로구조 디바이스를 개략적을 도시한다.
도 1h는 또 다른 예시적인 실시예들에 따른 복수의 개별적인 유전체 장벽층들을 포함하는 마이크로구조 디바이스를 도시한다.
도 2a 및 2b는 다른 예시적인 실시예들에 따라, 알루미늄 니트라이드층을 수용하기 위해, 각각의 유전체 물질을 준비하고 구리 함유 영역을 형성하는 다양한 제조 단계 동안의 마이크로구조 디바이스의 단면도를 도시한다.
도 2c는 예시적인 실시예들에 따라, 실질적으로 균일한 구리 산화 표면을 제공하기 위한 처리 공정 동안의 마이크로구조 디바이스의 단면도를 도시한다.
도 3a 및 3b는 또 다른 예시적인 실시예들에 따라, 알루미늄 및 니트라이드 함유 장벽층 위에 추가적인 장벽층 또는 식각 정지층을 형성할 때의 단면도 및 평면도를 각각 개략적으로 도시한다.
도 4a 내지 4b는 또 다른 예시적인 실시예들에 따라, 자기 제한적 공정에 따라 형성된 유전체 장벽층을 바탕으로한 금속화층을 포함하는 반도체 디바이스의 단면도를 개략적으로 도시한다.
본 명세서에서 개시된 내용의 다양한 변형들 및 대안적인 형태들이 가능하지만, 그것의 특정한 실시예가 도면에 예로서 도시되었으며, 본 명세서에서 상세하게 설명된다. 그러나, 특정한 실시예들에 대한 본 명세서의 설명은 본 발명을 개시된 특정한 형태들로 제한하려 의도된 것이 아니며, 본 발명의 범주 및 정신에 부합하는 모든 수정, 등가, 및 대체를 첨부된 청구항들에 의해 한정된 것으로서 포함하기 위한 것이다.
본 발명의 다양한 실시예들이 하기에서 설명된다. 명료성을 위하여, 본 상세한 설명에서 실제 구현예들의 모든 피쳐들이 설명되지는 않는다. 물론, 임의의 그러한 실제 실시예의 개발에서, 구현예들 마다 다른 시스템 또는 비지니스 관련 제약들에 대한 호환성과 같은 개발자의 구체적인 목표를 달성하기 위해서는, 다수의 구현별 특정(implementation-specific) 결정들이 이루어져야 하는 것을 알아야 한다. 또한, 그러한 개발 노력은 복잡하고 시간 소모적일 것이나, 그럼에도 불구하고 본 개시의 이점을 갖는 당업자들에게는 일상적인 일일 것이다.
본 명세서의 내용이 이제 첨부의 도면을 참조하여 설명될 것이다. 다양한 구조들, 시스템 및 디바이스들이 단지 예시의 목적으로, 그리고 당업자들에게 잘 알려진 세부사항들로 본 개시를 모호하게 하기 않기 위하여 도면에 개략적으로 표현된다. 그러나, 본 개시의 예시적인 실시예들을 설명하고 묘사하기 위하여 첨부의 도면들이 포함된다. 본 명세서에서 사용된 단어 및 표현들은 그 단어 및 표현들이 당업자에 의해 이해되는 것과 같은 의미를 가지도록 이해되고 해석되어야 한다. 본 명세서에서의 용어 또는 구의 일관된 사용에 의해, 용어 또는 구의 특정 정의, 즉, 당업자들에 의해 이해되는 것과 같은 보통의 관습적인 의미들과 다른 정의가 의도 되지는 않는다. 용어 또는 구가 특정 의미, 즉, 당업자에 의해 이해되는 것과 다른 의미를 가지는 한, 그러한 특정한 정의는, 직접적이고 명확하게 그 용어 또는 구의 특정 정의를 제공하는 정의적인 방식으로 본 명세서에서 명백히 설명될 것이다.
일반적으로, 본 개시의 내용은, 금속화층 각각의 유전체층 스택에 전체적인 낮은 유전율이 제공됨에도 불구하고, 우수한 전자이동 특성을 제공하여, 금속화 구조체들의 신뢰성이 개선될 수 있는 반도체 디바이스들 및 그 방법에 관한 것이다. 이를 위하여, 우수한 접착 특성들과 함께 증착 공정의 개선된 제어가능성을 달성하도록, 적절한 유전체 물질들을 기반으로한 정교한 증착 기법들이 사용될 수 있으며, 사용된 물질 종류는 감소된 유전율을 보이거나, 또는 적어도 유전체층 스택 내에 형성된 높은 확산성을 지닌 구리 기반 물질들 또는 다른 물질들을 신뢰적으로 한정시키기 위하여 요구될 수 있는 적절하게 높은 유전율을 지닌 종래의 유전체들의 양을 줄일 잠재성을 제공할 것이다. 그러므로, 잘 제어가능한(well-controllable) 증착 기법을 사용하여, 적절한 물질 조성과 함께, 잘 정의된(well-defined) 인터페이스 특성들이 확립될 수 있으며, 그러므로, 우수한 전자이동 특성에 기여한다. 또한, 일부 양상들에서, 자기 제한적 증착 공정은, 추가적인 장벽층 또는 식각 정지층 또는 낮은 k 유전체 물질과 같은 추가적인 유전체 물질들의 증착과 유익하게 결합될 수 있으며, 이에 의해 공정 복잡성을 감소시키는 데에 기여하고, 또한, 대응하는 자기 제한적 공정 활성화에서 높은 수준의 유연성을 제공한다. 즉, 잘 확립된(well-established) 화학 기상 증착(CVD) 공정 툴들이 사용될 수 있으며, 여기서, 대응하는 증착 공정을 활성화시키기 위하여, 적절한 플라즈마 어시스트 가스 분위기가 확립될 수 있다. 다른 경우들에서는, 예를 들어, UV 방사선, x-ray 방사선 등과 같은 방사선을 사용하는 임의의 다른 적합한 활성화 기법들이 사용될 수 있다. 또한, 전자빔 어시스트(electron beam-assisted) ALD와 같은 증착 공정들이 사용될 수 있다. 설명의 측면에서, 알루미늄 니트라이드층이 노출된 구리 함유 표면들 위에 형성될 수 있으며, 여기서, 산소 및 수분 흡수 성능과 같은 알루미늄 니트라이드 물질 각각의 특징들이 상기 구리 함유 표면에 개선된 무결성(inegrity)을 제공할 수 있다. 여기서, 알루미늄 니트라이드 물질은 구리 확산 차단 성능도 제공하는데, 이는 임의의 추가적인 유전체 장벽층 각각의 필요성들을 현저히 완화시켜주거나, 심지어 추가적인 장벽 물질을 생략할 수 있게 해줄 수 있으며, 증가된 상대 유전율을 보일 것이다. 또한, ALD 유사 증착 공정을 바탕으로, 대응하는 알루미늄 니트라이드층이 적절하게 준비된 유전체 표면들 위에 또한 형성될 수 있으며, 이에 의해 알루미늄 니트라이드충의 개선된 접착을 제공하며, 그러므로, 특히 낮은 k 유전체 물질들이 사용될 때, 결과적으로 고려되는 금속화 레벨의 기계적 안정성을 개선시킬 수 있다.
본 명세서에서 개시된 기법들은 구리 기반의 금속화 구조들을 필요로하는 진보된 집적 회로들과 같은 진보된 마이크로구조 디바이스들의 맥락에서 매우 유익하며, 여기서 구리 함유 금속과 주변 유전체 물질과의 적어도 일부 인터페이스들은,금속화 구조의 신뢰성을 개선시키고 그럼으로써 또한 추가적인 디바이스 스케일링에 대한 가능성을 제공하기 위하여, 전자이동과 같은 스트레스 유도 질량 이동 현상 측면에서 개선된 표면 특성들을 요구할 것이다. 그러나, 개시된 기법들은 또한 고도로 제어가능한 방식으로, 노출된 구리 기반의 표면들 내의 효과적이고 신뢰가능한 장벽층들이 요구될 수 있는 마이크로구조 디바이스들을 형성하기 위한 임의의 다른 공정들에 적용될 수 있다. 그러므로, 상세한 설명 또는 첨부된 청구항들에서 특별히 지적되지 않는 한, 본 개시의 내용은 반도체 디바이스들의 금속화 구조체들에 한정되는 것으로 여겨져서는 안된다.
도 1a는 마이크로구조 디바이스(100)의 단면도를 개략적으로 도시하는바, 상기 마이크로구조 디바이스는 기판(101)을 포함하며, 상기 기판은 구리 함유 금속들과 같은 고 전도성 금속 영역들을 필요로하는 마이크로구조 피쳐들을 그 위 또는 아래에 형성하기 위한 임의의 적합한 캐리어 물질을 나타낼 수 있다. 예를 들어, 마이크로구조 디바이스(100)는 기판(101) 위에 형성된 반도체 디바이스를 나타낼 수 있으며, 상기 기판은 그러므로 캐리어 물질을 나타낼 수 있으며, 상기 캐리어 물질 위에는, 내부에 트랜지스터, 커패시터등과 같은 각각의 회로 소자들을 형성하기 위한 적절한 반도체 층이 형성된다. 디바이스(100)는 유전체층(102)을 포함할 수 있으며, 상기 유전체층(102)은 마이크로구조 디바이스들의 제조에 일반적으로 사용되는 것과 같은 임의의 적절한 물질들로 구성될 수 있다. 예를 들어, 유전체층(102)은 실리콘 다이옥사이드, 실리콘 니트라이드, 실리콘 옥시니트라이드등을 포함할 수 있으며, 도시된 실시예들에서, 예를 들어, 진보된 집적 회로들이 고려될 때, 유전체층(102)은 낮은 k 유전체 물질을 포함할 수 있다. 낮은 k 유전체 물질은 3.0 이하의 상대 유전율을 가지는 물질로서 이해되어야 한다. 유전체층(102)은 그 내부에 금속 영역(103)이 형성되어 있으며, 일 실시예에서, 상기 금속 영역(103)은 적어도 하나의 노출 표면(103S)(즉 층(102)의 유전체 물질에 의해 덮이지 않는 표면) 을 갖는 구리 함유 물질을 나타낸다. 선행한 공정 기법에 따라, 상기 노출 표면(103S)은 산소, 플루오린등과 같은 각각의 반응성 요소들과 접촉하는 동안 형성되었을 수 있는 산화 물질 또는 다른 오염물들을 일정량 포함할 수 있다. 앞서서 설명된 바와 같이, 구리는 실리콘 이산화물과 같은 복수의 유전체 물질들, 그리고 또한 복수의 낮은 k 유전체 물질들 내부로 쉽게 확산되므로, 공정 기법에 따라, 금속 영역(103) 내에 구리를 신뢰성 있게 한정(confinement)시키기 위하여, 일반적으로 각각의 전도성 장벽층들 및 유전체 장벽층들이 필요할 수 있다. 예를 들어, 도시된 실시예에서, 상기 영역(103) 내에 구리를 한정시키기 위하여, 예를 들어, 탄탈륨, 탄탈륨 질화물, 티타늄, 티타늄 질화물등의 형태로 해당 전도성 장벽 물질(103A)이 제공될 수 있다. 유사하게, 금속 영역(103)을 한정시키기 위한 장벽 물질은, 유전체층(102) 내에 소량으로 존재할 수 있는 산소, 플루오린등과 같은 반응성 성분들에 관하여 또한 확산 차단 특성들을 제공해야할 것이다. 유사하게, 노출 표면(103S)은 일반적으로, 도시된 실시예들에서 실질적인 절연 장벽 물질의 형태로 제공될 수 있는, 적절한 장벽 물질에 의해 덮여야 하는바, 이는 나중에 보다 상세하게 설명될 바와 같이, 추가적인 프로세싱 동안 상기 영역(103) 내에 금속을 한정시키고 또한 금속 영역(103)에 대한 각각의 접촉부들을 형성할 때에 어느 정도의 식각 선택성을 제공하기 위해서 이다. 앞서서 설명된 바와 같이, 실리콘 카바이드, 실리콘 니트라이드 또는 심지어 전도성 장벽 물질들과 같은 적절한 종(species)으로 노출 표면(103S)을 선택적으로 덮기 위하여 많은 기법들이 제시되어왔으나, 그러한 기법들에서는, 기계적 안정성이 감소되고 공정 제어가 나빠질 수 있다. 본 명세서에서 개시된 기법들에 따르면, 나중에 설명될 바와 같이, 고도로 제어가능한 증착 공정을 기반으로, 적절한 실질적인 절연 장벽 물질이 노출 표면(103) 및 유전체층(102) 위에 제공될 수 있다.
도 1a에 도시된 것과 같은 마이크로구조 디바이스(100)는 임의의 잘 확립된 공정 기법들을 바탕으로 형성될 수 있으며, 정교한 애플리케이션들에서, 100nm 이하의 측방 치수를 가질 수 있는 각각의 개구를 형성하기 위하여, 예를 들어, 유전체층(102)이 임의의 적합한 증착 공정을 바탕으로 형성될 수 있고, 후속적으로 적절한 식각 기법들과 함께 포토리쏘그래피와 같은 리쏘그래피를 바탕으로 패턴될 수 있다. 예를 들어, 각각의 트렌치는 100nm 이하의 폭을 가지고 유전체층(102)내에 형성될 수 있으며, 예를 들어, 반도체 디바이스의 금속화층의 각각의 금속 라인들이 고려될 때, 각각의 트렌치의 길이는 몇십 마이크로미터 범위내에 있을 수 있다. 이후, 예를 들어, CVD, 물리적 기상 증착(PVD), ALD, 전기화학적 증착 기법등과 같은 잘 확립된 증착 기법들을 바탕으로 장벽 물질(103A)이 증착될 수 있으며, 여기서, 상기 장벽 물질(103A)의 해당 물질 조성들은 접착 및 장벽 특성들과 관련하여 선택될 수 있다. 다음으로, 금속 영역(103)의 물질, 즉, 예시적인 실시예들에서, 구리 함유 금속이 예를 들어, 습식 화학 증착 기법을 바탕으로 제공될 수 있으며, 여기서 후속적인 공정 단계에서 평탄화될 필요가 있을 수 있는 실질적으로 평탄하지 않은 표면 토포그래피(topography)가 생성될 수 있다. 장벽 물질, 구리 함유 금속등과 같은, 이전에 수행된 공정들로부터 발생된 어떠한 과잉 물질을 제거하기 위하여, 예를 들어, 화학적 기계적 연마(CMP)가 빈번하게 사용될 수 있다. 해당 평탄화 공정동안, 노출 표면(103S)이 형성될 수 있으며, 여기서 반응성 성분들에 대한 접촉은 결과적으로 표면 오염을 야기할 수 있다.
진보된 반도체 디바이스들에서, 금속 영역(103)은 동작중에, 열 소산(heat dissipation)에 의해 야기된 열 스트레스와 함께, 극도로 높은 전류 밀도를 수용해야 하며, 이는 상기 영역(103) 내에 스트레스 유도 질량 이동을 초래할 수 있다.
금속 라인들내에서의 스트레스 유도 물질 이동 현상의 중요한 한가지 양상으로서, 전자이동은 금속 함유 영역 내에서의 원자 이동으로서 이해될 수 있으며, 여기서 금속 원자들의 이동은 전도 전자들로부터의 모멘텀 전이에 의해 야기된다. 구리 기반 금속 영역에서, 전자이동은 실질적으로 인터페이스 및 표면 확산에 의해 야기된다. 결과적으로, 위에 추가적인 공정동안 추가의 물질이 형성되어야 하는 표면(103S)에 개선된 표면 특성들을 제공하는 것은 매우 중요하다. 여기서, 각각의 인터페이스 특성들이 상기 금속 영역(103)의 성능 및 신뢰성을 상당부분 결정할 수 있다. 일반적으로, CMP 공정과 같은 선행 제조 공정들 동안, 노출 표면(103S)이 수분, 산소, 플루오린등과 같은 반응성 성분들과 접촉하게 될 수 있으며, 이는 결과적으로 표면(103S) 위에 구리 산화 오염물들이 형성되게 한다. 그러므로, 구리 산화물은 각각의 확산 경로들을 제공하는 것으로 잘 알려져 있으며, 따라서, 동작 중에, 상기 영역(103)의 증가된 전자이동으로 인한 열화가 관찰될 수 있다. 그러므로, 표면(103S)위에 각각의 장벽 물질을 형성하기 전에, 상기 표면(103S)을 세정하는 것이 중요할 수 있다. 많은 종래의 기법들에서, 표면(103S)으로부터 구리 산화물을 제거하기 위하여, 플라즈마 기반(plasma-based) 세정 공정이, 실리콘 니트라이드, 실리콘 카바이드등과 같은 공인된 유전체 장벽 물질들의 증착에 선행할 수 있다. 그러나, 해당 플라즈마 기반 세정 공정은 표면 변형들을 야기할 수 있으며, 그러므로, 표면(103S)을 손상시킬 수 있고, 이는 결과적으로 표면(103S) 및 더 형성될 유전체 장벽 물질 사이의 인터페이스를 덜 안정적이게 할 수 있다.
그러므로, 일 예시적인 실시예에 따라, 표면(103S) 위의 임의의 구리 산화물 영역들을 현저히 감소시키기도록, 표면(103S)을 제거하거나 적어도 변형하기 위하여, 플라즈마 없이 처리(104)가 수행될 수 있다. 일 예시적인 실시예에서, 상기 처리(104)는, 상기 표면(103S) 내의 구리 산화물을 제거하기 위하여, 헬륨과 같은 적절한 캐리어 가스와 함께, 질소 함유 가스를 기반으로 수행될 수 있다. 예를 들어, 구리 산화물 종들과의 화학적 반응을 시작하기 위하여 헬륨과 함께 암모니아(NH3)가 사용될 수 있으며, 여기서 해당 기판 온도는 실온으로부터 대략 500℃ 까지의 온도 범위에 있을 수 있다. 해당 화학적 반응은 다음의 식에 의해 설명될 수 있다.
CuXOY + NH3 → CuN(H) + H2O + N2
결과적으로, 구리 산화물이 각각의 NH 그룹들을 포함하는 구리 질화물로 효과적으로 변환될 수 있다. 플라즈마가 없기 때문에, 즉, 공정(104)은 온도로 인한 반응으로서 고려될 수 있으며, 노출 표면(103S) 내의 각각의 표면 손상이 실질적으로 방지될 수 있다. 더욱이, 유전체층의 표면(102)은 처리(104)에 의해 실질적으로 영향받지 않을수 있으며, 그러므로 후속적인 공정 단계들에서 우수한 층(102) 표면 특성들을 유지한다.
도 1b는 처리(104) 후의 마이크로구조 디바이스(100)를 개략적으로 도시한다. 그러므로, 표면(103S)은 그 내부에 구리 질화물이 형성되었을 수 있으며, 여기서 각각의 NH 그룹들이 그 표면에 존재할 수 있는바, 이는 후속적인 자기 제한적 증착 공정 동안 각각의 화학적 결합들을 제공할 수 있다. 도 1b에 도시된것과 같은 디바이스(100)는, 각각의 증착 환경을 확립하기 전에, 예를 들어, 헬륨을 기반으로, 적절한 퍼지(purge) 단계(114)의 대상이될 수 있다.
도 1c는 가스 분위기(gaseous ambient)(105)에 노출된 때의 마이크로구조 디바이스(100)를 개략적으로 도시하며, 예시된 실시예들에서, 가스 분위기(105)는 알루미늄 함유 가스를 포함한다. 이전의 처리(104)로 인하여 표면(103S) 내에 존재하는 NH 그룹들과의 화학적 반응을 시작하기 위하여, 예를 들어, Al(CH3)3가 가스 분위기(105)에서 사용될 수 있다. 대응하는 화학적 반응은, 예를 들어 가스 분위기(105) 내에 확립된 플라즈마를 기반으로한 대응하는 활성화 메커니즘에 의해, 또는 방사선 유도 분해(radiation induced decomposition), 전자빔 유도 활성화 등과 같은 다른 메커니즘들에 의해 개시될 수 있다. 결과적으로, 알루미늄 함유 성분이 NH 그룹들과 반응할 수 있으며, 여기서 해당 반응 메커니즘은 자기 제한적이며, 그럼으로써 고도로 제어가능하고 재생산가능한 공정 조건들을 제공한다. 도시된 바와 같이, 대응하는 원자 표면층이, 도시된 실시예의 노출 표면(103S) 위에 형성될 수 있으며, 상기 노출 표면(103S)은 N-AL(CH3)2 종들로 구성될 수 있다.
도 1d는 추가의 예시적인 실시예들에 따라 마이크로구조 디바이스(100)를 개략적으로 도시하며, 여기서 유전체층(102)의 물질은, 알루미늄 함유 가스의 화학적 반응을 가능하게 하기 위하여, 적어도 그것의 표면층에서, 적절한 종들을 포함할 수 있다. 예를 들어, 층(102)의 유전체 물질은 실리콘, 산소, 그리고 수소를 포함하는 물질로 구성될 수 있으며, 그러므로 표면층(102S)에 각각의 OH 그룹들을 제공하고, 여기서 대응하는 OH 그룹들은 알루미늄 함유 전구체 가스와 반응하여 또한 유전체층(102) 위에 원자 표면층을 형성할 수 있다. 그러므로, 이 경우에 역시, 유전체층(102)과의 화학적 반응은 자기 제한적이며, 여기서, 아래에 놓인 물질들과의 결합들의 화학적 특성으로 인하여, 상기 층이 금속 영역(03) 위에 형성되는지 유전체층(102) 위에 형성되는지에 관계없이, 각각의 표면층은 고수준의 접착을 보여준다. 그후, 디바이스(100)의 대응하는 분위기가 예를 들어 헬륨을 기반으로 하여 퍼지(purge)될 수 있으며, 여기서, 화학 반응을 개시하기 위해 플라즈마가 사용될 때, 해당 플라즈마가 또한 차단될 수 있다. 다른 경우들에서는, 해당 퍼지 공정 동안, 해당 활성화 에너지의 공급이 정지될 수 있다.
도 1e는, 각각의 CH3 그룹들을 NH 그룹들로 대체하여, 또한 금속 영역(103) 및 유전체층(102) 위에 각각의 알루미늄 질화물 표면층을 형성하기 위해 수행되는 추가적인 처리(124) 동안의 마이크로구조 디바이스(100)를 개략적으로 도시한다. 예를 들어, 암모니아가 사용될 수 있으며, 방사선, 열, 플라즈마 등에 의해 대응하는 활성 에너지가 공급될 수 있다. 그러므로, 각각의 수소 결합들과 함께, 고도로 제어가능한 알루미늄 질화물층이 확립될 수 있으며, 그것은 이제 추가적인 ALD 사이클들에 기초하여 알루미늄 질화물층을 성장시키기 위한 기반으로서 사용될 수 있고, 그럼으로써 층 두께와 관련하여 고도의 제어가능성을 제공한다. 즉, 도 1e에 도시된 디바이스는 분위기(105)(도 1c)에 노출되어 추가적인 원자층을 형성하며, 여기서, 각각의 알루미늄 함유 종들은 질소-수소 결합에 결합되고, 이에 따라 수소 원자들을 대체한다. 후속적으로, 도 1e에 도시된 바와 같이, 대응하는 CH3 그룹들을 각각의 NH 그룹들로 변환하기 위하여, 예를 들어 암모니아를 기반으로한 추가적인 사이클이 후속할 수 있다.
도 1f는 알루미늄 및 질소 함유층(106)을 형성하기 위한 상기 ALD 유사 증착 공정 후의 마이크로 구조 디바이스를 도시하며, 여기서, 106T로 표시된 상기 알루미늄 및 질소 함유층(106)의 두께는 앞서서 설명된 시퀀스에 따라 수행되는 사이클들의 수를 바탕으로 제어될 수 있다. 그러므로, 층(106)이 패턴된 유전체 물질 내에 형성되는 경우, 대응하는 실질적 절연성 배리어 물질이 필요하다면, 두께(106T)는, 높은 정밀도와 양호한 스텝 커버리지(step coverage)의 디바이스 요구사항들에 따라 조정될 수 있다. 또한, 표면층(103S)(도 1a) 내의 해당 구리 산화물 오염물들의 초기 두께에 따라, 구리 질화물을 포함하는 표면층(103S)의 일부가 여전히 존재할 수 있으며, 질소 물질의 확산 차단 특성들로 인해 우수한 표면 특성을 제공할 수 있다. 또 다른 예시적인 실시예들에서, 표면층(103S) 내의 질소는 위에 놓인 층(106)의 원자 종들에 실질적으로 결합될 수 있다. 또한, 이 경우에, 우수한 인터페이스 특성들이 달성될 수 있고, 여기서, 예를 들어 층(106)이, 알루미늄 질화물 층으로서 제공되었을 때 층(106)의 현저한 구리 확산 차단 특성들이 달성될 수 있는바, 상기 차단 특성들은 구리 물질을 영역(103) 내에 바람직하게 한정시킬 수 있으며, 표면 부분(103S)의 각각의 구리 질화물 물질이 이를 도울 수 있다. 또한, 알루미늄 질화물이 산소, 수분등을 흡수할 수 있으므로, 표면(103S)의 무결성(integrity)은 적절하게 안정적이며, 따라서 금속영역(103)의 우수한 신뢰성에 기여한다.
일부 예시적인 실시예들에서, 예를 들어, 임의의 적절한 증착 공정에 의해, 적절한 유전체 물질을 장벽층(106) 위에 바로 증착함으로써 추가의 공정이 계속될 수 있으며, 여기서 유전체 물질은 후속적인 금속화층을 위한 낮은 k 유전체 물질을 나타낼 수 있다. 결과적으로, 종래의 기법들에 비하여, 구리와 절연 물질간의 인터페이스 특성들은 고도로 제어가능한 층 두께를 바탕으로 개선될 수 있으며, 이에 의해, 일반적으로 결과적인 층 스택의 전체 유전율에 상당히 기여하는 임의의 추가적인 유전체 장벽 물질의 양을 현저하게 줄이는 잠재성을 재공한다. 장벽층(106) 위에 바로 형성될 수 있는 해당 유전체 물질을 패터닝하기 위한 후속적인 공정 중에, 예를 들어, 알루미늄 종들의 박리에 따라, 그 물질은 식각 정지 물질 또는 적어도 식각 지시자(etch indicator) 물질로서 사용될 수 있으며, 따라서 장벽층(106)을 바탕으로, 해당 패턴 공정이 신뢰적으로 제어될 수 있다. 다른 경우에, 장벽층(106)의 두께(106T)가 감소되어 제공되거나 효율적인 식각 공정 성능들을 제공하지 않는다면, 해당 식각 공정이 영역(103)의 물질의 노출을 바탕으로 제어될 수 있다.
도 1g는 추가적인 예시적 실시예에 따른 마이크로구조 디바이스(100)를 개략적으로 도시한다. 이 경우에, 추가적인 유전체 물질(107)을 제공하기 위하여 증착 공정(108)이 수행될 수 있으며, 상기 유전체 물질(107)은 디바이스(100)의 추가적인 공정을 돕는 각각의 장벽 특성들 및/또는 식각 정지 특성들 가질 수 있다. 영역(103) 내의 구리 물질의 무결성을 더 개선시키고/또는 추가적인 공정 동안 우수한 식각 정지 성능들을 제공하기 위하여, 층(107)은 예를 들어, 실리콘 질화물, 실리콘 카바이드, 질소 농축 실리콘 카바이드 또는 이러한 물질들의 임의의 적합한 혼합물과 같은 잘 확립된 장벽/식각 정지 물질을 나타낼 수 있다. 그러나, 종래의 기법들과는 대조적으로, 예를 들어, 각각의 하부층들, 물질들 등의 관점에서, 층(107)의 조성은, 우수한 확산 차단 특성들 및 식각 정지 성능들 둘 모두를 필요로하는것 보다는 오히려, 식각 정지에 특화된 특성들과 관련하여 선택될 수 있다. 그러므로, 각각의 물질들을 선택하는데에 있어서의 유연성 정도가 개선될 수 있다. 또한, 장벽층(106)의 제공으로 인하여, 물질(107)의 두께는 종래의 기법들에 비해 현저히 낮은 값으로 조정되어, 적절하게 높은 유전율 물질의 존재를 현저히 감소시킬 수 있으며, 이는 결과적인 층 스택의 전체적인 기생 커패시턴스를 줄이는데 기여한다. 예를 들어, 개선된 식각 정지 성능이 요구된다면, 층(107)은 임의의 적절한 물질에 의해 형성될 수 있으며, 장벽층(106)이 요구되는 구리 확산 차단 특성들을 제공할 수 있기 때문에, 구리가 확산 되게 할 수 있는 것으로 알려진 실리콘 이산화물조차도 사용될 수 있다. 일부 예시적인 실시예들에서, 증착 공정(108)은 도 1a 내지 1f를 참조로하여 앞서서 설명된 것과 같은 공정 시퀀스를 사용하여 인시츄(in situ)로 수행될 수 있으며, 그러므로써 공정 복잡도를 현저하게 감소시키고 툴 사용 및 전체적인 공정 산출을 개선시킨다. 이러한 관점에서 인시츄 공정(in situ process)은 어떠한 중간적인 운반 활동 없이 공정 툴의 동일한 공정 챔버에서 수행되는 제조 공정들의 시퀀스로서 고려된다. 예를 들어, 증착 공정(108)은 적절한 CVD 챔버 내에서 수행될 수 있는바, 상기 챔버는 이전의 ALD 유사 증착 시퀀스 동안 대응하는 활성화 에너지들을 공급할 수 있는 적절한 플라즈마 분위기의 형성을 가능하게 해준다. 다른 예시적인 실시예들에서, 층들(106, 107)은 개별적인 전용의 공정 툴들로 형성될 수 있다.
또한, 후속적인 금속화 레벨에 대한 낮은 k 유전체 물질과 같은 임의의 추가적인 유전체 물질이 CVD, PECVD, 스핀 온(spin-on) 기법등과 같은 임의의 적절한 기법을 바탕으로 증착될 수 있으며, 여기서 CVD 증착의 경우에는, 장벽층(106)을 형성하기 위한 공정 시퀀스 및 낮은 k 유전체 물질의 해당 증착이 또한 인시츄 공정 시퀀스로서 수행될 수 있다.
도 1h는 추가적인 예시적 실시예들에 따른 마이크로구조 디바이스(100)를 개략적으로 도시한다. 도시된 바와 같이, 디바이스(100)는 증착 공정(109)의 대상이 될 수 있으며, 이 공정은, 디바이스 요구사항들에 따라 몇가지 하부층들의 형태로 장벽층(106)을 제공하기 위한, 적절한 물질들을 기반으로한 ALD 유사 공정을 나타낼 수 있다. 예를 들어, 층(106)은 각각의 서브층들(106A, 106B, 106C)로 구성될 수 있으며, 여기서 이러한 서브층들 중 하나가 위에서 설명된 공정 시퀀스 기반으로 제조되는 알루미늄 질화물층의 형태로 제공될 수 있다. 예를 들어, 층(106C)은 알루미늄 질화물층의 형태로 제공될 수 있다. 그 후, 장벽층(106)의 전체적인 특성들을 구체적으로 설계하기 위하여 자기 제한적 공정 시퀀스를 바탕으로하여, 상이한 물질 조성이 제공되도록 공정(109)이 수행될 수 있다. 예를 들어, 각각의 전구체 가스들이, 층(106C)의 해당 NH 그룹들과의 각각의 결합들을 형성하기 위하여 선택될 수 있으며, 이에 의해 층(106)의 특성들을 구체적으로 설계한다. 예를 들어, 확산 차단 특성, 식각 정지 성능 등을 구체적으로 조정하기 위하여, 예를 들어, 실리콘, 질소 등과 같은 각각의 종들을 통합시킴으로써, 전구체 가스들의 각각의 기능적 그룹들이 디바이스 요구사항들에 따라 설계될 수 있다. 필요하다면, 층(106A)을 형성하기 위하여, 서로 다른 전구체 가스를 기반으로하여 공정 시퀀스(109)가 계속될 수 있다. 결과적으로, 자기 제한적 공정(109)을 바탕으로, 다양한 각각의 장벽 물질들이 생성될 수 있으며, 여기서 복수의 하부층들을 제공함으로써, 해당 특성들이 구체적으로 조정될 수 있다. 일부 예시적인 실시예들에서, 도 1a 내지 1f를 참조로 앞서서 설명된 것과 같은 공정(109) 또는 자기 제한적 공정 시퀀스를 수행하기 전에, 표면(103S) 위에 캡층(110)을 제공하기 위하여, 공간적으로 선택적인 공정(spatially selective process)이 수행될 수 있으며, 공정 기법에 따라, 상기 캡층(110)은 유전체 물질 또는 전도성 물질을 포함할 수 있다. 예를 들어, 각각의 금속 합금들이 표면(103S) 위에 선택적으로 제공될 수 있고, 여기서, 해당 물질들이 개선된 인터페이스 특성들을 보여주는 반면, 대응하는 식각 정지 성능들 및 구리 한정 특성(copper-confining characteristics)들은 진보된 마이크로구조 디바이스들에 대해 충분하지 않을 수 있다. 이 경우에, 전체적으로 요구되는 특성들, 즉, 전체적인 낮은 유전율과 함께 높은 수준의 인터페이스 무결성을 제공하기 위하여, 예를 들어, 앞에서 도시된 대로 또는 공정(109)를 함께 사용하여 층(106)이 형성될 수 있다.
그 결과, 특히, 구리 함유 금속화 구조체들이 고려되는 경우에, 금속 영역의 노출 표면 위에 실질적인 절연 물질을 형성하기 위하여 적어도 ALD 유사 증착 시퀀스를 사용함으로써, 공정 제어가능성이 개선된 적합한 물질들을 선택하는 것에 있어서의 유연성이 개선될 수 있으며, 동시에, 마이크로구조 디바이스들의 성능 및 신뢰성이 증가될 수 있다. 일부 예시적인 실시예들과, 추가적인 장벽층들에서, 예를 들어, 실리콘 질화물, 실리콘 카바이드, 질소 농축 실리콘 카바이드등과 같은 잘 확립된 물질들의 형태의 추가적인 장벽층들이 생략될 수 있으며, 대응하는 낮은 k 유전체 물질이 ALD 유사 증착 기법에 의해 제공되는 실질적인 절연 장벽 물질 바로 위에 형성될 수 있다. 구리 함유 금속 영역들의 경우, 아래에 놓인 물질들과 장벽층의 화학적 결합에 의해, 우수한 화학적, 기계적 특성들 및 개선된 접착이 달성될 수 있으며, 여기서, 예를 들어, 알루미늄 질화물층이 구리 및 복수의 낮은 k 유전체 물질들과 함께 사용될 수 있다. 또한, 후속적인 자기 제한적 증착 공정을 위하여 구리 함유 표면을 준비하기 위한 해당 전처리에서, 플라즈마 분위기 없이 해당 공정을 수행함으로써 표면의 현저한 손상이 방지될 수 있다. 또한, 앞서서 설명된 바와 같이, 장벽층(106)을 형성하기 위한 해당 시퀀스는 인 시츄 시퀀스로서 실시될 수 있으며, 여기서, 일부 예시적인 측면들에서, 낮은 k 유전체 물질들, 추가적인 장벽 물질들 등과 같은 임의의 추가적인 물질들의 증착이 인 시츄 시퀀스로서 수행될 수 있다.
도 2a 내지 2c를 참조로, 이제 ALD 유사 증착 시퀀스를 기초로하여 장벽층을 형성하기 전에, 각각의 전처리들이 수행될 수 있는 추가적인 예시적 실시예들이 설명될 것이다.
도 2a는 기판(201)을 포함하는 디바이스(200)의 제조 단계에서의 마이크로구조 디바이스(200)를 개략적으로 도시하며, 기판(101)을 참조로 앞서서 설명된 바와 같이, 상기 기판(201)은 그 내부에 임의의 회로 소자들을 가질 수 있다. 또한, 디바이스(200)는 유전체층(202)을 포함할 수 있으며, 상기 유전체층(202)은, 구리 함유 영역과 같은 금속 영역이 형성될 금속화층 또는 임의의 다른 디바이스 레벨의 유전체 물질을 나타낼 수 있다. 도시된 실시예에서, 유전체층(202)은 디바이스 요구사항들에 따라 임의의 적절한 물질 조성을 가질 수 있는 낮은 k 유전체 물질을 나타낼 수 있다. 예를 들어, 유전체층(202)이 장벽 물질의 후속적인 자기 제한적 증착에 필요한 대응하는 표면 특성들을 제공하지 않을 수 있는 임의의 물질로 형성될 수 있다. 예를 들어, 층(202)은 다공성(porous) 실리콘, 다공성 실리카 및 그와 유사한것 또는 임의의 다른 낮은 k 유전체들로 구성될 수 있다. 결과적으로, 알루미늄 및 질소 함유 종들과 같은 대응하는 종들에 후속적으로 결합될 수 있게 하기 위하여 적절한 화학적 조성을 포함하는 대응 표면 층(202S)(도 2b)을 제공하기 위하여, 디바이스가 처리(210)에 노출될 수 있다. 예를 들어, 처리(210)는 실리콘, 산소 및 수소 함유 물질과 같은 적절한 물질의 증착을 포함할 수 있으며, 그것을 위하여 당분야의 기술에서 확립된 조성들이 사용가능하다. 그러므로, 표면층(202S)을 필요한 두께로 형성하기 위한 CVD공정으로서, 처리(210)가 수행될 수 있다. 다른 경우들에서는, 처리(210)는 질소 및 수소 함유 물질의 증착을 포함할 수 있으며, 표면층(202S)을 형성하기 위하여 산소 또는 질소를 유전체층(202)의 표면부분에 포함시키기 위한 대응하는 플라즈마 기반(plasma-based) 처리를 포함할 수 있다.
이후, 확립된 방법들과 포토리소그래픽 기법들을 바탕으로하여 유전체층(202)을 적절하게 패터닝함으로써 디바이스(200)의 추가적인 처리가 계속될 수 있다. 일부 예시적인 실시예들에서, 임의의 노출 표면에 각각의 표면층(202S)을 형성하기 위하여, 유전체층(202)이 먼저 패턴디고 후속적으로 공정(210) 중에 처리될 수 있다.
도 2b는 유전체층(202) 내에 구리 함유 영역(203)이 형성된 추가적인 진행된 제조 단계에서의 디바이스(200)를 개략적으로 도시하며, 여기서 영역(203)은, 디바이스(100)를 참조로하여 앞서서 설명된 바와 같이, 전도성 장벽층(203A) 및 노출 표면(203S)을 포함할 수 있다. 그러므로, 각각의 제조 시퀀스들이 또한 디바이스(200)에 대해 사용될 수 있다. 즉, 구리 함유 영역(203)을 형성한 후, 노출 표면(203S)은 상당한 양의 구리 산화물을 포함할 수 있으며, 반면 표면층(202S)은 앞서서 설명된 바와 같이 전구체 가스가 후속적으로 접착될 수 있게 해주는 대응하는 구성을 가질 수 있다. 그러므로, 도 2b에 도시된것과 같은 디바이스(200)는, 자기 제한적 공정을 바탕으로 각각의 장벽층을 형성하기 위하여, 도 1a 내지 1e를 참조로 설명된 공정 시퀀스의 대상이 될 수 있으며, 여기서 수정된 표면(202S)은 영역(203)과 유전체층(202) 위에 연속적인 증착을 제공하는바, 이는 본래의 자기 제한적 증착 시퀀스에 대해 적합하지 않을 수 있다.
도 2c는 또 다른 예시적인 실시예에 따른 디바이스(200)를 개략적으로 도시하며, 여기서 디바이스(200)는 앞서서 설명된 바와 같이, 노출 표면(203S) 위에 장벽층을 형성하기 위한 후속적인 공정 시퀀스를 위하여 노출 표면(203S)을 준비하도록 설계되는 처리(211)의 대상이 될 수 있다. 일부 예시적인 실시예들에서, 후속적으로, 노출 표면(203S) 위에 연속적인 구리 산화층을 형성하기 위하여, 처리(211)는, 예를 들어 습식 화학 공정, 플라즈마 어시스트 공정(plasma-assisted process), 열 산화 공정등을 기반으로 수행되는 산화 공정을 포함할 수 있다. 이 경우에, 후속적으로 연속적이고 잘 한정된 구리 산화층이 형성될 수 있으며, 상기 구리 산화층은 또한 앞에서 설명된 자기 제한적 시퀀스에 따른 장벽 물질의 실제 증착 전에 기판(200)을 더 처리하는 동안 패시베이션 층으로서 작용할 수 있다. 결과적으로, 이 경우에, 도 1a를 참조하여 설명되는 것과 같은 처리, 즉, 구리 산화물을 수소를 포함한 구리 질화물로 변환하기 위한 처리(104)가 단일 표면 조건들을 바탕으로 수행될 수 있으며, 여기서 해당 표면(203S)은 추가적인 기판 처리 활동들 동안의 패시베이팅 특성들로 인하여 개선된 무결성을 보일 수 있다. 결과적으로, 예를 들어, 대응하느 CMP 공정 직후에, 매우 균일한 표면(203S)이 형성될 수 있으며, 후속적인 공정 활동들은 표면(203S)에 있는 페시베이팅(passivating) 구리 산화물층으로 인하여, 어떠한 표면을 불균일성에 크게 기여하지 않을 수 있다.
다른 예시적인 실시예들에서, 처리(211)는 적절한 전구체 물질을 바탕으로한 습식 화학적 방법들에 의한 질소의 선택적 혼합을 포함할 수 있으며, 따라서, 이 경우에 도 1a에 도시된 것과 같은 처리(104)가 생략될 수 있으며, 자기 제한적 공정 시퀀스 내에서 대응하는 장벽층의 형성이, 예를 들어, 도 1c에 도시된 바와 같이 알루미늄 함유 가스를 도입함으로써 시작될 수 있다.
도 3a 내지 3b를 참조로, 이제 추가적인 예시적 실시예들이 설명될 것이며, 여기서, 결과적인 층 스택의 전체적 유전율을 현저하게 감소시키도록 패턴될 수 있는 식각 정지층과 함께, 장벽층(106)과 같은 장벽층이 형성될 수 있다.
도 3a는 마이크로구조 디바이스(300)를 개략적으로 도시하는바, 상기 마이크로구조 디바이스(300)는 기판(301)과, 상기 기판(301)위에 형성된 유전층(302)과, 상기 유전층(302) 내부에 매립된 금속 영역(303), 및 절연 장벽층(306)을 포함한다. 지금까지 설명된 구성요소들과 관련하여, 디바이스(100, 200)을 참조로 앞서서 설명된 것과 동일한 기준이 적용된다. 따라서, 장벽층(306)은, 예를 들어, 앞서서 설명된 것과 같은, 자기 제한적 공정 시퀀스를 바탕으로 형성된 알루미늄 질화물층을 나타낼 수 있다. 또한, 디바이스(300)는 식각 정지층(307)을 포함할 수 있으며, 상기 식각 정지층(307)은, 디바이스(300)의 추가적인 금속화 레벨을 형성하기 위하여 후속 제조 단계에서 형성될 유전체 물질을 패턴하기 위한 후속적인 식각 공정 동안, 요구되는 높은 식각 선택성을 보여주는 물질로 구성된다. 따라서, 층(307)이 해당 레지스트 마스크(312)를 기반으로 하여 해당 식각 공정(313)에 의해 패턴될 수 있으므로, 실리콘 질화물과 같은 임의의 적합한 물질이, 그것의 상대 유전율과 관계없이 사용될 수 있다. 즉, 층(307)의 물질은 추가적인 공정 동안에 식각 정지 성능들이 필요하지 않을 수 있는 임의의 영역들에서 제거될 수 있으며, 이에 의해, 후속적인 공정들에서 신뢰성있는 패터닝 시퀀스를 제공하면서도 층 스택의 전체적 유전율에 대한 각각의 영향을 현저하게 감소시킨다. 공정(313)은 적절한 장벽층(306)이 식각 정지층으로서 동작할 수 있는 식각 화학 물질에 기초한 습식 식각 공정, 건식 식각공정등으로서 수행될 수 있다.
도 3b는 식각 공정(313) 및 레지스트 마스크(312)의 제거 후 디바이스(300)의 평면도를 개략적으로 도시한다. 이 예시적인 실시예에서, 금속 영역(303) 위의 부분들에서 식각 정지층(307)이 또한 제거될 수 있으며, 영역(303)에 연결하기 위하여 대응하는 유전체 물질을 패터닝할 때 후속적인 공정에서 대응하는 비아(314)(파선으로 표시된 비아)가 형성되어야만 할 부분에서만 유지될 수 있다. 결과적으로, 대응하는 식각 공정이 매우 신뢰성있게 수행될 수 있으며, 장벽층(306)은 금속 영역(303) 위에서 요구되는 기계적 강도 및 확산 방지 특성들을 제공할 것이다.
도 4a 내지 4b를 참조로, 자기 제한적 장벽 물질이 금속화 층 스택에서 사용될 수 있는, 각각의 반도체 디바이스들이 설명된다. 도 4a는 기판(401)을 포함하는 반도체 디바이스(400)의 단면도를 개략적으로 도시하며, 상기 반도체 디바이스(400)는 위에 유전체층(402)이 형성될 수 있는 기판(401)을 포함하고, 상기 유전체층(402)은 그 내부에 전도성 영역(403)을 가진다. 예를 들어, 유전체층(402)은, 전도성 영역(403)과 함께, 디바이스(400)의 금속화 레벨을 나타낼 수 있으며, 다른 경우들에서는, 전도성 영역(403)이 기판(401) 내부 및 위에 형성된 회로 소자의 임의의 접촉 영역을 나타낼 수 있다. 일 예시적인 실시예에서, 전도성 영역(403)은 예를 들어, 금속 라인의 형태로, 구리 함유 영역을 포함할 수 있으며, 여기서 대응하는 장벽층(406)은 영역(403) 내에 요구되는 구리 물질의 무결성을 제공할 수 있다. 일 예시적인 실시예에서, 장벽 물질(406)은 알루미늄 질화물층, 즉, 앞서서 설명된 바와 같이 자기 제한적 공정 시퀀스를 바탕으로 형성된 알루미늄 및 질소 함유층을 나타낼 수 있다. 또한, 디바이스(400)는 적어도 부분적으로, 금속 라인(423)(예를 들어, 해당 비아(423A)에 의해 금속 영역(403)에 연결되는 구리를 포함한 라인)이 매립된 낮은 k 유전체 물질로서 제공될 수 있는 유전체 물질(422)을 포함한다. 또한, 해당 장벽층(426)은 금속 라인(423)을 덮을 수 있으며, 여기서 장벽층(426)은 앞서서 설명된 것과 같은 자기 제한적 공정 시퀀스를 바탕으로 알루미늄 및 질소 함유 물질의 형태로 제공될 수 있다.
소자들(401, 402, 403)은 층(406)의 물질에 대하여 증착 기법이 뒤따르는 확립된 기법들을 바탕으로 형성될 수 있다. 그후, 유전체 물질(422)이 층(406) 위에 바로 증착될 수 있으며, 후속적으로 확립된 패터닝 기법들을 기반으로 패턴될 수 있다. 그후, 앞서서 설명된 바와 같이, 층(426)이 형성될 수 있다.
도 4b는, 비아(423A)를 위한 개구를 제공하기 위해 설걔된 대응 식각 마스크(428)를 기반으로 수행되는 각각의 패터닝 공정(427) 제어를 개선시키기 위하여, 식각 정지층(407)이, 적어도 국지적으로, 장벽층(406) 위에 제공되는 또 다른 예시적인 실시예에 따른 디바이스(400)를 도시한다. 식각 정지층(407)은 특별히, 공 정(427)을 제어하기 위하여 설계될 수 있으며, 장벽층(406)에 의해 대응하는 인터페이스 특성들이 제공될 수 있으므로, 종래의 기법들에 비하여 두께가 현저하게 감소된 임의의 적합한 물질이 사용될 수 있다.
유전체층(422)을 형성하기 위한 임의의 공정 기법을 사용하여, 식각 정지층(407)이 제공되는지 아닌지에 관계없이, 앞서서 설명된것과 같은 동일한 기준이 적용된다. 즉, 장벽층(406)의 형성 및 층(422)의 유전 물질의 증착을 위한 시퀀스는, 가능하게는, 식각 정지층(407)의 증착과 함께, 인시츄 시퀀스로서 수행될 수 있으며, 이에 의해 툴 이용도(tool utilization) 및 공정 산출(throughput)을 개선한다.
결과적으로, 본 명세서에 개시된 내용은, 층간 유전체 물질의 전체적 유전율을 낮은 레벨로 유지하는 동시에, 진보된 반도체 디바이스들의 금속화 구조 성능을 개선시키기 위한 기법을 제공한다. 이러한 목적을 위하여, 고도로 제어가능한 방식으로 효율적인 장벽물질을 증착하고, 그에 따라 임의의 추가적인 장벽 물질을 제거하거나 적어도 그 양을 현저하게 감소시킬수 있는 잠재성을 제공하기 위하여, ALD 유사 증착 공정이 수행될 수 있다. 도시된 실시예들에서, 알루미늄 질화물층이 자기 제한적 공정 시퀀스에 의해 형성되며, 여기서 알루미늄 질화물은 구리 확산 차단 특성들을 제공하고, 또한 산소 및 수분에 대하여 우수한 게터 성능들을 보여준다.
본 발명이 본 명세서에서 제시하는 이득을 가진 기술분야의 당업자들에게는 명백한 동일한 방식으로, 그러나 상이하게 수정되어 실시될 수 있으므로, 위에서 개시된 특정 실시예들은 단지 예시적이다. 예를 들어, 위에서 설명한 공정 단계들은 서로 다른 순서로 수행될 수 있다. 또한, 본 명세서에서 도시된 구조 또는 설계의 상세한 사항들에는, 하기의 청구항들에서 설명된 범위 이외의 제약사항들이 의도되지 않는다. 그러므로, 위에서 개시된 특정 실시예들이 변경되고 수정될 수 있으며, 모든 그러한 변형들은 본 발명의 범주 및 정신내에 있는 것으로 고려된다. 따라서, 본 명세서에서 추구되는 권리보호범위는 하기의 청구항들에서 설명된다.

Claims (10)

  1. 유전체층 내에 형성된 금속 영역의 노출 표면 상에 질소 함유층(nitrogen-containing layer)을 형성하는 단계와;
    상기 금속 영역 위에 알루미늄 및 질소 함유 제1 장벽층(aluminum and nitrogen-containing first barrier layer)을 형성하기 위하여, 알루미늄 함유 가스 분위기(ambient)에 상기 질소 함유층을 노출시키는 단계와;
    상기 알루미늄 및 질소 함유 제1 장벽층 위에 제2 유전체 장벽층을 형성하는 단계와; 그리고
    상기 제2 유전체 장벽층 위에 낮은 k(low-k) 유전체 물질을 형성하는 단계를 포함하는 것을 특징으로 하는 방법.
  2. 제1 항에 있어서,
    상기 금속 영역은 구리를 포함하며, 상기 질소 함유층을 형성하는 단계는 구리 산화물을 구리 질화물로 변환하기 위하여 상기 노출 표면을 처리하는 단계를 포함하며, 여기서 상기 노출 표면을 처리하는 단계는 암모늄(NH3) 함유 분위기를 확립하는 단계를 포함하는 것을 특징으로 하는 방법.
  3. 제1 항에 있어서,
    상기 알루미늄 함유 가스는 Al(CH3)3를 포함하는 것을 특징으로 하는 방법.
  4. 삭제
  5. 제1 항에 있어서,
    상기 알루미늄 및 질소 함유 제1 장벽층 위에 낮은 k 유전체 물질을 형성하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  6. 제1 항에 있어서,
    상기 알루미늄 및 질소 함유 제1 장벽층은 상기 유전체층 위에 형성되며,
    적어도 상기 유전체층의 표면에 OH 그룹을 갖도록 상기 유전체층을 형성하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  7. 기판을 제공하는 단계와, 상기 기판 위에는 노출된 구리 함유 금속 영역이 형성되고, 상기 노출된 구리 함유 금속 영역은 유전체층 내에 형성되며;
    가스 분위기(gaseous ambient)에서 수행되는 자기 제한적 반응 메커니즘(self-limiting reaction mechanism)을 사용하여, 상기 노출된 구리 함유 금속 영역 및 상기 유전체층 위에 유전체 장벽층을 형성하는 단계와;
    상기 유전체 장벽층 위에 식각 정지층을 형성하는 단계와;
    상기 식각 정지층 위에 제2 유전체층을 형성하는 단계와; 그리고
    상기 식각 정지층을 사용하여 상기 제2 유전체층을 패터닝하는 단계를 포함하여 구성되며,
    적어도 상기 식각 정지층 및 상기 유전체 장벽층은 인시츄(in situ)로 형성되는 것을 특징으로 하는 방법.
  8. 제7 항에 있어서,
    상기 유전체 장벽층은 알루미늄 및 질소를 포함하며,
    상기 유전체 장벽층을 형성하는 단계는,
    상기 노출된 구리 함유 금속 영역 위에 구리 질화물층을 형성하는 단계와,
    알루미늄 함유 가스를 사용하여 상기 구리 질화물층을 처리하는 단계를 포함하며,
    상기 유전체 장벽층을 형성하는 단계는, 상기 알루미늄 함유 가스를 사용한 처리 후 상기 기판을 암모늄 함유 분위기(ammonium-containing ambient)에 노출시키는 단계를 더 포함하는 것을 특징으로 하는 방법.
  9. 삭제
  10. 삭제
KR1020097018207A 2007-01-31 2008-01-31 알루미늄 질화물의 사용에 의한, 마이크로구조 디바이스 내의 구리 기반 금속화 구조들의 신뢰성 개선 KR101385709B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
DE102007004867.1 2007-01-31
DE102007004867A DE102007004867B4 (de) 2007-01-31 2007-01-31 Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
US11/948,245 2007-11-30
US11/948,245 US7829460B2 (en) 2007-01-31 2007-11-30 Method of manufracturing increasing reliability of copper-based metallization structures in a microstructure device by using aluminum nitride
PCT/US2008/001316 WO2008094669A1 (en) 2007-01-31 2008-01-31 Increasing reliability of copper-based metallization structures in a microstructure device by using aluminum nitride

Publications (2)

Publication Number Publication Date
KR20090115190A KR20090115190A (ko) 2009-11-04
KR101385709B1 true KR101385709B1 (ko) 2014-04-17

Family

ID=39597380

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097018207A KR101385709B1 (ko) 2007-01-31 2008-01-31 알루미늄 질화물의 사용에 의한, 마이크로구조 디바이스 내의 구리 기반 금속화 구조들의 신뢰성 개선

Country Status (8)

Country Link
US (3) US7829460B2 (ko)
JP (1) JP2010517325A (ko)
KR (1) KR101385709B1 (ko)
CN (1) CN101681873B (ko)
DE (1) DE102007004867B4 (ko)
GB (1) GB2459232A (ko)
TW (1) TWI446487B (ko)
WO (1) WO2008094669A1 (ko)

Families Citing this family (363)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0436185A (ja) * 1990-03-28 1992-02-06 Kyowa Hakko Kogyo Co Ltd 融合抗原ポリペプチド
US20090269923A1 (en) * 2008-04-25 2009-10-29 Lee Sang M Adhesion and electromigration improvement between dielectric and conductive layers
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5773306B2 (ja) * 2010-01-15 2015-09-02 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 半導体素子構造を形成する方法および装置
TWI529808B (zh) 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
JP2012054306A (ja) * 2010-08-31 2012-03-15 Tokyo Electron Ltd 半導体装置の製造方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
WO2014066740A1 (en) 2012-10-26 2014-05-01 Element Six Technologies Us Corporation Semiconductor devices with improved reliability and operating life and methods of manufacturing the same
CN103928389B (zh) * 2013-01-10 2017-02-22 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
CN104022068B (zh) * 2013-02-28 2017-03-29 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
US9601431B2 (en) 2014-02-05 2017-03-21 Applied Materials, Inc. Dielectric/metal barrier integration to prevent copper diffusion
CN104835778B (zh) * 2014-02-08 2017-12-05 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制作方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9418889B2 (en) * 2014-06-30 2016-08-16 Lam Research Corporation Selective formation of dielectric barriers for metal interconnects in semiconductor devices
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
CN105575881B (zh) * 2014-10-11 2018-09-21 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制作方法
CN105489548B (zh) * 2014-10-13 2018-10-23 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制作方法
US9437484B2 (en) 2014-10-17 2016-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Etch stop layer in integrated circuits
US9502263B2 (en) * 2014-12-15 2016-11-22 Applied Materials, Inc. UV assisted CVD AlN film for BEOL etch stop application
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
KR102185458B1 (ko) 2015-02-03 2020-12-03 에이에스엠 아이피 홀딩 비.브이. 선택적 퇴적
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102462134B1 (ko) 2015-05-19 2022-11-02 삼성전자주식회사 배선 구조물, 배선 구조물 형성 방법, 반도체 장치 및 반도체 장치의 제조 방법
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9761488B2 (en) * 2015-07-17 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method for cleaning via of interconnect structure of semiconductor device structure
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10566185B2 (en) * 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US9633896B1 (en) 2015-10-09 2017-04-25 Lam Research Corporation Methods for formation of low-k aluminum-containing etch stop films
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
CN106876324A (zh) * 2015-12-10 2017-06-20 中芯国际集成电路制造(上海)有限公司 互连结构的形成方法
CN106876325B (zh) * 2015-12-11 2020-04-03 中芯国际集成电路制造(上海)有限公司 互连结构及其形成方法
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9981286B2 (en) 2016-03-08 2018-05-29 Asm Ip Holding B.V. Selective formation of metal silicides
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
CN109314045B (zh) 2016-04-18 2023-08-04 Asm Ip 控股有限公司 于基底上形成定向自组装层的方法
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10651080B2 (en) 2016-04-26 2020-05-12 Lam Research Corporation Oxidizing treatment of aluminum nitride films in semiconductor device manufacturing
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
KR102592471B1 (ko) * 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10049869B2 (en) 2016-09-30 2018-08-14 Lam Research Corporation Composite dielectric interface layers for interconnect structures
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US9859153B1 (en) 2016-11-14 2018-01-02 Lam Research Corporation Deposition of aluminum oxide etch stop layers
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
CN108122821B (zh) * 2016-11-29 2021-05-04 中芯国际集成电路制造(上海)有限公司 互连结构及其形成方法
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
KR102217242B1 (ko) 2017-03-08 2021-02-18 삼성전자주식회사 집적회로 소자 및 그 제조 방법
US10276505B2 (en) 2017-03-08 2019-04-30 Samsung Electronics Co., Ltd. Integrated circuit device and method of manufacturing the same
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
CN108695237B (zh) * 2017-04-05 2020-12-15 中芯国际集成电路制造(北京)有限公司 一种半导体器件及其制作方法
US10373906B2 (en) * 2017-04-20 2019-08-06 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of interconnection structure of semiconductor device
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11170993B2 (en) 2017-05-16 2021-11-09 Asm Ip Holding B.V. Selective PEALD of oxide on dielectric
CN108933100B (zh) * 2017-05-24 2021-04-30 中芯国际集成电路制造(上海)有限公司 互连结构及互连结构的制造方法
CN108962875B (zh) * 2017-05-27 2021-01-29 中芯国际集成电路制造(上海)有限公司 介质阻挡层及其制造方法、互连结构及其制造方法
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10535816B2 (en) 2017-11-22 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Via structure, MRAM device using the via structure and method for fabricating the MRAM device
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10468297B1 (en) * 2018-04-27 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Metal-based etch-stop layer
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11315828B2 (en) * 2018-08-15 2022-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Metal oxide composite as etch stop layer
KR102329022B1 (ko) * 2018-08-15 2021-11-22 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 에칭 정지 층으로서의 금속 산화물 복합체
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
US11699618B2 (en) * 2020-01-24 2023-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Low-k dielectric damage prevention
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11658064B2 (en) * 2020-09-29 2023-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure with dielectric cap layer and etch stop layer stack
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11670546B2 (en) * 2021-03-04 2023-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060027922A1 (en) * 2004-08-03 2006-02-09 Hsien-Ming Lee High performance metallization cap layer

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW417249B (en) * 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US6127258A (en) * 1998-06-25 2000-10-03 Motorola Inc. Method for forming a semiconductor device
KR100275738B1 (ko) * 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6153523A (en) * 1998-12-09 2000-11-28 Advanced Micro Devices, Inc. Method of forming high density capping layers for copper interconnects with improved adhesion
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US7061111B2 (en) 2000-04-11 2006-06-13 Micron Technology, Inc. Interconnect structure for use in an integrated circuit
US6617173B1 (en) 2000-10-11 2003-09-09 Genus, Inc. Integration of ferromagnetic films with ultrathin insulating film using atomic layer deposition
US7037574B2 (en) * 2001-05-23 2006-05-02 Veeco Instruments, Inc. Atomic layer deposition for fabricating thin films
US7276441B1 (en) * 2003-04-15 2007-10-02 Lsi Logic Corporation Dielectric barrier layer for increasing electromigration lifetimes in copper interconnect structures
US20050227003A1 (en) * 2004-04-08 2005-10-13 Carlson Chris M Methods of forming material over substrates
US7217648B2 (en) * 2004-12-22 2007-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. Post-ESL porogen burn-out for copper ELK integration
US7084060B1 (en) * 2005-05-04 2006-08-01 International Business Machines Corporation Forming capping layer over metal wire structure using selective atomic layer deposition
DE102005052052B4 (de) * 2005-10-31 2008-02-07 Advanced Micro Devices, Inc., Sunnyvale Ätzstoppschicht für Metallisierungsschicht mit verbesserter Haftung, Ätzselektivität und Dichtigkeit und Verfahren zur Herstellung eines dielektrischen Schichtstapels
TW200802703A (en) 2005-11-28 2008-01-01 Nxp Bv Method of forming a self aligned copper capping layer
JP5014632B2 (ja) * 2006-01-13 2012-08-29 ルネサスエレクトロニクス株式会社 半導体装置および半導体装置の製造方法
US20100176369A2 (en) * 2008-04-15 2010-07-15 Mark Oliver Metalized Silicon Substrate for Indium Gallium Nitride Light-Emitting Diodes

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060027922A1 (en) * 2004-08-03 2006-02-09 Hsien-Ming Lee High performance metallization cap layer

Also Published As

Publication number Publication date
GB2459232A (en) 2009-10-21
DE102007004867A1 (de) 2008-08-14
US20080179741A1 (en) 2008-07-31
JP2010517325A (ja) 2010-05-20
WO2008094669A1 (en) 2008-08-07
US20110018134A1 (en) 2011-01-27
US20120241958A1 (en) 2012-09-27
US8384217B2 (en) 2013-02-26
US7829460B2 (en) 2010-11-09
DE102007004867B4 (de) 2009-07-30
GB0914619D0 (en) 2009-09-30
TWI446487B (zh) 2014-07-21
KR20090115190A (ko) 2009-11-04
TW200837882A (en) 2008-09-16
CN101681873A (zh) 2010-03-24
US8222135B2 (en) 2012-07-17
CN101681873B (zh) 2012-12-26

Similar Documents

Publication Publication Date Title
KR101385709B1 (ko) 알루미늄 질화물의 사용에 의한, 마이크로구조 디바이스 내의 구리 기반 금속화 구조들의 신뢰성 개선
US7858519B2 (en) Integrated circuit and manufacturing method of copper germanide and copper silicide as copper capping layer
JP4328725B2 (ja) 改良された信頼性を有する超低誘電率(k)誘電体を集積化する構造および方法
US7378350B2 (en) Formation of low resistance via contacts in interconnect structures
US8053356B2 (en) Interconnect structure for semiconductor devices
US8962479B2 (en) Interconnect structures containing nitrided metallic residues
US7595269B2 (en) Semiconductor device comprising a copper alloy as a barrier layer in a copper metallization layer
US20030218253A1 (en) Process for formation of a wiring network using a porous interlevel dielectric and related structures
US7816789B2 (en) Germanium-containing dielectric barrier for low-k process
US7413985B2 (en) Method for forming a self-aligned nitrogen-containing copper silicide capping layer in a microstructure device
US9947580B2 (en) Interconnect structures with enhanced electromigration resistance
JP2011523780A (ja) 導電性コンタクトの組み込みのための構造体及びプロセス
US8211795B2 (en) Method of forming a dielectric cap layer for a copper metallization by using a hydrogen based thermal-chemical treatment
JP5217272B2 (ja) 配線の形成方法及び半導体装置の製造方法
JP2009117673A (ja) 半導体装置およびその製造方法
JP2006054326A (ja) 半導体装置の製造方法及び半導体装置

Legal Events

Date Code Title Description
N231 Notification of change of applicant
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170317

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee