TWI446487B - 藉由使用氮化鋁來增加微結構中之銅基金屬化結構之信賴性 - Google Patents

藉由使用氮化鋁來增加微結構中之銅基金屬化結構之信賴性 Download PDF

Info

Publication number
TWI446487B
TWI446487B TW097103408A TW97103408A TWI446487B TW I446487 B TWI446487 B TW I446487B TW 097103408 A TW097103408 A TW 097103408A TW 97103408 A TW97103408 A TW 97103408A TW I446487 B TWI446487 B TW I446487B
Authority
TW
Taiwan
Prior art keywords
layer
dielectric
copper
forming
barrier
Prior art date
Application number
TW097103408A
Other languages
English (en)
Other versions
TW200837882A (en
Inventor
Christof Streck
Volker Kahlert
Original Assignee
Globalfoundries Us Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Globalfoundries Us Inc filed Critical Globalfoundries Us Inc
Publication of TW200837882A publication Critical patent/TW200837882A/zh
Application granted granted Critical
Publication of TWI446487B publication Critical patent/TWI446487B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C23C16/303Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76867Barrier, adhesion or liner layers characterized by methods of formation other than PVD, CVD or deposition from a liquids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

藉由使用氮化鋁來增加微結構中之銅基金屬化結構之信賴性
本揭示內容的專利標的大體係關於積體電路的形成,且更特別的是,關於數種包含高度導電金屬(例如,銅)之金屬化層的形成,該高度導電金屬係嵌入包含阻障材料的介電材料內,該阻障材料係用以增強該金屬的電遷移效能(electromigration performance)。
在現代的積體電路中,最少特徵尺寸(例如,場效電晶體的通道長度)已達深亞微米(deep sub-micron)範圍,從而可穩定地提高這些電路在速度及/或耗電量上的效能。由於個別電路元件的大小被顯著縮減,從而可改善例如電晶體元件的切換速度,也可減少用於與個別電路元件電氣連接之互連線的可用佔地(available floor space)。結果,必須縮減互連線的尺寸以補償減少的可用佔地以及補償每單位面積電路元件的增加數量。
在最小尺寸為約0.35微米或更小的積體電路中,裝置效能的限制因素為由電晶體元件之切換速度所引起的訊號傳輸延遲。由於這些電晶體元件的通道長度此時已達50奈米及以下,訊號傳輸延遲不再受限於場效電晶體,反而會因電路密度增加而受限於互連線,因為線間電容(C)(line-to-line capacitance)會增加,而且線路的電阻(R)也會增加,原因是橫截面面積減少了。因此,寄生電阻電容時間常數(parasitic RC time constant)需要引進用於形成 金屬化層的新型材料。
照慣例,金屬化層(亦即,包含金屬線及貫通孔(via)的佈線層,該金屬線及貫通孔係用以根據特定的電路布局來提供電路元件的電氣連接)都是用包含例如二氧化矽及/或氮化矽以鋁作為典型金屬的介電層堆疊(dielectric layer stack)來形成。由於被極度縮放之特徵尺寸的積體電路要有較高的電流密度,但是在電流密度較高時,鋁會有顯著的電遷移,因此用例如銅來取代鋁,銅具有明顯較低的電阻和較高的電遷移抵抗力(resistivity against electromigration)。關於高度精密的應用,除了使用銅及/或銅合金以外,公認有效且習知的介電材料二氧化矽(k約4.2)與氮化矽(k大於5)可換成所謂的低k介電材料,低k介電材料係具有約3.0或更小的相對介電係數(relative permittivity)。不過,從習知且公認有效的鋁/二氧化矽金屬化層轉成可能與低k介電材料結合的銅基金屬化層會與多個待處理問題有關。
例如,用公認有效之沉積方法(例如化學及物理氣相沉積法)無法以有效率的方式沉積數量相對高的銅。此外,用公認有效之異向性蝕刻製程無法有效率地做出銅的圖樣。因此,所謂的金屬鑲嵌(damascene)或嵌入技術(inlaid technique)常用來形成包含銅線及貫通孔的金屬化層。通常在金屬鑲嵌技術中,沉積介電層,然後圖樣化成可接受溝槽及貫通孔開口,接著用電鍍法(例如,電鍍或無電鍍)將溝槽及貫通口開口填滿銅或其合金。此外,由於銅在多種 電介質(例如,二氧化矽)以及許多低k電介質中容易擴散,因此可能需要在相鄰介電材料的界面處形成擴散阻障層。此外,由於銅容易反應而形成氧化部份而可能使銅基金屬線在黏性、導電率及電遷移抵抗力方面的特性惡化,因此必須抑制水分及氧擴散到銅基金屬。
為了不過度地減少金屬區的整體導電率,導電阻障材料通常用來覆蓋溝槽的內側壁區,同時介電阻障材料(dielectric barrier material)通常用來作為覆蓋層(cap layer)或介電阻障層,在蝕刻製程中,介電阻障材料也可用作有效的蝕刻終止材料以便用貫通孔(從下一個較高金屬化層(metallization level)之金屬區延伸至該金屬區)製成該金屬區的接觸。例如,習知氮化矽是有效的銅擴散阻障物且可用來作為例如介電阻障層。在其他的情形下,在認為有中高介電係數的氮化矽不適用時,富氮碳化矽(SiCN)常用來作為銅擴散阻障物。儘管氮化矽阻障層和基於碳化矽的阻障層有防擴散效果,然而結果顯示:銅對電流誘發材料遷移(電遷移)或被其他應力誘發之材料遷移效應的抵抗力強烈取決於銅基金屬與相鄰介電阻障層間之界面的特性。因此,在以高電流密度為特徵的精密積體電路中,把銅基金屬與介電阻障層間的界面設計成可達成想要的高黏性從而有高效能的電遷移或應力誘發大量遷移通常是很重要的。
因此,在這方面,本技藝已有人提出及實施多種方法以得到更優良的信賴性(亦即,更優良的電遷移性能)以及金屬化層之介電材料有增加之裝置效能,亦即,低總介電 係數(overall permittivity)。因此,許多材料(例如,SiN、SiC、SiCN及其類似物)以及該等材料的各種組合可用來作為介電阻障材料。不過,結果顯示要符合這兩種要求(亦即,更優良的電遷移性能與低k性質)是極其困難的,因為許多對銅、氧、水分及其類似物可提供想要阻擋擴散性(diffusion blocking characteristics)的介電材料通常有中高k值。此外,銅表面在暴露後具有高度反應性而且在沉積介電阻障材料之前常常需要個別處理以便去除氧化銅殘餘物,在操作期間,氧化銅殘餘物可提供材料遷移的擴散路徑,而且也可減少阻障材料的黏性。不過,個別的預沉積處理(pre-deposition treatment)可能對銅表面會有顯著的影響,從而會使銅在進一步加工期間及/或在裝置的操作期間的效能較差。因此,已有人提出侵蝕性較小的處理來避免不必要地損傷銅基材料。在此一方面,已鑑定銅矽化合物(copper silicide)或含氮銅矽化合物(CuSiN)為有效的合金,它可產生高度穩定的界面,從而使對應的金屬區對於電遷移和被其他應力誘發之大量遷移效應有增加之抵抗力,從而增加信賴性。因此,在有些習知方法中,銅表面係暴露於反應氣體環境(例如,電漿輔助氣體環境),其中可包含作為含矽前驅物的矽烷(SiH4 )與含氮氣體,從而可產生有特定比例之氮的銅矽化合物。常在阻障材料(例如,氮化矽、富氮碳化矽或彼等之組合)的電漿增強化學氣相沉積(PECVD)之前立即進行用於在暴露銅界面形成含氮銅矽化合物(CuSiN)材料的製程,其中可進行個別的清洗製程 (例如,電漿增強製程等)以便預備後續用於形成含氮銅矽化合物(CuSiN)合金和沉積介電阻障材料的銅表面。因此,可能涉及多種與銅表面有相互作用而使表面條件複雜化的複雜製程,因此在含氮銅矽化合物(CuSiN)材料的形成期間需要精確地控制製程條件。結果顯示即使微幅改變製程條件都可能造成所得含氮銅矽化合物(CuSiN)材料的組合物有明顯的差異,甚至使靠近表面之銅的特性劣化。因此,雖然含氮銅矽化合物(CuSiN)為看好適合提高銅基金屬化結構效能的候選材料,然而製程卻難以控制,因為前驅材料例如稍微不平衡就會造成金屬線有無法預測的效能特性。
本揭示內容的目標為可避免或至少減少上述一或更多問題之影響的各種方法及裝置。
為供基本理解本發明的一些方面,提出以下的簡化總結。此總結並非本發明的窮舉式總覽。它不是想要確認本發明的關鍵或重要元件或者是描繪本發明的範疇。唯一的目的是要以簡要的形式提出一些概念作為以下更詳細之說明的前言。
本揭示內容的專利標的大體係關於一種用於在暴露含金屬區(例如,含銅金屬區)上形成有效介電阻障層的技術,其中,可用自限(self-limiting)沉積技術在介電材料及金屬區上製成連續的材料膜。由於可高度控制基於化學鍵的成長機制,因此可實現增加之黏性,其中,與適當的材 料結合,也可提供有效的阻擋擴散性,從而有可能進一步省去介電材料或至少大幅減少介電材料的厚度。結果,在介電層堆疊的寄生電容減少的情形下,可得到更優良的效能特性。在有些方面中,用似原子層沉積(ALD)的製程形成的介電阻障層可為可提供銅阻擋擴散性以及對氧及水分也有更優良吸收性能(getter capability)的含鋁及氮層(它會被稱作氮化鋁層)。因此,更優良地控制基於自限技術的沉積製程,配合減少相對介電係數以及各自的阻擋擴散性,有助於大幅提高先進半導體裝置中之金屬化結構的信賴性。
根據一個示範具體實施例,提供一種方法,包括;在形成於微結構裝置(microstructure device)之介電層的金屬區之暴露表面上形成含氮層。該方法更包括:暴露該含氮層於基於含鋁氣體所建立的環境以在該金屬區上形成含鋁及氮之第一阻障層。
根據另一示範具體實施例,提供一種方法,包括:提供基板,其上已形成形成於微結構裝置之介電層的暴露含銅金屬區。該方法更包含:在該暴露含銅金屬區與該介電層上,使用在氣態環境中進行的自限反應機制(self-limiting reaction mechanism)形成介電阻障層。
根據另一示範具體實施例,提供一種半導體裝置,包括:形成於介電層的含銅區與形成於該含銅區及該介電層上的氮化鋁層。此外,有低k介電層在該氮化鋁層上方形成以及形成於連接至該含銅區之該低k介電層中的金屬貫 通孔。
以下描述本發明的各種示範具體實施例。為了清楚說明,本專利說明書沒有描述實際具體實作的所有特徵。當然,應瞭解,在開發任一此類的實際具體實施例時,必須做許多與具體實作有關的決策以達成開發人員的特定目標,例如遵循與系統相關及商務有關的限制,這些都會隨著每一個具體實作而有所不同。此外,應瞭解,此類開發即複雜又花時間,但是仍是本技藝一般技術人員在閱讀本揭示內容後即可實作的例行工作。
茲參照附圖來描述本發明。示意圖示於附圖的各種結構、系統及裝置係僅供解釋以及避免熟諳此藝者所習知的細節混淆本發明。儘管如此,仍納入附圖用來描述及解釋本揭示內容的示範實施例。應使用與相關技藝技術人員所熟悉之意思一致的方式理解及解釋用於本文的字彙及片語。本文沒有特別定義的術語或片語(亦即,與熟諳此藝者所理解之普通慣用意思不同的定義)是想要用術語或片語的一致用法來暗示。在這個意義上,希望術語或片語具有特定的意思時(亦即,不同於熟諳此藝者所理解的意思),則會在本專利說明書中以直接明白地提供特定定義的方式清楚地陳述用於該術語或片語的特定定義。
本揭示內容的專利標的大體針對數種可增加金屬化結構之信賴性的方法及半導體裝置,例如,通過提供更優良的電遷移性能同時仍然提供金屬化層之各個介電層堆疊的 低總介電係數。為此目的,可使用基於適當介電材料的精密沉積技術以便提高與更優良黏著特性結合之沉積製程的可控性,同時所用材料的類型可呈現減少的介電係數或可至少提供減少習知電介質數量的可能性,其中習知電介質可能為了可靠地局限在介電層堆疊內形成且有高擴散率的銅基或其他材料而需要具有中高介電係數。因此,通過使用可控性良好的沉積技術,配合適當的材料組合物,可建立明確的界面特性,而可促進更優良的電遷移性能。此外,在有些方面中,該自限沉積製程可與另一介電材料(例如,附加阻障層或蝕刻終止層或低k介電材料)的沉積有利地結合,從而有助於減少製程的複雜度,同時也可提供高度彈性於活化對應的自限製程。亦即,可使用公認有效的化學氣相沉積(CVD)製程工具,其中可建立適當的電漿輔助氣態環境以活化對應的沉積製程。在其他的情形下,可使用任何其他適當的活化方法,例如使用輻射,例如,紫外線輻射、x射線輻射及其類似者。此外,可使用以電子束輔助的似ALD沉積製程。在圖解說明方面,可在暴露含銅表面上形成氮化鋁層,其中氮化鋁材料的各自特性(例如,對於氧及水分的吸收能力)可增強含銅表面的完整性,其中該氮化鋁材料甚至可提供阻擋銅擴散能力而可顯著放鬆各自對於任一其他介電阻障層的要求,或甚至可允許省去其他附加阻障材料(通常它可呈現增加的相對介電係數)。此外,基於似ALD沉積製程,在已適當製備的電介質表面上也可形成對應的氮化鋁層,從而可因此提高氮化鋁層的黏
性,這可因此導致納入考慮的金屬化層有增強的機械穩定性,特別是在使用低k介電材料的時候。
應瞭解,本文所揭示的技術在需要銅基金屬化結構之先進微結構裝置(例如,先進的積體電路)的背景下是極為有利的,其中就應力誘發大量遷移現象(例如,電遷移)而言,至少有些含銅金屬與四周介電材料的界面可能需要增強的表面特性以便提高金屬化結構的信賴性,從而也可提供進一步縮放裝置的可能性。不過,所揭示的技術也可應用於任何其他製程用來以有高度可控性的方式形成其中之暴露銅基表面也許需要有效可靠之阻障層的微結構裝置。因此,除非在本專利說明書及申請專利範圍中具體言明,否則本揭示內容的專利標的不應被視為是只限於半導體裝置的金屬化結構。
第1a圖的橫截面圖係示意圖示包含基板101的微結構裝置100,基板101可為任一合適的載體材料(carrier material)供在其上或其中形成需要高度導電金屬區(例如,含銅金屬)的微結構特徵。例如,微結構裝置100可為形成於基板101之上的半導體裝置,因而基板101可為其上已形成有個別電路元件(例如,電晶體、電容器及其類似物)形成於其中之適當半導體層的載體材料。裝置100可包含由任何一般是用來製成微結構裝置之適當材料所構成的介電層102。例如,介電層102可包含二氧化矽、氮化矽、氮氧化矽及其類似物,其中,在數個示範具體實施例中,在例如先進積體電路納入考慮時,介電層102可包含低k 介電材料。應瞭解,低k介電材料為相對介電係數等於3.0及以下的材料。介電層102已在其中形成金屬區103,在一個示範具體實施例中,金屬區103為至少有一暴露表面103S(亦即,不被層102之介電材料覆蓋的表面)的含銅材料。應瞭解,取決於前述製程策略,暴露表面103S可包含在與個別反應組份(例如,氧、氟及其類似物)接觸時已形成且有一定數量的氧化物材料或其他污染物。如先前所述,銅容易擴散至多種介電材料(例如,二氧化矽),而且也容易擴散至多種低k介電材料,以致通常有必要依照製程策略用各自的導電及介電阻障層來使銅可靠地局限於金屬區103內。例如,在圖示具體實施例中,可提供例如形式為鉭、氮化鉭、鈦、氮化鈦及其類似物的對應導電阻障材料103A,以便使銅局限於區域103。同樣,對於可能微量存在於介電層102內的反應組份(例如,氧、氟及其類似物),用以局限金屬區103的阻障材料也必須提供阻擋擴散性。同樣,暴露之表面103S通常要覆上適當的阻障材料,在數個示範具體實施例中,可提供形式為實質絕緣的阻障材料以便在進一步的加工期間使金屬局限在區域103內,以及在形成各個金屬區103的接觸時也提供某一程度的蝕刻選擇性,這在下文中會有更詳細的說明。如先前所述,已有人提出許多用適當的物種(例如,碳化矽、氮化矽、甚至導電阻障材料)來選擇性覆蓋暴露表面103S的方法,不過,其中可能出現較差的製程控制和減少的機械穩定性。根據本文所揭示的技術,如下文所述,基於有高度可控性
的沉積製程可在暴露表面103S及介電層102上加上適當的實質絕緣阻障材料。
基於任何公認有效之製程技術可形成如第1a圖所示的微結構裝置100,其中,例如,基於任一合適沉積製程可形成介電層102,隨後基於與適當蝕刻技術結合的光刻技術(例如,微影技術)可進行圖樣化以便在精密應用中形成各個可有100奈米及以下之橫向尺寸(lateral dimension)的開口。例如,在考量半導體裝置之金屬化層的各條金屬線時,在介電層102中形成各個有100奈米及以下之寬度的溝槽,而個別溝槽的長度可在十分之幾微米的範圍內。之後,例如,阻障材料103A的沉積可基於公認有效之沉積技術(例如,CVD、物理氣相沉積(PVD)、ALD、電化學沉積技術及其類似者),其中是依據黏性及阻障特性來選擇阻障材料103A的對應材料組合物。接下來,金屬區103的材料,亦即,在數個示範具體實施例中,例如基於濕式化學沉積技術可提供含銅金屬,其中通常可產生實質非平坦的表面形貌(topography)而在後續的製程步驟中需加以平坦化。例如,經常可用化學機械研磨法(CMP)來去除任何源於先前進行之製程的多餘材料,例如阻障材料、含銅金屬及其類似物。在對應的平坦化製程(planarization process)期間,可形成暴露表面103S,其中與反應組份接觸可能會污染表面。
在先進的半導體裝置中,金屬區103必須適應操作期間的極高電流密度,以及熱耗散所產生的熱應力,熱散耗 在區域103內會導致應力誘發的大量遷移(stress-induced mass transport)。為金屬線中應力誘發材料遷移現象之一重要方面的電遷移可理解為原子在含金屬區中的遷移,其中金屬原子的遷移是由傳導電子轉移的動量造成。在銅基金屬區中,電遷移實質上是被界面及表面的擴散驅動。結果,提供有強化表面特性的表面103S是很重要的,在另一加工期間必須形成另一材料於表面103S上,其中各種界面特性可明顯決定金屬區103的效能及信賴性。在前面的製程(例如,CMP製程)期間,暴露表面103S通常可接觸反應組份(例如,水分、氧、氟及其類似物),反應組份最終會造成在表面103S上形成氧化銅污染物。因此,眾所周知,氧化銅可各自提供擴散路徑,因此,在操作期間,區域103可觀察到電遷移誘發的劣化會增加。因此,在表面103S上形成各個阻障材料之前,清洗表面103S是很重要的。在許多習知方法中,在沉積被充分認可之介電阻障材料(例如,氮化矽、碳化矽及其類似物)之前,可各自進行基於電漿的清洗製程以便去除表面103S的氧化銅。不過,據信對應基於電漿的清洗製程可能導致表面改質,因而有可能損傷表面103S,這損傷可能最終導致仍待在表面103S與介電阻障材料之間形成的界面有較差的穩定性。
因此,根據一個示範具體實施例,可在沒有電漿的情形下進行處理104以便去除或至少修改表面103S藉此顯著減少其上的任何氧化銅區。在一個示範具體實施例中,可用與適當載體氣體(例如,氦)結合的含氮氣體來進行處 理104以去除表面103S之中的氧化銅。例如,氨(NH3 )可與氦結合用來啟動與氧化銅物種的化學反應,其中對應的基板溫度可在室溫至約500℃之間。可用以下方程式來描述對應的化學反應: CuX OY +NH3 →CuN(H)+H2 O+N2
結果,可有效地把氧化銅轉換成包含各自之氮氫基團(NH group)的氮化銅。由於沒有電漿,亦即,處理104可視為熱驅動反應,可實質避免暴露表面103S的各個表面損傷。此外,處理104不會實質影響介電層102的表面,從而對於後續的製程步驟,層102可保持更優良的表面特性。
第1b圖示意圖示處理104之後的微結構裝置100。因此,表面103S已在其中形成各個氮氫基團會在其表面上的氮化銅,這在後續的自限沉積製程期間可提供各自的化學鍵。在建立各個沉積環境之前,如第1b圖所示的裝置100可經受例如基於氦的適當沖洗步驟(purge step)114。
第1c圖示意圖示暴露於氣態環境105(在數個示範具體實施例中,包含含鋁氣體)的微結構裝置100。例如,三甲鋁Al(CH3 )3 可用於氣態環境105以便啟動與氮氫基團(其係由於有前面的處理104而會在表面103S上)的化學反應。對應化學反應的啟動可利用例如基於建立於環境105之電漿的對應活化機制,或利用任一其他的機制,例如輻射誘發的分解,電子束誘發的活化及其類似者。結果,含鋁組份可與氮氫基團反應,其中對應的反應機制有自限 性,從而提供有高度可控性及重製性的製程條件。如圖示,在暴露表面103S上可形成對應的原子表面層,在圖示實施例中,原子表面層可由N-AL(CH3 )2 物種構成。
第1d圖示意圖示微結構裝置100的另一示範具體實施例,其中介電層102的材料至少在其表面層可包含適當的物種以便也致能與含鋁氣體的化學反應。例如,層102的介電材料可由包含矽、氧及氫的材料組成,從而在表面層102S上可提供各自的氫氧基團(OH group),其中對應的氫氧基團可與含鋁前驅物氣體反應以便也在介電層102上形成原子表面層。因此,在此情形下,與介電層102的化學反應也有自限性,其中,由於底下材料中之鍵的化學性質,各表面層可呈現高度黏性,而與該層是形成於金屬區103或者介電層102上無關。之後,沖洗裝置100的對應環境(例如,基於氦的),其中在用電漿來啟動化學反應時也可關掉對應的電漿。在其他的情形下,在對應的沖洗製程期間可停掉活化能的對應供給。
第1e圖示意圖示在進行另一處理124以用氮氫基團取代各個甲基基團(CH3 group)期間的微結構裝置100,從而也可在金屬區103與介電層102上方產生各自的氮化鋁表面層。例如,可使用氨,其中可供給對應的活化能,例如利用輻射、熱、電漿及其類似物。因此,可建立有高度可控性、帶有個別氫鍵的氮化鋁層,此時,可基於另一ALD循環,用該氮化鋁層作為成長氮化鋁層的基礎,藉此對於層厚度可提供高度可控性。亦即,如第1e圖所示的裝置可 暴露於環境105(第1c圖),從而可形成另一原子層,其中各個含鋁物種係與氮-氫鍵鍵合,從而取代氫原子。隨後,可進行例如基於氨的另一循環以便使對應的甲基基團轉換成各個氮氫基團,如第1e圖所示。
第1f圖示意圖示在上述用來形成含鋁及氮層106之似ALD沉積製程後的微結構裝置,其中依照前述順序可根據實施之循環次數來控制含鋁及氮層106的厚度(以106T表示)。因此,如果要在帶圖樣介電材料中形成層106,若是需要對應的實質絕緣阻障材料,則可根據裝置要求,以高精度和良好的階梯覆蓋性(step coverage)來調整厚度106T。此外,取決於表面層103S(第1a圖)中對應氧化銅污染物的初始厚度,仍可存在一部份的表面層103S(其係包含氮化銅),以及由於氮材料有阻擋擴散性而可提供更優良的表面特性。在其他的示範具體實施例中,表面層103S之中的氮可與上覆層106的原子物種實質鍵合。此外,在此情形下,可達成更優良的界面特性,而層106(例如在提供作為氮化鋁層時)的顯著銅阻擋擴散性(這可借助於表面部份103S的各個氮化銅材料)在區域103內可提供合意的銅材料局限性。此外,由於氮化鋁可吸收氧、水分及其類似物,表面103S的完整性在經過一段時間後仍可適度保持穩定,因而也有助於金屬區103的更優良信賴性。
在一些示範具體實施例中,可繼續另一加工,例如用任一合適沉積製程直接沉積適當的介電材料於阻障層106上,其中該介電材料可為用於後續金屬化層的低k介電材 料。結果,相較於習知策略,基於有高度可控性的層厚度可增強銅與絕緣材料間的界面特性,從而提供顯著減少任何額外介電阻障材料之數量的可能性,習知這對於所得層堆疊的總介電係數大有助益。在後續用來圖樣化對應介電材料(可直接形成於阻障層106上)的製程期間,例如在釋出鋁物種後,該對應介電材料的材料可用來作為蝕刻終止材料或至少為蝕刻指標材料(etch indicator material),藉此基於阻障層106,能可靠地控制對應的圖樣化製程(patterning process)。在其他的情形下,如果阻障層106有經減少的厚度106T或無法提供有效的蝕刻控制能力,則基於暴露區域103的材料,可控制對應的蝕刻製程。
第1g圖示意圖示微結構裝置100的另一示範具體實施例。就此情形而言,可進行沉積製程108以提供另一介電材料107,介電材料107可具有各自的阻障特性及/或蝕刻終止特性用以協助裝置100的後續加工。例如,層107可為公認有效之阻障/蝕刻終止材料(例如,氮化矽、碳化矽、富氮碳化矽、或該等材料的任一合適混合物),以便進一步提高銅材料在區域103之中的完整性及/或在後續的加工期間提供更優良的蝕刻終止能力。不過,與習知策略相反,可依據蝕刻終止的特定特性來選擇層107的組合物(例如,就各個子層而言)、材料及其類似物,而不需要更優良的阻擋擴散性和蝕刻終止能力兩者。因此,在選擇各個材料方面,可提高彈性。此外,由於設有阻障層106,與習知策略相比,可將材料107的厚度調整成明顯更低的數 值,從而可顯著減少中高介電係數的材料,這有助於減少所得層堆疊的整體寄生電容。例如,如果需要增強的蝕刻終止能力,可用任何適當材料來形成層107,其中,甚至可使用已知允許銅擴散的二氧化矽,因為阻障層106可提供必要的銅阻擋擴散性。在一些示範具體實施例中,在原位用先前在描述第1a圖至第1f圖時提及的製程順序(process sequence)來完成沉積製程108,從而可顯著減少製程複雜度以及提高工具利用率和整體製程通量。在此一方面,原位製程(in situ process)應被視為是一序列的製程,彼等是在製程工具的同一處理室中完成而中間沒有任何輸送作業。例如,可在適當的CVD室中進行沉積製程108,該室也能產生適當的電漿環境而在前面的似ALD沉積順序期間可提供對應的活化能。在其他的示範具體實施例中,層106與107可在個別專用的製程工具中形成。
此外,任一其他用於後續金屬化層之介電材料(例如,低k介電材料)的沉積可基於任一合適技術(例如,CVD、PECVD、旋塗式(spin-on)技術及其類似者),其中,在CVD沉積的情形下,也可以原位製程順序來完成用於形成阻障層106的製程順序以及低k介電材料的對應沉積。
第1h圖示意圖示微結構裝置100的另一示範具體實施例。如圖示,裝置100可經受沉積製程109,它可為基於合適材料的似ALD製程以便根據裝置要求來提供形式為數個子層的阻障層106。例如,層106可由各個子層106A、106B、106C構成,其中該等子層中之一個子層可為用上 述製程順序製成的氮化鋁層。例如,可提供形式為氮化鋁層的層106C。之後,可完成製程109以基於自限製程順序來提供不同的材料組合物以便特別設計阻障層106的整體特性。例如,可個別選擇前驅物氣體以各自形成與層106C中之對應氮氫基團鍵合的鍵,從而可特別設計層106的性質。例如,可根據裝置要求來個別設計前驅物氣體的官能基團(functional group),例如通過加入各個物種(例如,矽、氮及其類似物),以便具體調整阻擋擴散性、蝕刻終止能力及其類似者。如有必要,隨後,可繼續基於不同前驅物氣體的製程順序109以便形成層106A。結果,基於自限製程109,可個別產生各種的阻障材料,其中藉由提供多個子層可具體調整對應的特性。在一些示範具體實施例中,在進行如先前在描述第1a圖至第1f圖時提及的製程109或自限製程順序之前,可進行有空間選擇性的製程以便在表面103S的上方加上覆蓋層110,其中覆蓋層110可由介電材料或導電材料構成,這取決於加工策略。例如,可在表面103S上選擇性地個別加上金屬合金,其中對應的材料有增強的界面特性,然而對先進微結構裝置而言,對應的蝕刻終止能力和對銅有局限性的特性可能不足。就此情形而言,例如如先前所示或與製程109結合的,可形成層106以提供整體合意的特性,亦即,有高度界面完整性和低總介電係數。
結果,至少利用用於在金屬區之暴露表面上形成實質絕緣材料的似ALD沉積順序,可實現提高選擇合適材料的 彈性以及增加製程可控性,同時可增加微結構裝置的效能與信賴性,特別是在考量含銅金屬化結構的情形下。在一些示範具體實施例中,可省略例如形式為公認有效之材料(例如,氮化矽、碳化矽、富氮碳化矽及其類似物)的附加阻障層而且在用似ALD沉積技術提供的實質絕緣阻障材料上可直接形成對應的低k介電材料。至於含銅金屬區,更優良的化學、機械特性和提高的黏性可利用阻障層與底下材料的化學鍵合來達成,其中,例如,可使用與銅及多種低k介電材料結合的氮化鋁層。此外,在用於製備含銅表面供後續自限沉積製程用的對應預處理中,藉由進行在沒有任何電漿環境下的對應製程可避免表面的明顯損傷。此外,如前述,可將用於形成阻障層106的對應順序具體實作成原位順序,其中,在一些圖解說明方面中,也可以原位製程完成任何其他材料(例如,低k介電材料、附加阻障材料及其類似物)的沉積。
此時以參照第2a圖至第2c圖來描述在用似ALD沉積順序形成阻障層之前可個別進行預處理的其他示範具體實施例。
第2a圖示意圖示的微結構裝置200是在裝置200包含基板201的製造階段,如先前在參照基板101時所描述的,基板201可在其中已形成任何電路元件。此外,裝置200可包含介電層202,它可為待形成金屬區(例如,含銅區)之金屬化層或任一其他裝置層(device level)的介電材料。在圖示的具體實施例中,介電層202可為低k介電材料, 它可具有依照裝置要求的任何適當材料組合物。例如,介電層202可由任何材料形成而該材料可以不提供後續自限地沉積阻障材料所需要的對應表面特性。例如,層202可由多孔矽、多孔矽土及其類似物或任何其他低k介電質構成。結果,裝置200可暴露於處理210以提供包含適當化學組合物的對應表面層202S(第2B圖)以便允許隨後與對應的物種(例如,含鋁及氮之物種)鍵合。例如,處理210可包含適當材料(例如,含矽、氧及氫材料)的沉積,因此可用本技藝公認有效的組合物。因此,處理210可像CVD製程那樣用來完成形成所需厚度的表面層202S。在其他的情形下,處理210可包含沉積含氮及氫的材料,或可包含基於電漿的對應處理用以加入氧或氮於介電層202的表面部份內以形成表面層202S。
之後,可基於公認有效的處方及光學微影技術,藉由適當地圖樣化介電層202來繼續裝置200的下一個加工。在一些示範具體實施例中,首先可圖樣化介電層202,隨後在製程210期間加以處理以便形成各個在任一暴露表面上的表面層202S。
第2b圖示意圖示下一個製造階段的裝置200,其係於介電層202內形成含銅區203,其中區域203可包含導電阻障層203A與暴露表面203S,如先前在描述裝置100時提及的。因此,各個製造順序也可用於裝置200。亦即,在形成含銅區203後,暴露表面203S可包含大量氧化銅,而表面層202S可具有隨後可黏附前驅物氣體的對應組 構,如前述。因此,如第2b圖所示的裝置200可經受在描述第1a圖至第1e圖時提及的製程順序以便形成各個基於自限製程的阻障層,其中已被修改的表面202S在區域203與介電層202上方提供連續的沉積,而該表面本身可能不適合自限沉積順序。
第2c圖示意圖示裝置200的另一示範具體實施例,其中裝置200可經受設計成可製備暴露表面203S供後續製程順序用來如前述形成阻障層於其上的處理211。在一些示範具體實施例中,處理211可包含例如基於濕式化學法、電漿輔助法、熱氧化法及其類似者而實施的氧化製程以在暴露表面203S上形成實質連續的氧化銅層。就此情形而言,可形成實質連續且明確界定的氧化銅層,在依照前述自限順序來實際沉積阻障材料之前,在進一步處理基板200期間,該氧化銅層也可用作鈍化層(passivation layer)。結果,就此情形而言,可基於均勻的表面狀態來完成在描述第1a圖時提及的處理(亦即,用於把氧化銅轉換成含氫氮化銅的處理104),其中在後續的基板處理作業期間,對應的表面203S由於有鈍化特性而可呈現提高的完整性。結果,例如在對應CMP製程後可立即形成高度均勻的表面203S,同時由於表面203S有鈍化氧化銅層以致後續的製程作業不會使任何表面不規則性明顯增加。
在其他的示範具體實施例中,處理211可包含用基於適當前驅材料的濕式化學處方來選擇性加入氮,藉此,就此情形而言,可省略如第1a圖所示的處理104,而且對應 形成阻障層的自限製程順序可以引入含鋁氣體來開始,如第1c圖所示。
此時以參考第3a圖至第3b圖來描述其他的示範具體實施例,其中可形成蝕刻終止層與阻障層(例如,阻障層106),該蝕刻終止層可加以圖樣化以顯著減少所得層堆疊的總介電係數。
第3圖示意圖示的微結構裝置300係包含基板301、形成於基板301上的介電層302、嵌入介電層302的金屬區303、及絕緣阻障層306。至於到目前為止所描述的組件,可應用如先前在參考裝置100及200時所描述的準則。因此,阻障層306可為例如用如前述之自限製程順序形成的氮化鋁層。此外,裝置300可包含蝕刻終止層307,其係由在後續蝕刻製程期間呈現想要高蝕刻選擇性的材料構成,該蝕刻製程係用以圖樣化要在後面用來在裝置300中形成另一金屬化層之製造階段形成的介電材料。因此,可使用任一合適的材料(例如,氮化矽及其類似物),而與它的相對介電係數無關,因為可用基於對應阻劑遮罩312的對應蝕刻製程313來圖樣化層307。亦即,可去除層307中任一在後續加工期間不需蝕刻終止能力之區域的材料,從而可顯著減少各自對於層堆疊之總介電係數的影響,同時仍可使後續製程有可靠的圖樣化順序。製程313可施行為基於適當蝕刻化學作用的濕式化學蝕刻製程、乾式蝕刻製程及其類似者,其中阻障層306可用作蝕刻終止。
第3b圖的上視圖示意圖示在蝕刻製程313及去除阻劑 遮罩312之後的裝置300。在此一示範具體實施例中,也可去除蝕刻終止層307在金屬區303上方的部份,以及可只保留與貫通孔314(以虛線表示)對應的部份,而貫通孔314需要在圖樣化對應介電材料用以連接至區域303的後續製造階段中形成。結果,可以高信賴性完成對應的蝕刻製程,同時阻障層306在金屬區303上方可提供想要的機械強度和阻擋擴散性。
在此以參考第4a圖至第4b圖分別描述可用自限阻障材料(self-limiting barrier material)於金屬化層堆疊的半導體裝置。第4a圖示意圖示包含基板401之半導體裝置400的橫截面圖,其中基板401上方形成已加入導電區403的介電層402。例如,與導電區403結合的介電層402可為裝置400的金屬化層,而在其他的情形下,導電區403可為電路元件中形成於基板401中及上方的任何接觸區。在一個示範具體實施例中,導電區403可包含例如形式為金屬線的含銅區,其中對應阻障層406在區域403內可提供有必要完整性的銅材料。在一個示範具體實施例中,阻障材料406可為氮化鋁層,亦即,用自限製程順序形成的含鋁及氮層,如前述。此外,裝置400包含介電材料422,介電材料422至少有一部份可提供作為讓金屬線423(例如,用對應貫通孔423A連接至金屬區403的含銅線)嵌入的低k介電材料。此外,對應阻障層426可覆蓋金屬線423,其中可以含鋁及氮材料(可基於如前述之自限製程順序來形成)的形式提供阻障層426。
可用公認有效的技術來形成組件401、402及403,接著是層406之材料的沉積技術,如前述。之後,可在層406上直接沉積介電材料422,隨後用公認有效的圖樣化方法來做圖樣。之後,可形成層426,如前述。
第4b圖示意圖示裝置400的另一個示範具體實施例,其中在阻障層406上方至少局部設有蝕刻終止層407以便增強個別圖樣化製程427(其係基於經設計成可提供貫通孔423A之開口的對應蝕刻遮罩428來完成)的控制。由於可將蝕刻終止層407特別設計成來控制製程427,因此可使用厚度比習知策略明顯減少的任何適當材料,因為可用阻障層406來提供對應的界面特性。
至於用於形成介電層422的任何製程策略,不管是否提供蝕刻終止層407,係應用如先前所解釋的準則。亦即,可以原位順序來完成用於形成阻障層406的順序以及層422之介電材料的沉積(可能結合蝕刻終止層407的沉積),從而可提高工具利用率與製程通量。
結果,本文所揭示的專利標的可提供一種技術用來增強先進半導體裝置中之金屬化結構的效能同時使中間層介電材料的總介電係數維持在較低的位準。為此目的,可進行似ALD沉積製程以便用有高度可控性的方式沉積有效的阻障材料,從而提供省去任何其他阻障材料的可能性,或至少顯著減少它的數量。在數個示範具體實施例中,氮化鋁層是用自限製程順序形成,其中氮化鋁提供銅阻擋擴散性而且對於氧及水分也有更優良的獲取性能。
以上所揭示的特定具體實施例均僅供圖解說明,因為熟諳此藝者在受益於本文的教導後顯然可以不同但等價的方式來修改及實施本發明。例如,可用不同的順序完成以上所提出的製程步驟。此外,除非在以下申請專利範圍有提及,否則不希望本發明受限於本文所示之構造或設計的細節。因此,顯然可改變或修改以上所揭示的特定具體實施例而所有此類變體都被認為仍然是在本發明的範疇與精神內。因此,本文提出以下的申請專利範圍尋求保護。
100‧‧‧微結構裝置
101‧‧‧基板
102‧‧‧介電層
102S‧‧‧表面層
103‧‧‧金屬區
103A‧‧‧導電阻障材料
103S‧‧‧暴露表面
104‧‧‧處理
105‧‧‧氣態環境
106‧‧‧含鋁及氮層
106A、106B、106C‧‧‧子層
106T‧‧‧厚度
107‧‧‧介電材料
108‧‧‧沉積製程
109‧‧‧沉積製程、製程順序
110‧‧‧覆蓋層
114‧‧‧沖洗步驟
124‧‧‧處理
200‧‧‧微結構裝置
201‧‧‧基板
202‧‧‧介電層
202S‧‧‧表面層
203‧‧‧含銅區
203A‧‧‧導電阻障層
203S‧‧‧暴露表面
210‧‧‧處理
211‧‧‧處理
300‧‧‧微結構裝置
301‧‧‧基板
302‧‧‧介電層
303‧‧‧金屬區
306‧‧‧絕緣阻障層
307‧‧‧蝕刻終止層
312‧‧‧阻劑遮罩
313‧‧‧蝕刻製程
314‧‧‧貫通孔
400‧‧‧半導體裝置
401‧‧‧基板
402‧‧‧介電層
403‧‧‧導電區
406‧‧‧阻障層
407‧‧‧蝕刻終止層
422‧‧‧介電材料
423‧‧‧金屬線
423A‧‧‧貫通孔
426‧‧‧阻障層
427‧‧‧圖樣化製程
428‧‧‧蝕刻遮罩
參考上述結合附圖的說明可瞭解本揭示內容,附圖中類似的元件用相同的元件符號表示。
第1a圖至第1e圖係根據示範具體實施例基於使用含鋁前驅物氣體之自限製程示意圖示於在含金屬區上形成介電阻障層之不同製造階段期間的微結構裝置;第1f圖係根據數個示範具體實施例示意圖示其上已形成有指定厚度之介電阻障層的微結構裝置,該指定厚度是用似ALD沉積製程的沉積循環次數控制;第1g圖為其他示範具體實施例的微結構裝置示意圖;第1h圖係根據其他示範具體實施例示意圖示包含多個個別介電阻障層的微結構裝置;第2a圖至第2b圖的橫截面圖係根據其他示範具體實施例示意圖示處於形成含銅區以及製備各個介電材料供接受氮化鋁層用之不同製造階段期間的微結構裝置;第2c圖的橫截面圖係根據數個示範具體實施例示意 圖示在用以提供實質均勻氧化銅表面之處理期間的微結構裝置;第3a圖及第3b圖係根據其他示範具體實施例分別示意圖示在含鋁及氮阻障層上形成附加阻障或蝕刻終止層時的橫截面圖與上視圖;以及第4a圖及第4b圖的橫截面圖係根據其他示範具體實施例示意圖示包含用自限製程以介電阻障層形成之金屬化層的半導體裝置。
儘管本發明此處揭示之標的內容容易做成各種修改及替代形式,但是本文仍以附圖為例圖示幾個本發明的特定具體實施例且詳述其中的細節。不過,應瞭解本文所描述的特定具體實施例不是想要把本發明限定成本文所揭示的特定形式,反而是,本發明是要涵蓋落入依照附上申請專利範圍界定之本發明精神及範疇內的所有修改、等效及替代性陳述。
400‧‧‧半導體裝置
401‧‧‧基板
402‧‧‧介電層
403‧‧‧導電區
407‧‧‧蝕刻終止層
422‧‧‧介電材料
423A‧‧‧貫通孔
427‧‧‧圖樣化製程
428‧‧‧蝕刻遮罩

Claims (20)

  1. 一種形成積體電路之方法,包括:在形成於介電層之金屬區的暴露表面上形成含氮層;暴露該含氮層於基於含鋁氣體所建立的環境,以在該金屬區上形成含鋁及氮之第一阻障層;以及在該含鋁及氮之第一阻障層上形成低k介電材料。
  2. 如申請專利範圍第1項的方法,其中,該金屬區包括銅。
  3. 如申請專利範圍第2項的方法,其中,形成該含氮層包括:處理該暴露表面以使氧化銅轉換成氮化銅。
  4. 如申請專利範圍第3項的方法,其中,處理該暴露表面包括:建立含氨(NH3)環境。
  5. 如申請專利範圍第1項的方法,其中,該含鋁氣體包括三甲鋁(Al(CH3 )3 )。
  6. 如申請專利範圍第1項的方法,復包括:在該第一阻障層上形成第二介電阻障層以及在該第二介電阻障層之上形成低k介電材料。
  7. 如申請專利範圍第6項的方法,其中,在原位製程中形成該第一阻障層與該第二介電層。
  8. 如申請專利範圍第3項的方法,其中,處理該暴露表面包括:在沒有電漿的情形下熱活化。
  9. 如申請專利範圍第3項的方法,復包含:在氧化環境中形成該氧化銅以成為實質連續的氧化銅層。
  10. 如申請專利範圍第1項的方法,其中,該含鋁及氮之第 一阻障層是在該介電層上形成。
  11. 如申請專利範圍第10項的方法,復包括:形成該介電層以致於至少該介電層的表面具有氫氧基團。
  12. 一種形成積體電路之方法,包括下列步驟:提供基板,在該基板上已形成形成於介電層的暴露含銅金屬區;在該暴露含銅金屬區與該介電層上藉由使用在氣態環境中進行的自限反應機制而形成介電阻障層;以及在該介電阻障層上形成蝕刻終止層,在該蝕刻終止層上形成第二介電層,以及使用該蝕刻終止層來圖樣化該第二介電層。
  13. 如申請專利範圍第12項的方法,其中,該介電阻障層包括鋁與氮。
  14. 如申請專利範圍第13項的方法,其中,形成該介電阻障層包括:在該暴露含銅金屬區上形成氮化銅層,以及用含鋁氣體處理該氮化銅層。
  15. 如申請專利範圍第14項的方法,其中,形成該介電阻障層復包括:在用該含鋁氣體處理後,暴露該基板於含氨環境。
  16. 如申請專利範圍第14項的方法,其中,該氮化銅層是在沒有電漿的熱活化處理中形成。
  17. 如申請專利範圍第12項的方法,其中,至少原位形成該蝕刻終止層與該介電阻障層。
  18. 一種半導體裝置,包括: 形成於介電層的含銅區;形成於該含銅區及該介電層上的氮化鋁層;形成於該氮化鋁層之上的第二介電層;以及形成於該介電層中且連接至該含銅區的金屬貫通孔,其中,該介電層是在該氮化鋁層上形成。
  19. 如申請專利範圍第18項的裝置,其中,該介電層係由低k介電材料構成。
  20. 如申請專利範圍第18項的半導體裝置,復包括位於該氮化鋁層與該介電層之間的介電阻障層,相對於該介電層,該介電阻障層有更優良的阻擋擴散性。
TW097103408A 2007-01-31 2008-01-30 藉由使用氮化鋁來增加微結構中之銅基金屬化結構之信賴性 TWI446487B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
DE102007004867A DE102007004867B4 (de) 2007-01-31 2007-01-31 Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
US11/948,245 US7829460B2 (en) 2007-01-31 2007-11-30 Method of manufracturing increasing reliability of copper-based metallization structures in a microstructure device by using aluminum nitride

Publications (2)

Publication Number Publication Date
TW200837882A TW200837882A (en) 2008-09-16
TWI446487B true TWI446487B (zh) 2014-07-21

Family

ID=39597380

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097103408A TWI446487B (zh) 2007-01-31 2008-01-30 藉由使用氮化鋁來增加微結構中之銅基金屬化結構之信賴性

Country Status (8)

Country Link
US (3) US7829460B2 (zh)
JP (1) JP2010517325A (zh)
KR (1) KR101385709B1 (zh)
CN (1) CN101681873B (zh)
DE (1) DE102007004867B4 (zh)
GB (1) GB2459232A (zh)
TW (1) TWI446487B (zh)
WO (1) WO2008094669A1 (zh)

Families Citing this family (363)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0436185A (ja) * 1990-03-28 1992-02-06 Kyowa Hakko Kogyo Co Ltd 融合抗原ポリペプチド
US20090269923A1 (en) * 2008-04-25 2009-10-29 Lee Sang M Adhesion and electromigration improvement between dielectric and conductive layers
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5773306B2 (ja) * 2010-01-15 2015-09-02 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 半導体素子構造を形成する方法および装置
TWI529808B (zh) 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
JP2012054306A (ja) * 2010-08-31 2012-03-15 Tokyo Electron Ltd 半導体装置の製造方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
WO2014066740A1 (en) 2012-10-26 2014-05-01 Element Six Technologies Us Corporation Semiconductor devices with improved reliability and operating life and methods of manufacturing the same
CN103928389B (zh) * 2013-01-10 2017-02-22 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
CN104022068B (zh) * 2013-02-28 2017-03-29 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
US9601431B2 (en) 2014-02-05 2017-03-21 Applied Materials, Inc. Dielectric/metal barrier integration to prevent copper diffusion
CN104835778B (zh) * 2014-02-08 2017-12-05 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制作方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9418889B2 (en) * 2014-06-30 2016-08-16 Lam Research Corporation Selective formation of dielectric barriers for metal interconnects in semiconductor devices
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
CN105575881B (zh) * 2014-10-11 2018-09-21 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制作方法
CN105489548B (zh) * 2014-10-13 2018-10-23 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制作方法
US9437484B2 (en) 2014-10-17 2016-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Etch stop layer in integrated circuits
US9502263B2 (en) * 2014-12-15 2016-11-22 Applied Materials, Inc. UV assisted CVD AlN film for BEOL etch stop application
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
KR102185458B1 (ko) 2015-02-03 2020-12-03 에이에스엠 아이피 홀딩 비.브이. 선택적 퇴적
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102462134B1 (ko) 2015-05-19 2022-11-02 삼성전자주식회사 배선 구조물, 배선 구조물 형성 방법, 반도체 장치 및 반도체 장치의 제조 방법
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9761488B2 (en) * 2015-07-17 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method for cleaning via of interconnect structure of semiconductor device structure
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10566185B2 (en) * 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US9633896B1 (en) 2015-10-09 2017-04-25 Lam Research Corporation Methods for formation of low-k aluminum-containing etch stop films
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
CN106876324A (zh) * 2015-12-10 2017-06-20 中芯国际集成电路制造(上海)有限公司 互连结构的形成方法
CN106876325B (zh) * 2015-12-11 2020-04-03 中芯国际集成电路制造(上海)有限公司 互连结构及其形成方法
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9981286B2 (en) 2016-03-08 2018-05-29 Asm Ip Holding B.V. Selective formation of metal silicides
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
CN109314045B (zh) 2016-04-18 2023-08-04 Asm Ip 控股有限公司 于基底上形成定向自组装层的方法
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10651080B2 (en) 2016-04-26 2020-05-12 Lam Research Corporation Oxidizing treatment of aluminum nitride films in semiconductor device manufacturing
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
KR102592471B1 (ko) * 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10049869B2 (en) 2016-09-30 2018-08-14 Lam Research Corporation Composite dielectric interface layers for interconnect structures
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US9859153B1 (en) 2016-11-14 2018-01-02 Lam Research Corporation Deposition of aluminum oxide etch stop layers
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
CN108122821B (zh) * 2016-11-29 2021-05-04 中芯国际集成电路制造(上海)有限公司 互连结构及其形成方法
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
KR102217242B1 (ko) 2017-03-08 2021-02-18 삼성전자주식회사 집적회로 소자 및 그 제조 방법
US10276505B2 (en) 2017-03-08 2019-04-30 Samsung Electronics Co., Ltd. Integrated circuit device and method of manufacturing the same
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
CN108695237B (zh) * 2017-04-05 2020-12-15 中芯国际集成电路制造(北京)有限公司 一种半导体器件及其制作方法
US10373906B2 (en) * 2017-04-20 2019-08-06 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of interconnection structure of semiconductor device
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11170993B2 (en) 2017-05-16 2021-11-09 Asm Ip Holding B.V. Selective PEALD of oxide on dielectric
CN108933100B (zh) * 2017-05-24 2021-04-30 中芯国际集成电路制造(上海)有限公司 互连结构及互连结构的制造方法
CN108962875B (zh) * 2017-05-27 2021-01-29 中芯国际集成电路制造(上海)有限公司 介质阻挡层及其制造方法、互连结构及其制造方法
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10535816B2 (en) 2017-11-22 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Via structure, MRAM device using the via structure and method for fabricating the MRAM device
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10468297B1 (en) * 2018-04-27 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Metal-based etch-stop layer
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11315828B2 (en) * 2018-08-15 2022-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Metal oxide composite as etch stop layer
KR102329022B1 (ko) * 2018-08-15 2021-11-22 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 에칭 정지 층으로서의 금속 산화물 복합체
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
US11699618B2 (en) * 2020-01-24 2023-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Low-k dielectric damage prevention
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11658064B2 (en) * 2020-09-29 2023-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure with dielectric cap layer and etch stop layer stack
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11670546B2 (en) * 2021-03-04 2023-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW417249B (en) * 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US6127258A (en) * 1998-06-25 2000-10-03 Motorola Inc. Method for forming a semiconductor device
KR100275738B1 (ko) * 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6153523A (en) * 1998-12-09 2000-11-28 Advanced Micro Devices, Inc. Method of forming high density capping layers for copper interconnects with improved adhesion
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US7061111B2 (en) 2000-04-11 2006-06-13 Micron Technology, Inc. Interconnect structure for use in an integrated circuit
US6617173B1 (en) 2000-10-11 2003-09-09 Genus, Inc. Integration of ferromagnetic films with ultrathin insulating film using atomic layer deposition
US7037574B2 (en) * 2001-05-23 2006-05-02 Veeco Instruments, Inc. Atomic layer deposition for fabricating thin films
US7276441B1 (en) * 2003-04-15 2007-10-02 Lsi Logic Corporation Dielectric barrier layer for increasing electromigration lifetimes in copper interconnect structures
US20050227003A1 (en) * 2004-04-08 2005-10-13 Carlson Chris M Methods of forming material over substrates
US7253501B2 (en) 2004-08-03 2007-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. High performance metallization cap layer
US7217648B2 (en) * 2004-12-22 2007-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. Post-ESL porogen burn-out for copper ELK integration
US7084060B1 (en) * 2005-05-04 2006-08-01 International Business Machines Corporation Forming capping layer over metal wire structure using selective atomic layer deposition
DE102005052052B4 (de) * 2005-10-31 2008-02-07 Advanced Micro Devices, Inc., Sunnyvale Ätzstoppschicht für Metallisierungsschicht mit verbesserter Haftung, Ätzselektivität und Dichtigkeit und Verfahren zur Herstellung eines dielektrischen Schichtstapels
TW200802703A (en) 2005-11-28 2008-01-01 Nxp Bv Method of forming a self aligned copper capping layer
JP5014632B2 (ja) * 2006-01-13 2012-08-29 ルネサスエレクトロニクス株式会社 半導体装置および半導体装置の製造方法
US20100176369A2 (en) * 2008-04-15 2010-07-15 Mark Oliver Metalized Silicon Substrate for Indium Gallium Nitride Light-Emitting Diodes

Also Published As

Publication number Publication date
GB2459232A (en) 2009-10-21
DE102007004867A1 (de) 2008-08-14
KR101385709B1 (ko) 2014-04-17
US20080179741A1 (en) 2008-07-31
JP2010517325A (ja) 2010-05-20
WO2008094669A1 (en) 2008-08-07
US20110018134A1 (en) 2011-01-27
US20120241958A1 (en) 2012-09-27
US8384217B2 (en) 2013-02-26
US7829460B2 (en) 2010-11-09
DE102007004867B4 (de) 2009-07-30
GB0914619D0 (en) 2009-09-30
KR20090115190A (ko) 2009-11-04
TW200837882A (en) 2008-09-16
CN101681873A (zh) 2010-03-24
US8222135B2 (en) 2012-07-17
CN101681873B (zh) 2012-12-26

Similar Documents

Publication Publication Date Title
TWI446487B (zh) 藉由使用氮化鋁來增加微結構中之銅基金屬化結構之信賴性
EP2065927B1 (en) Integration and manufacturing method of Cu germanide and Cu silicide as Cu capping layer
US7229918B2 (en) Nitrogen rich barrier layers and methods of fabrication thereof
US20190311993A1 (en) Schemes for Forming Barrier Layers for Copper in Interconnect Structures
US8058728B2 (en) Diffusion barrier and adhesion layer for an interconnect structure
US7595269B2 (en) Semiconductor device comprising a copper alloy as a barrier layer in a copper metallization layer
US8349730B2 (en) Transitional interface between metal and dielectric in interconnect structures
US7727883B2 (en) Method of forming a diffusion barrier and adhesion layer for an interconnect structure
US8440562B2 (en) Germanium-containing dielectric barrier for low-K process
US20100252930A1 (en) Method for Improving Performance of Etch Stop Layer
US7413985B2 (en) Method for forming a self-aligned nitrogen-containing copper silicide capping layer in a microstructure device
KR101506352B1 (ko) 금속 증착을 위해 기판 표면을 가공하는 프로세스 및 통합 시스템
US9184093B2 (en) Integrated cluster to enable next generation interconnect
KR20090074510A (ko) 반도체 소자의 금속배선 및 그 형성방법
JP5217272B2 (ja) 配線の形成方法及び半導体装置の製造方法
KR100667905B1 (ko) 반도체 소자의 구리 금속배선 형성방법
US8877083B2 (en) Surface treatment in the formation of interconnect structure
KR20080114056A (ko) 반도체 소자의 배선 및 그의 형성방법
KR100885467B1 (ko) 반도체 소자의 배선 형성 방법
US20020182850A1 (en) Interconnect structure manufacturing process
KR20080027082A (ko) 반도체 소자의 금속배선 및 그의 형성방법