TWI840393B - 流出物管理設備、消音器組件設備、及高壓處理設備 - Google Patents
流出物管理設備、消音器組件設備、及高壓處理設備 Download PDFInfo
- Publication number
- TWI840393B TWI840393B TW108127374A TW108127374A TWI840393B TW I840393 B TWI840393 B TW I840393B TW 108127374 A TW108127374 A TW 108127374A TW 108127374 A TW108127374 A TW 108127374A TW I840393 B TWI840393 B TW I840393B
- Authority
- TW
- Taiwan
- Prior art keywords
- muffler
- port
- volume
- conduit
- chamber
- Prior art date
Links
- 238000009931 pascalization Methods 0.000 title description 13
- 239000012530 fluid Substances 0.000 claims abstract description 112
- 238000004891 communication Methods 0.000 claims abstract description 34
- 238000007726 management method Methods 0.000 abstract description 14
- 238000000034 method Methods 0.000 abstract description 13
- 239000007789 gas Substances 0.000 description 24
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 14
- 230000001105 regulatory effect Effects 0.000 description 13
- 239000000758 substrate Substances 0.000 description 13
- 230000003584 silencer Effects 0.000 description 9
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 8
- 239000000463 material Substances 0.000 description 7
- 238000002955 isolation Methods 0.000 description 5
- 238000010926 purge Methods 0.000 description 5
- 229910045601 alloy Inorganic materials 0.000 description 4
- 239000000956 alloy Substances 0.000 description 4
- 238000010586 diagram Methods 0.000 description 4
- 238000004519 manufacturing process Methods 0.000 description 4
- 229910052757 nitrogen Inorganic materials 0.000 description 4
- 229910000069 nitrogen hydride Inorganic materials 0.000 description 4
- 239000004065 semiconductor Substances 0.000 description 4
- 229910000851 Alloy steel Inorganic materials 0.000 description 3
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 3
- 229910052782 aluminium Inorganic materials 0.000 description 3
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 3
- 229910021529 ammonia Inorganic materials 0.000 description 3
- 239000001257 hydrogen Substances 0.000 description 3
- 229910052739 hydrogen Inorganic materials 0.000 description 3
- 239000011261 inert gas Substances 0.000 description 3
- 239000010935 stainless steel Substances 0.000 description 3
- 229910001220 stainless steel Inorganic materials 0.000 description 3
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 2
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 2
- 101001121408 Homo sapiens L-amino-acid oxidase Proteins 0.000 description 1
- 102100026388 L-amino-acid oxidase Human genes 0.000 description 1
- 101100233916 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) KAR5 gene Proteins 0.000 description 1
- OGSYQYXYGXIQFH-UHFFFAOYSA-N chromium molybdenum nickel Chemical compound [Cr].[Ni].[Mo] OGSYQYXYGXIQFH-UHFFFAOYSA-N 0.000 description 1
- 238000009833 condensation Methods 0.000 description 1
- 230000005494 condensation Effects 0.000 description 1
- 230000003750 conditioning effect Effects 0.000 description 1
- 230000006837 decompression Effects 0.000 description 1
- 239000008367 deionised water Substances 0.000 description 1
- 229910021641 deionized water Inorganic materials 0.000 description 1
- DDTIGTPWGISMKL-UHFFFAOYSA-N molybdenum nickel Chemical compound [Ni].[Mo] DDTIGTPWGISMKL-UHFFFAOYSA-N 0.000 description 1
- 229910052759 nickel Inorganic materials 0.000 description 1
- 229910052756 noble gas Inorganic materials 0.000 description 1
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 1
- 238000007789 sealing Methods 0.000 description 1
- 239000002341 toxic gas Substances 0.000 description 1
Classifications
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B01—PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
- B01D—SEPARATION
- B01D53/00—Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B01—PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
- B01D—SEPARATION
- B01D53/00—Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols
- B01D53/02—Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols by adsorption, e.g. preparative gas chromatography
- B01D53/04—Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols by adsorption, e.g. preparative gas chromatography with stationary adsorbents
- B01D53/0407—Constructional details of adsorbing systems
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/6715—Apparatus for applying a liquid, a resin, an ink or the like
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67207—Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67242—Apparatus for monitoring, sorting or marking
- H01L21/67253—Process monitoring, e.g. flow or thickness monitoring
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67242—Apparatus for monitoring, sorting or marking
- H01L21/67276—Production flow monitoring, e.g. for increasing throughput
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/673—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
- H01L21/6735—Closed carriers
- H01L21/67389—Closed carriers characterised by atmosphere control
- H01L21/67393—Closed carriers characterised by atmosphere control characterised by the presence of atmosphere modifying elements inside or attached to the closed carrierl
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B01—PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
- B01D—SEPARATION
- B01D2258/00—Sources of waste gases
- B01D2258/02—Other waste gases
- B01D2258/0216—Other waste gases from CVD treatment or semi-conductor manufacturing
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Analytical Chemistry (AREA)
- General Chemical & Material Sciences (AREA)
- Oil, Petroleum & Natural Gas (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Automation & Control Theory (AREA)
- Drying Of Semiconductors (AREA)
- Pipeline Systems (AREA)
Abstract
本揭示案的實施例係關於氣體減弱設備和流出物管理。這裡描述的設備包括高壓處理腔室和圍繞處理腔室的容納腔室。高壓流體輸送模組與高壓處理腔室流體連通,且高壓流體輸送模組經配置將高壓流體輸送到處理腔室。流出物管理模組包括用於降低流出物壓力的消音器組件和用於處理流出物的複數個洗滌器。
Description
本發明揭露的實施例一般係關於用於半導體處理之設備。更具體地,本揭示案的實施例係關於用於高壓處理系統的氣體減弱設備。
半導體製造領域利用各種製程來製造結合到積體電路中的元件。隨著元件複雜性的增加,積體電路製造商尋求改進的方法來製造高級(advanced)節點元件。例如,高級處理特性可包括利用更極端的製程變量來實現高級元件製造。
越來越多地研究在半導體製造中使用的製程變量的一個實例是高壓處理。在高於大氣壓的壓力下的高壓處理已經顯示出有希望的材料調制特性。然而,當考慮施行高級節點元件製造製程所需的必要控制程度時,通常缺乏適合於安全且有效地施行高壓處理的設備。更具體地,傳統的處理設備通常缺少用於流出物(如有毒氣體及類似物)的高壓管理的合適的排出模組。
因此,本領域需要改良的氣體減弱(abatement)設備和管理高壓流出物的方法。
在一個實施例中,提供了一種消音器(muffler)組件設備。該設備包括第一消音器,該第一消音器在其中界定一第一體積,該第一消音器具有在一第一端中形成的一第一埠與在一第二端中形成的一第二埠,該第二埠與該第一埠相對。第二消音器在其中界定第二體積。第二消音器具有在一第三端中形成的一第三埠與在該第二消音器中形成的一第四埠,該第四埠與該第三埠實質相對。第三消音器在其中界定第三體積。該第三消音器具有在其中形成的一第五埠與在其中形成的一第六埠,該第六埠與該第五埠實質相對。第四消音器在其中界定第四體積。該第四消音器具有在其中形成的一第七埠以及穿過一第四端形成的一第八埠,該第八埠與該第七埠實質相對。第一導管在第七埠和第五埠之間延伸,及第二導管在第四埠和第六埠之間延伸。
在另一個實施例中,提供一種流出物管理設備。該設備包括消音器組件,該消音器組件包含在其中界定第一體積的第一消音器,該第一消音器具有在一第一端中形成的一第一埠與在一第二端中形成的一第二埠,該第二埠與該第一埠相對。第二消音器在其中界定第二體積。第二消音器具有在一第三端中形成的一第三埠與在該第二消音器中形成的一第四埠,該第四埠與該第三埠實質相對。第三消音器在其中界定第三體積。該第三消音器具有在其中形成的一第五埠與在其中形成的一第六埠,該第六
埠與該第五埠實質相對。第四消音器在其中界定第四體積。該第四消音器具有在其中形成的一第七埠以及穿過一第四端形成的一第八埠,該第八埠與該第七埠實質相對。第一導管在第七埠和第五埠之間延伸,及第二導管在第四埠和第六埠之間延伸。第三導管從第三埠延伸到閥,第一洗滌器與閥流體連通,及第二洗滌器經由第四導管與閥流體連通。
在又一個實施例中,提供一種高壓處理設備。該設備包括第一腔室和第二腔室,第一腔室和第二腔室在其中界定處理體積。第二腔室設置在第一腔室內。消音器組件包括第一消音器,該第一消音器在其中界定一第一體積,該第一消音器具有在一第一端中形成的一第一埠與在一第二端中形成的一第二埠,該第二埠與該第一埠相對。第二消音器在其中界定第二體積。第二消音器具有在一第三端中形成的一第三埠與在該第二消音器中形成的一第四埠,該第四埠與該第三埠實質相對。第三消音器在其中界定第三體積。該第三消音器具有在其中形成的一第五埠與在其中形成的一第六埠,該第六埠與該第五埠實質相對。第四消音器在其中界定第四體積。該第四消音器具有在其中形成的一第七埠以及穿過一第四端形成的一第八埠,該第八埠與該第七埠實質相對。第一導管在第七埠和第五埠之間延伸,及第二導管在第四埠和第六埠之間延伸。第三導管從第三埠延伸到閥,第一洗滌器與閥流體連
通,及第二洗滌器經由第四導管與閥流體連通。第五導管設置在處理體積和第一消音器的第一埠之間。
100:高壓處理設備
101:導管
102:第二腔室
103:排放導管
104:第二體積
105:隔離閥
106:基座
107:節流閥
108:基板支撐表面
109:泵
110:第二狹縫閥
111:第一洗滌器
112:第二狹縫閥門
113:排氣裝置
114:內表面
115:流出物管理模組
116:第一腔室
118:第一體積
120:第一狹縫閥
121:感測器
122:第一狹縫閥門
124:外表面
126:埠
128:排氣口
130:埠
131:氣體源
132:埠
134:埠
136:排氣口
138:壓力釋放埠
140:流體管理設備
142:第二流體輸送模組
144:第一流體輸送模組
146:第三流體輸送模組
148:第三流體源
150:第一流體源
152:第二流體源
154:第二導管
155:加熱器護套
156:第一導管
157:加熱器護套
158:第三導管
159:加熱器護套
160:第二閥
162:容納殼體
164:第一閥
166:容納殼體
168:第三閥
170:容納殼體
172:淨化氣體源
174:導管
176:導管
180:導管
182:閥
184:調節閥
186:導管
188:導管
190:導管
192:閥
194:蒸汽疏水器
196:閥
198:容納殼體
202:消音器組件
204:第一消音器
206:體積
208:第二消音器
210:體積
212:第三消音器
214:體積
216:第四消音器
218:體積
220:導管
222:導管
224:導管
226:導管
228:導管
230:旁通閥
232:導管
234:限流器
236:導管
238:第二洗滌器
240:導管
242:導管
244:質量流量控制器
302:埠
304:埠
306:埠
308:埠
310:埠
312:埠
314:埠
316:埠
318:埠
320:導管
322:埠
324:導管
326:埠
328:導管
330:埠
332:導管
334:帽部
336:帽部
338:帽部
340:帽部
342:直徑
344:長度
346:第一端
348:第二端
350:第一端
352:第二端
354:第一端
356:第二端
358:第一端
360:第二端
本揭示案之特徵已簡要概述於前,並在以下有更詳盡之討論,可以藉由參考所附圖式中繪示之本案實施例以作瞭解。然而,值得注意的是,所附圖式只繪示了示範實施例且不會視為其範圍之限制,本揭示可允許其他等效之實施例。
圖1是根據本揭示案描述的實施例的高壓處理設備的示意圖。
圖2是根據本揭示案描述的實施例的流出物管理模組的示意圖。
圖3是根據本揭示案描述的實施例的消音器組件的示意性截面圖。
為便於理解,在可能的情況下,使用相同的數字編號代表圖示中相同的元件。可以預期的是一個實施例中的元件與特徵可有利地用於其他實施例中而無需贅述。
本揭示案的實施例係關於用於半導體處理的高壓處理設備。本說明書描述的設備包括高壓處理腔室和圍繞處理腔室的容納腔室。高壓流體輸送模組與高壓處理腔室流體連通,且高壓流體輸送模組經配置將高壓流體輸送到處理腔室。
圖1是根據本揭示案描述的實施例的高壓處理設備100的示意圖。設備100包括第一腔室116,第一腔室116在其中界定第一體積118。在一個實施例中,第一體積118的體積在約80升至約150升之間,例如在約100升至約120升之間。第一腔室116由製程兼容材料製成,如鋁、不銹鋼、其合金及其組合。選擇用於製造第一腔室116的材料適合於在次大氣壓(sub-atmospheric)下操作,如小於約700Torr的壓力,例如650Torr或更低。
流出物管理模組115耦接到第一腔室116並與第一腔室116流體連通。第一腔室116具有形成在其中的排氣口128。排放導管103在排氣口128處耦接到第一腔室116,使得排放導管103與第一體積118流體連通。隔離閥105和節流閥107設置在排放導管103上。隔離閥105設置在節流閥107和排氣口128之間的排放導管103上。隔離閥105可操作以啟動和消除(extinguish)第一體積118和排氣裝置113之間的流體連通。節流閥107控制從第一體積118流過排放導管103的流出物的流速。
泵109亦耦接到排放導管103,且泵109操作以將流體從第一體積118拉到排氣裝置113。泵109設置在節流閥107和排氣裝置113之間的排放導管103上。在一個實施例中,泵109在第一體積118中產生次大氣壓,如小於約700Torr的壓力。第一洗滌器111也設置在泵109和排氣裝置113之間的排放導管103上。第一洗滌器
111經由排放導管103與第一體積118流體連通,且第一洗滌器111經配置在流出物離開排放導管103到排氣裝置113之前處理來自第一體積118的流出物。
第一腔室116具有外表面124,外表面124不暴露於第一體積118。第一狹縫閥(first slit valve)120形成在腔室116中,以使基板能夠通過其進入和離開。第一狹縫閥門(first slit valve door)122耦接到與第一狹縫閥120相鄰的外表面124。在操作中,第一狹縫閥門122打開以能夠使基板通過並在處理基板之前關閉。
第二腔室102設置在由第一腔室116界定的第一體積118內。第二腔室102在其中界定第二體積104。類似於第一腔室116,第二腔室102由製程兼容材料製成,如鋁、不銹鋼、其合金及其組合。在一個實施例中,第二腔室102由含鎳鋼合金製成,例如,含鎳鉬的鋼合金或含鎳鉻鉬的鋼合金。選擇用於製造第二腔室102的材料適合於在高壓下操作第二體積104,如大於約30bar,例如,約50bar或更高。
基座106設置在第二腔室102中,且基座106具有基板支撐表面108,基板支撐表面108用於在處理期間在其上支撐基板。在一個實施例中,基座106包括電阻加熱器,電阻加熱器可操作以將設置在基板支撐表面108上的基板的溫度維持在高達約550℃的溫度。儘管未圖示,但基座106的桿延伸穿過第二腔室102和第一腔室
116。基座106的桿可藉由波紋管組件與第一體積118隔離,該波紋管組件可操作地將基座106與第一體積118隔離。
穿過第二腔室102形成第二狹縫閥110,以使基板能夠通過其進入和離開。第二狹縫閥110與第一狹縫閥120大致在同一平面上實質對齊。第二狹縫閥門112耦接到與第二狹縫閥110相鄰的第二腔室102的內表面114。第二狹縫閥門112在內表面114上的定位使得在高壓處理期間能夠更牢固地(secure)密封第二體積104,因為第二體積104內維持的高壓迫使第二狹縫閥門112抵靠內表面114而產生實質氣密的密封。在操作中,第二狹縫閥門112打開以使基板能夠從第一狹縫閥120通過。在基板定位在基座106的基板支撐表面108上之後,第二狹縫閥門112在處理基板之前關閉。
流體管理設備140經配置將一個或多個流體輸送到第二腔室102的第二體積104。流體管理設備140包括第一流體輸送模組144、第二流體輸送模組142和第三流體輸送模組146。第一流體輸送模組144可操作以產生蒸汽(steam)並將蒸汽輸送到第二體積104。第一流體輸送模組144與第一流體源150流體連通。在一個實施例中,第一流體源150是水源,且更具體地,是去離子水源。第二流體輸送模組142與第二流體源152流體連通。在一個實施例中,第二流體源152是氫源,且更具體地,是H2源。第三流體輸送模組146與第三流體源148流體連
通。在一個實施例中,第三流體源148是氮氣源,例如氨源。
第一流體輸送模組144經由第一導管156與第二體積104流體連通。第一閥164設置在第一流體輸送模組144和第一導管156之間。第一閥164可操作以使流體能夠從第一流體輸送模組144流過第一導管156。容納殼體166圍繞第一閥164與第一閥164在第一流體輸送模組144和第一導管156之間的連接。第一導管156從第一閥164穿過第一腔室116、第一體積118和第二腔室102延伸到在第二腔室102的內表面114上形成的埠132。在一個實施例中,加熱器護套(jacket)157圍繞第一導管156並沿著第一閥164和第一腔室116之間的第一導管156的長度延伸。
第二流體輸送模組142經由第二導管154與第二體積104流體連通。第二閥160設置在第二流體輸送模組142和第二導管154之間。第二閥160可操作以使流體能夠從第二流體輸送模組142流過第二導管154。容納殼體162圍繞第二閥160與第二閥160在第二流體輸送模組142和第二導管154之間的連接。第二導管154從第二閥160穿過第一腔室116、第一體積118和第二腔室102延伸到在第二腔室102的內表面114上形成的埠130。在一個實施例中,加熱器護套155圍繞第二導管154並沿著第二閥160和第一腔室116之間的第二導管154的長度延伸。
第三流體輸送模組146經由第三導管158與第二體積104流體連通。第三閥168設置在第三流體輸送模組146和第三導管158之間。第三閥168可操作以使流體能夠從第三流體輸送模組146流過第三導管158。容納殼體170圍繞第三閥168與第三閥168在第三流體輸送模組146和第三導管158之間的連接。第三導管158從第三閥168穿過第一腔室116、第一體積118和第二腔室102延伸到在第二腔室102的內表面114上形成的埠134。在一個實施例中,加熱器護套159圍繞第三導管158並沿著第三閥168和第一腔室116之間的第三導管158的長度延伸。
例如,加熱器護套155、157、159的各者可操作以將相應第二導管154、第一導管156、及第三導管158的溫度維持在約300℃或更高,例如350℃或更高。在一個實施例中,加熱器護套155、157、159包括電阻加熱器。在另一個實施例中,加熱器護套155、157、159包括流體通道,加熱的流體流過該流體通道。藉由將第二導管154、第一導管156、及第三導管158維持在升高的溫度,在蒸汽和其他高壓流體從相應的流體輸送模組142、144、146移送到第二體積104期間,維持蒸汽和其他高壓流體期望的性質特徵。在一個實例中,在流體輸送模組144中產生的蒸汽藉由加熱器護套157在第一導管156中維持在升高的溫度,以防止或實質降低蒸汽移送期間冷凝的可能性。
設備100亦包括淨化氣體源172。在一個實施例中,淨化氣體源172是惰性氣體源,如氮源或稀有氣體(noble gas)源。淨化氣體源172與第一體積118流體連通。導管174從淨化氣體源172延伸到在第一腔室116中形成的埠126。淨化氣體源172和第一體積118之間的流體連通使得第一體積118能夠用惰性氣體淨化。可以設想,如果第二體積104經歷計畫外的(unplanned)減壓事件,則第一體積118是用作故障安全(failsafe)的容納體積。藉由具有足夠大的體積以作膨脹體積且藉由具有淨化氣體能力,第一體積118能夠改善第二腔室102在升高的壓力下的操作安全性。
淨化氣體源172亦與第一導管156、第二導管154、及第三導管158中的各者流體連通。導管176從淨化氣體源172延伸到第二閥160、第一閥164、及第三閥168中的各者。當第二閥160、第一閥164、及第三閥168打開以接收來自淨化氣體源172流過導管176的淨化氣體時,將第二導管154、第一導管156、及第三導管158淨化以消除(eliminate)先前來自流體輸送模組142、144、146輸送之第二導管154、第一導管156、及第三導管158中的流體。淨化氣體源172與第二導管154、第一導管156、及第三導管158之間的流體連通也能夠淨化第二體積104。
為了從第二體積104移除流體,在第二腔室102中形成排氣口136。導管180從排氣口136延伸到調
節閥184,調節閥184經配置能夠使橫跨(across)調節閥184產生壓降。在一個實施例中,從第二體積104排出的加壓流體行進通過排氣口136、通過導管180以及通過閥182到達調節閥184,在調節閥184流體的壓力從大於約30bar(如約50bar)減小到約0.5bar至約3bar之間。閥182與調節閥184設置在一直線上的(inline),且使得減壓流體能夠從導管180移送到導管188。
壓力釋放埠138也形成在第二腔室102中。導管186從壓力釋放埠138延伸到導管188,以及導管186耦接到調節閥184和閥182下游的導管188。壓力釋放埠138和導管186經配置繞過調節閥184並且用作第二體積104的次級(secondary)壓力降低。閥196設置在導管188上,導管188在導管186、調節閥184和閥182的下游。閥196用於使流體能夠經由壓力釋放埠138從第二體積104流動而不通過調節閥184。因此,第二體積104具有分叉的(bifurcated)壓力釋放結構,首先通過排氣口136、導管180和調節閥184,以及再來,通過壓力釋放埠138和導管186。據信,分叉的壓力釋放結構能夠改善對第二體積104中產生的壓力的控制。
導管190耦接到調節閥184和閥196之間的導管188並從導管188延伸。更具體地,在導管186耦接到導管188的位置的下游導管190耦接到導管188。閥192設置在導管190上且可操作以使得能夠在第二體積104和蒸汽疏水器(steam trap)194之間作選擇性的
流體連通。蒸汽疏水器194經配置當第二體積104中施行高壓蒸汽製程時冷凝從第二體積104釋放的蒸汽。在一個實施例中,當閥192打開且閥182關閉時,蒸汽疏水器194經由導管190、188和186與第二體積104流體連通。蒸汽疏水器194亦可用作用於從第二體積104釋放的高壓蒸汽的次級減壓設備。
容納殼體198耦接到第一腔室116,且調節閥184、閥182、閥196和閥192中的各者設置在容納殼體198內。導管188、190設置在容納殼體198內,且導管180、186中的各者的至少一部分設置在容納殼體198內。在一個實施例中,蒸汽疏水器194設置在容納殼體198內。在另一個實施例中,蒸汽疏水器194設置在容納殼體198的外部。
感測器121耦接到容納殼體198且與容納殼體198內界定的體積流體連通。感測器121經配置偵測容納殼體體積內的氣體洩漏。在一個實施例中,感測器121是氨偵測器。在另一個實施例中,感測器121是氫偵測器。在某些實施例中,感測器121包括多個感測器,例如氨偵測器和氫偵測器。容納殼體198經配置隔離並容納從第二體積104排出的任何流出物的洩漏。如果偵測到諸如上述氣體的流出物的洩漏,則容納殼體198所界定的體積由來自氣體源131的惰性氣體淨化。在一個實施例中,氣體源131經配置將氮輸送到容納殼體198所界定的體積。洩漏到體積中的流出物從容納殼體198中排出。在此
實施例中,容納殼體198體積與第一洗滌器111流體連通,以當流出物從容納殼體198排出時能夠處置(treatment)限制在容納殼體198內的流出物。
當閥196打開時,來自導管188的流體行進到導管101,導管101與流出物管理模組115流體連通。關於圖2更詳細地描述了流出物管理模組115,流出物管理模組115處置和管理來自第一體積118和第二體積104兩者的排氣。
圖2是根據本揭示案描述的實施例的流出物管理模組115的示意圖。模組115包括排放導管103、隔離閥105、節流閥107、泵109、第一洗滌器111和排氣裝置113,如上面關於圖1所述。模組115亦包括消音器組件202。消音器組件202經由導管101與第二體積104流體連通。消音器組件202包括複數個消音器204、208、212、216,複數個消音器204、208、212、216可操作以降低流過消音器堆疊202的流出物的壓力。
消音器組件202包括界定體積206的第一消音器204、界定體積210的第二消音器208、界定體積214的第三消音器212以及界定體積218的第四消音器216。導管101耦接到閥196和第一消音器204且在閥196和第一消音器204之間延伸。導管220從與導管101相對的第一消音器204延伸到排放導管103。導管220耦接到節流閥107和泵109之間的排放導管103。
導管222從泵109延伸到第四消音器216。導管224在第四消音器216和第三消音器212之間延伸。導管226在第三消音器212和第二消音器208之間延伸。在操作中,流過導管101的流出物進入第一消音器204的體積206並流過體積206到達導管220。導管內流出物的壓力在約15psi至約30psi之間。在導管220處離開體積206的流出物的壓力在約0psi和約5psi之間。因此,第一消音器204用作減壓設備,其允許流出物經歷體積膨脹以降低流出物的壓力。
流出物從導管220繼續通過排放導管103到達泵109。在一些實施例中,如果流出物從第二體積104逸出(escape)到第一體積118中,則來自第一體積118的流出物也存在於排放導管中。泵109將流出物的壓力增加至小於約16.5psi(如在約5psi至約15psi之間),以使流出物移動通過消音器組件202的其餘部分。泵加壓流出物通過導管222行進到第四消音器216並在體積218中膨脹。然後流出物從體積218通過導管224流到第三消音器212的體積214。體積214進一步降低流出物的壓力,且流出物從體積214通過導管226行進到第二消音器208的體積210。體積210進一步降低流出物的壓力,使得當流出物離開體積210時,流出物的壓力小於約14.5psi,如小於約10psi,例如,在約0psi至約5psi之間。
流出物通過導管228離開體積210,導管228耦接到體積210並與體積210流體連通。導管228從第二消音器208延伸到旁通閥230。在正常操作期間,旁通閥230使得流體能夠從導管228流到第一洗滌器111和排氣裝置113。然而,如果發生設備故障,其導致流出物的計畫外或無法限制(uncontained)的釋放(加壓或不加壓的),則旁通閥230打開,這使得流過模組115的流體能夠進入導管232而不是流到第一洗滌器111。當第一洗滌器111不能防止未處置(untreated)的流出物釋放到排氣裝置113時,旁通閥230也打開。
導管232具有設置在其上的限流器234,限流器234減少行進通過導管232的流出物的流動。在一個實施例中,流過導管232的NH3的量由限流器234調節,使得NH3的濃度小於約5%(體積),如小於約2%(體積)。為了進一步促進NH3的濃度降低,淨化氣體源172亦經由導管242與導管232流體連通。質量流量控制器244控制從淨化氣體源172流過導管242的淨化氣體(如氮)的量,使得導管232中的流出物在流出物通過導管236到第二洗滌器238之前與適量的淨化氣體混合。
導管236從導管232、242的交叉點分接(tee),並延伸到第二洗滌器238。當旁通閥230打開且流出物NH3濃度被調節到可接受的處置量時,第二洗滌器238處置流出物。在第二洗滌器238中的處置之後,經
處置的流出物行進通過導管240,導管240在第二洗滌器238和排氣裝置113之間流體連通。
圖3是根據本揭示案描述的實施例的消音器組件202的示意性截面圖。如上所述,消音器組件202包括複數個消音器204、208、212、216。在一個實施例中,消音器204、208、212、216由不銹鋼材料或其合金製成。在另一個實施例中,消音器204、208、212、216由鋁材料或其合金製成。消音器204、208、212、216中的各者在其中界定相應的體積206、210、214、218。在一個實施例中,消音器204、208、212、216中的各者具有實質相似的尺寸。
在一個實施例中,消音器204、208、212、216是圓柱形的。消音器204、208、212、216的各者的直徑342在約20英吋至約40英吋之間,例如,約30英吋。消音器204、208、212、216的中各者的長度344在約60英吋至約100英吋之間,例如約80英吋。在一個實施例中,消音器204、208、212、216以實質垂直的佈置方式堆疊。在一個實施例中,消音器204、208、212、216由框架組件(未圖示)構成,以便於以實質垂直的佈置方式設置。還可以設想到,消音器204、208、212、216可以以水平佈置方式或其他佈置方式設置。
第一消音器204具有穿過第一端346形成的埠302。在一個實施例中,埠302穿過第一端346的中心區域形成。導管101耦接到埠302,以使導管101和體積
206之間能夠流體連通。埠304穿過第一消音器204的與埠302相對的第二端348形成。在一個實施例中,埠304穿過第二端348的中心區域形成。在操作中,流出物通過埠302進入體積206並通過埠304離開體積206。導管220耦接到埠304,以使體積206和排放導管103之間能夠流體連通。
如上所述,來自第二體積104的流出物順序地流過第一消音器204、第四消音器216、第三消音器212和第二消音器208。埠316在第四消音器216的第二端360中形成。導管222耦接到埠316,以使泵109和體積218之間能夠流體連通。埠314穿過第四消音器216形成且鄰近第四消音器216的第一端358,第四消音器216的第一端358與埠316相對。在操作中,流出物通過埠316進入體積218並通過埠314離開體積218。
在前述實施例中,流出物的順序流動從第一消音器204前進到第四消音器216、第三消音器212和第二消音器208。在此實施例中,第四消音器216的體積218與第三消音器212的體積214直接流體連通。類似地,第三消音器212的體積214與第二消音器208的體積210直接流體連通。第一消音器204的體積206經由排放導管103與第四消音器216的體積218間接流體連通。在替代實施例中,各式體積206、210、214、218之間的直接和間接流體連通可根據期望的流出物壓力降低和/或物理空間要求而變化。
埠312在第三消音器212中形成且鄰近第三消音器212的第一端354。導管224在埠312和埠314之間延伸,以使體積218和體積214之間能夠流體連通。埠310在第三消音器212中形成且鄰近與埠312相對的第三消音器212的第二端356。在操作中,流出物通過埠312進入體積214並通過埠310離開體積214。
埠308在第二消音器208中形成且鄰近第二消音器208的第二端352。導管226在埠308和埠310之間延伸,以使體積214和體積210之間能夠流體連通。埠306穿過第二消音器的第一端350在第二消音器208中形成,第一端350與埠308相對。在一個實施例中,埠306穿過第一端350的中心區域形成。在操作中,流出物通過埠308進入體積210並通過埠306離開體積210。導管228耦接到埠306以從體積210移除流出物並將流出物移送到模組115的其他設備。
消音器204、208、212、216中的各者以及相應的流體入口和出口沿著消音器204、208、212、216的長度344彼此相對地設置,以在流出物行進通過體積206、210、214、218時使流出物能夠體積膨脹。藉由使流出物能夠實質「看到(see)」消音器204、208、212、216的中各者的整個體積,可以以更有效的方式實現減壓。
當流出物行進通過消音器204、208、212、216時,冷凝物或其他液體可積聚在體積206、210、
214、218內。埠318穿過第一消音器204的第二端348形成且鄰近埠304。在一個實施例中,埠318穿過埠304自第二端348徑向向外形成。導管320耦接到埠318並從埠318延伸到帽部334。當冷凝物或其他流體積聚在體積206內時,帽部334被移除,且流體經由埠318和導管320從體積206中排出。
埠322穿過第二消音器208的第二端352形成。在一個實施例中,埠322穿過第二端352自埠306徑向向外形成。導管324耦接到埠322並從埠322延伸到帽部336。當冷凝物或其他流體積聚在體積210內時,帽部336被移除,且流體經由埠322和導管324從體積210中排出。
埠326穿過第三消音器212的第二端356形成。導管328耦接到埠326並從埠326延伸到帽部338。當冷凝物或其他流體積聚在體積214內時,帽部338被移除,且流體經由埠326和導管328從體積214中排出。
埠330穿過第四消音器216的第二端360形成且鄰近埠316。在一個實施例中,埠330穿過第二端360自埠316徑向向外形成。導管332耦接到埠330並從埠330延伸到帽部340。當冷凝物或其他流體積聚在體積218內時,帽部340被移除,且流體經由埠330和導管332從體積218中排出。
總之,本揭示案描述了用於改善流出物的壓力降低和用於處置流出物的流出物管理設備。消音器組件能
夠藉由以下方式在高壓處理操作期間對使用的流出物減壓:使流出物順序地流過一系列消音器以使流出物能夠體積膨脹(以及相關聯的壓力降低)。本揭示案所述之設備亦包括用於標準操作和高壓處理設備的流出物處置設備,以及用於在計劃外或不受限制的流出物釋放的情況下的緊急流出物控制。
雖然前面所述係針對本揭示案的實施例,但在不背離本揭示案的基本範圍下,可設計本揭示案的其他與進一步的實施例,且本揭示案的範圍由以下專利申請範圍所界定。
202:消音器組件
204:第一消音器
206:體積
208:第二消音器
210:體積
212:第三消音器
214:體積
216:第四消音器
218:體積
220:導管
222:導管
224:導管
226:導管
302:埠
304:埠
306:埠
308:埠
310:埠
312:埠
314:埠
316:埠
318:埠
320:導管
322:埠
324:導管
326:埠
328:導管
330:埠
332:導管
334:帽部
336:帽部
338:帽部
340:帽部
342:直徑
344:長度
346:第一端
348:第二端
350:第一端
352:第二端
354:第一端
356:第二端
358:第一端
360:第二端
Claims (37)
- 一種流出物管理設備,包括:一消音器組件,該消音器組件包含:一第一消音器,該第一消音器在其中界定一第一體積,該第一消音器具有在一第一端中形成的一第一埠與在一第二端中形成的一第二埠,該第二埠與該第一埠相對;一第二消音器,該第二消音器在其中界定一第二體積,該第二消音器具有在一第三端中形成的一第三埠與在該第二消音器中形成的一第四埠,該第四埠與該第三埠相對;一第三消音器,該第三消音器在其中界定一第三體積,該第三消音器具有在其中形成的一第五埠與在其中形成的一第六埠,該第六埠與該第五埠相對;一第四消音器,該第四消音器在其中界定一第四體積,該第四消音器具有在其中形成的一第七埠以及穿過一第四端形成的一第八埠,該第八埠與該第七埠相對;一第一導管,該第一導管在該第七埠和該第五埠之間延伸;及一第二導管,該第二導管在該第四埠和該第六埠 之間延伸;一第三導管,該第三導管從該第三埠延伸到一閥;一第一洗滌器,該第一洗滌器與該閥流體連通;及一第二洗滌器,該第二洗滌器經由一第四導管與該閥流體連通。
- 如請求項1所述之設備,其中一限流器設置在該閥和該第二洗滌器之間的該第四導管上。
- 如請求項1所述之設備,進一步包括:一排放導管;一泵,該泵耦接到該排放導管;一第五導管,該第五導管從該第二埠延伸到該排放導管;及一第六導管,該第六導管從該泵延伸到該第八埠。
- 如請求項1所述之設備,其中該第一消音器、該第二消音器、該第三消音器和該第四消音器中的各者都是圓柱形的。
- 如請求項4所述之設備,其中該第一消音器、該第二消音器、該第三消音器和該第四消音器中的各者的一長度在60英吋至100英吋之間。
- 如請求項5所述之設備,其中該第一消音器、該第二消音器、該第三消音器和該第四消音器中的各者的一直徑在20英吋至40英吋之間。
- 一種高壓處理設備,包括:一第一腔室;一第二腔室,該第二腔室在其中界定一處理體積,該第二腔室設置在該第一腔室內;一消音器組件,該消音器組件包含:一第一消音器,該第一消音器在其中界定一第一體積,該第一消音器具有在一第一端中形成的一第一埠與在一第二端中形成的一第二埠,該第二埠與該第一埠相對;一第二消音器,該第二消音器在其中界定一第二體積,該第二消音器具有在一第三端中形成的一第三埠與在該第二消音器中形成的一第四埠,該第四埠與該第三埠相對;一第三消音器,該第三消音器在其中界定一第三體積,該第三消音器具有在其中形成的一第五埠與在其中形成的一第六埠,該第六埠與該第五埠相對;一第四消音器,該第四消音器在其中界定一第四體積,該第四消音器具有在其中形成的一第七埠以及穿過一第四端形成的一第八埠,該第八埠與該第七埠相對;一第一導管,該第一導管在該第七埠和該第五埠 之間延伸;及一第二導管,該第二導管在該第四埠和該第六埠之間延伸;一第三導管,該第三導管從該第三埠延伸到一閥;一第一洗滌器,該第一洗滌器與該閥流體連通;一第二洗滌器,該第二洗滌器經由一第四導管與該閥流體連通;及一第五導管,該第五導管設置在該處理體積和該第一消音器的該第一埠之間。
- 如請求項7所述之設備,進一步包括:一排放導管,該排放導管從該第一腔室延伸;一泵,該泵耦接到該排放導管;一第六導管,該第六導管從該第二埠延伸到該排放導管;及一第七導管,該第七導管從該泵延伸到該第八埠。
- 如請求項7所述之設備,其中該第一消音器、該第二消音器、該第三消音器和該第四消音器中的各者都是圓柱形的。
- 如請求項9所述之設備,其中該第一消音器、該第二消音器、該第三消音器和該第四消音器中的各者的一長度在60英吋至100英吋之間。
- 如請求項10所述之設備,其中該第一消音 器、該第二消音器、該第三消音器和該第四消音器中的各者的一直徑在20英吋至40英吋之間。
- 如請求項7所述之設備,進一步包括:一第九埠,該第九埠在該第一消音器中形成且與該第二埠相鄰;一第十埠,該第十埠在該第二消音器中形成且與該第四埠相鄰;一第十一埠,該第十一埠在該第三消音器中形成且與該第六埠相鄰;及一第十二埠,該第十二埠在該第四消音器中形成且與該第八埠相鄰。
- 如請求項7所述之設備,進一步包括:一第一狹縫閥,該第一狹縫閥形成在該第二腔室中;及一第一狹縫閥門,該第一狹縫閥門耦接到該第二腔室的一內表面。
- 如請求項13所述之設備,進一步包括:一第二狹縫閥,該第二狹縫閥形成在該第一腔室中;及一第二狹縫閥門,該第二狹縫閥門耦接到該第一腔室的一外表面。
- 一種消音器組件設備,包括: 一第一消音器,該第一消音器在其中界定一第一體積,該第一消音器具有在一第一端中形成的一第一埠與在一第二端中形成的一第二埠,該第二埠與該第一埠相對;一第二消音器,該第二消音器在其中界定一第二體積,該第二消音器具有在一第三端中形成的一第三埠與在該第二消音器中形成的一第四埠,該第四埠與該第三埠相對;一第三消音器,該第三消音器在其中界定一第三體積,該第三消音器具有在其中形成的一第五埠與在其中形成的一第六埠,該第六埠與該第五埠相對;一第四消音器,該第四消音器在其中界定一第四體積,該第四消音器具有在其中形成的一第七埠以及穿過一第四端形成的一第八埠,該第八埠與該第七埠相對;一第一導管,該第一導管在該第七埠和該第五埠之間延伸;一第二導管,該第二導管在該第四埠和該第六埠之間延伸;一排放導管;一泵,該泵耦接到該排放導管;一第三導管,該第三導管從該第一消音器延伸到該 排放導管;及一第四導管,該第四導管從該泵延伸到該第四消音器。
- 如請求項15所述之設備,其中該第一消音器、該第二消音器、該第三消音器和該第四消音器中的各者具有相似的尺寸。
- 如請求項16所述之設備,其中該第一消音器、該第二消音器、該第三消音器和該第四消音器中的各者的一長度在60英吋至100英吋之間。
- 如請求項16所述之設備,其中該第一消音器、該第二消音器、該第三消音器和該第四消音器中的各者是圓柱形的。
- 如請求項18所述之設備,其中該第一消音器、該第二消音器、該第三消音器和該第四消音器中的各者的一直徑在20英吋至40英吋之間。
- 如請求項15所述之設備,進一步包括:一第九埠,該第九埠在該第一消音器中形成且與該第二埠相鄰;一第十埠,該第十埠在該第二消音器中形成且與該第四埠相鄰;一第十一埠,該第十一埠在該第三消音器中形成且與該第六埠相鄰;及 一第十二埠,該第十二埠在該第四消音器中形成且與該第八埠相鄰。
- 一種流出物管理設備,包括:一消音器組件,該消音器組件包含:一第一消音器,該第一消音器在其中界定一第一體積;一第二消音器,該第二消音器在其中界定一第二體積;一第三消音器,該第三消音器在其中界定一第三體積;一第四消音器,該第四消音器在其中界定一第四體積;一第一導管,該第一導管在該第四消音器和該第三消音器之間延伸;及一第二導管,該第二導管在該第二消音器和該第三消音器之間延伸;一閥;一第三導管,該第三導管在該第二消音器和該閥之間延伸;一第一洗滌器,該第一洗滌器與該閥流體連通;及一第二洗滌器,該第二洗滌器經由一第四導管與該閥流體連通,其中一限流器設置在該閥和該第二洗滌 器之間的該第四導管上。
- 如請求項21所述之設備,其中該第一消音器、該第二消音器、該第三消音器和該第四消音器中的各者是圓柱形的。
- 如請求項22所述之設備,其中該第一消音器、該第二消音器、該第三消音器和該第四消音器中的各者的一長度在60英吋至100英吋之間。
- 如請求項22所述之設備,其中該第一消音器、該第二消音器、該第三消音器和該第四消音器中的各者的一直徑在20英吋至40英吋之間。
- 一種高壓處理設備,包括:一第一腔室;一第二腔室,該第二腔室在其中界定一處理體積,該第二腔室設置在該第一腔室內;一消音器組件,該消音器組件包含:一第一消音器,該第一消音器在其中界定一第一體積;一第二消音器,該第二消音器在其中界定一第二體積;一第三消音器,該第三消音器在其中界定一第三體積;一第四消音器,該第四消音器在其中界定一第四 體積;一第一導管,該第一導管在該第四消音器和該第三消音器之間延伸;及一第二導管,該第二導管在該第二消音器和該第三消音器之間延伸;一閥;一第三導管,該第三導管在該第二消音器和該閥之間延伸;一第一洗滌器,該第一洗滌器與該閥流體連通;一排放導管,該排放導管從該第一腔室延伸;一泵,該泵耦接到該排放導管;一第四導管,該第四導管從該第一消音器延伸到該排放導管;及一第五導管,該第五導管從該泵延伸到該第四消音器。
- 如請求項25所述之設備,進一步包括:一第二洗滌器,該第二洗滌器經由一第六導管與該閥流體連通;及一第七導管,該第七導管設置在該處理體積和該第一消音器之間。
- 如請求項25所述之設備,其中該第一消音器、該第二消音器、該第三消音器和該第四消音器中 的各者是圓柱形的。
- 如請求項27所述之設備,其中該第一消音器、該第二消音器、該第三消音器和該第四消音器中的各者的一長度在60英吋至100英吋之間。
- 如請求項27所述之設備,其中該第一消音器、該第二消音器、該第三消音器和該第四消音器中的各者的一直徑在20英吋至40英吋之間。
- 如請求項25所述之設備,進一步包括:一第一狹縫閥,該第一狹縫閥形成在該第二腔室中;及一第一狹縫閥門,該第一狹縫閥門耦接到該第二腔室的一內表面。
- 如請求項30所述之設備,進一步包括:一第二狹縫閥,該第二狹縫閥形成在該第一腔室中;及一第二狹縫閥門,該第二狹縫閥門耦接到該第一腔室的一外表面。
- 一種流出物管理設備,包括:一消音器組件,該消音器組件包含:一第一消音器,該第一消音器在其中界定一第一體積;一第二消音器,該第二消音器在其中界定一第二 體積;一第三消音器,該第三消音器在其中界定一第三體積;一第四消音器,該第四消音器在其中界定一第四體積;一第一導管,該第一導管在該第四消音器和該第三消音器之間延伸;及一第二導管,該第二導管在該第二消音器和該第三消音器之間延伸;一閥;一第三導管,該第三導管在該第二消音器和該閥之間延伸;一第一洗滌器,該第一洗滌器與該閥流體連通;一排放導管;一泵,該泵耦接到該排放導管;一第四導管,該第四導管從該第一消音器延伸到該排放導管;及一第五導管,該第五導管從該泵延伸到該第四消音器。
- 如請求項32所述之設備,其中該第一消音器、該第二消音器、該第三消音器和該第四消音器中的各者是圓柱形的。
- 如請求項33所述之設備,其中該第一消音器、該第二消音器、該第三消音器和該第四消音器中的各者的一長度在60英吋至100英吋之間。
- 如請求項33所述之設備,其中該第一消音器、該第二消音器、該第三消音器和該第四消音器中的各者的一直徑在20英吋至40英吋之間。
- 一種高壓處理設備,包括:一第一腔室;一第二腔室,該第二腔室在其中界定一處理體積,該第二腔室設置在該第一腔室內;一消音器組件,該消音器組件包含:一第一消音器,該第一消音器在其中界定一第一體積;一第二消音器,該第二消音器在其中界定一第二體積;一第三消音器,該第三消音器在其中界定一第三體積;一第四消音器,該第四消音器在其中界定一第四體積;一第一導管,該第一導管在該第四消音器和該第三消音器之間延伸;及一第二導管,該第二導管在該第二消音器和該第 三消音器之間延伸;一閥;一第三導管,該第三導管在該第二消音器和該閥之間延伸;一第一洗滌器,該第一洗滌器與該閥流體連通;一第一狹縫閥,該第一狹縫閥形成在該第二腔室中;及一第一狹縫閥門,該第一狹縫閥門耦接到該第二腔室的一內表面。
- 一種高壓處理設備,包括:一第一腔室;一第二腔室,該第二腔室在其中界定一處理體積,該第二腔室設置在該第一腔室內;一消音器組件,該消音器組件包含:一第一消音器,該第一消音器在其中界定一第一體積;一第二消音器,該第二消音器在其中界定一第二體積;一第三消音器,該第三消音器在其中界定一第三體積;一第四消音器,該第四消音器在其中界定一第四體積; 一第一導管,該第一導管在該第四消音器和該第三消音器之間延伸;及一第二導管,該第二導管在該第二消音器和該第三消音器之間延伸;一閥;一第三導管,該第三導管在該第二消音器和該閥之間延伸;一第一洗滌器,該第一洗滌器與該閥流體連通;一第一狹縫閥,該第一狹縫閥形成在該第一腔室中;及一第一狹縫閥門,該第一狹縫閥門耦接到該第一腔室的一外表面。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US16/055,929 US10675581B2 (en) | 2018-08-06 | 2018-08-06 | Gas abatement apparatus |
US16/055,929 | 2018-08-06 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW202014594A TW202014594A (zh) | 2020-04-16 |
TWI840393B true TWI840393B (zh) | 2024-05-01 |
Family
ID=69228166
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW108127374A TWI840393B (zh) | 2018-08-06 | 2019-08-01 | 流出物管理設備、消音器組件設備、及高壓處理設備 |
Country Status (6)
Country | Link |
---|---|
US (2) | US10675581B2 (zh) |
JP (1) | JP7510406B2 (zh) |
KR (1) | KR20210030485A (zh) |
CN (1) | CN112640074A (zh) |
TW (1) | TWI840393B (zh) |
WO (1) | WO2020033081A1 (zh) |
Families Citing this family (15)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10622214B2 (en) | 2017-05-25 | 2020-04-14 | Applied Materials, Inc. | Tungsten defluorination by high pressure treatment |
JP6947914B2 (ja) | 2017-08-18 | 2021-10-13 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 高圧高温下のアニールチャンバ |
US10276411B2 (en) | 2017-08-18 | 2019-04-30 | Applied Materials, Inc. | High pressure and high temperature anneal chamber |
JP7274461B2 (ja) | 2017-09-12 | 2023-05-16 | アプライド マテリアルズ インコーポレイテッド | 保護バリア層を使用して半導体構造を製造する装置および方法 |
WO2019094481A1 (en) | 2017-11-11 | 2019-05-16 | Micromaterials Llc | Gas delivery system for high pressure processing chamber |
JP2021503714A (ja) | 2017-11-17 | 2021-02-12 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 高圧処理システムのためのコンデンサシステム |
KR102536820B1 (ko) | 2018-03-09 | 2023-05-24 | 어플라이드 머티어리얼스, 인코포레이티드 | 금속 함유 재료들을 위한 고압 어닐링 프로세스 |
JP7036642B2 (ja) * | 2018-03-23 | 2022-03-15 | 株式会社Screenホールディングス | 基板処理装置及びその排気方法 |
US10950429B2 (en) | 2018-05-08 | 2021-03-16 | Applied Materials, Inc. | Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom |
US10748783B2 (en) | 2018-07-25 | 2020-08-18 | Applied Materials, Inc. | Gas delivery module |
US10675581B2 (en) | 2018-08-06 | 2020-06-09 | Applied Materials, Inc. | Gas abatement apparatus |
SG11202103763QA (en) | 2018-11-16 | 2021-05-28 | Applied Materials Inc | Film deposition using enhanced diffusion process |
WO2020117462A1 (en) | 2018-12-07 | 2020-06-11 | Applied Materials, Inc. | Semiconductor processing system |
US11901222B2 (en) | 2020-02-17 | 2024-02-13 | Applied Materials, Inc. | Multi-step process for flowable gap-fill film |
US20230072156A1 (en) * | 2021-09-02 | 2023-03-09 | Wonik Ips Co., Ltd. | Substrate processing apparatus |
Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6164412A (en) * | 1998-04-03 | 2000-12-26 | Arvin Industries, Inc. | Muffler |
CN103702739A (zh) * | 2011-05-11 | 2014-04-02 | 川崎重工业株式会社 | 湿式废气净化装置 |
CN104047676A (zh) * | 2014-06-14 | 2014-09-17 | 马根昌 | 改良式对冲消声器 |
Family Cites Families (327)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4524587A (en) | 1967-01-10 | 1985-06-25 | Kantor Frederick W | Rotary thermodynamic apparatus and method |
US3758316A (en) | 1971-03-30 | 1973-09-11 | Du Pont | Refractory materials and process for making same |
US3749383A (en) | 1971-04-29 | 1973-07-31 | Rca Corp | Apparatus for processing semiconductor devices |
US4576652A (en) | 1984-07-12 | 1986-03-18 | International Business Machines Corporation | Incoherent light annealing of gallium arsenide substrate |
JPH0748489B2 (ja) | 1987-07-27 | 1995-05-24 | 富士通株式会社 | プラズマ処理装置 |
US4879259A (en) | 1987-09-28 | 1989-11-07 | The Board Of Trustees Of The Leland Stanford Junion University | Rapid thermal annealing of gallium arsenide with trimethyl arsenic overpressure |
CA1308496C (en) | 1988-02-18 | 1992-10-06 | Rajiv V. Joshi | Deposition of tungsten on silicon in a non-self-limiting cvd process |
US5114513A (en) | 1988-10-27 | 1992-05-19 | Omron Tateisi Electronics Co. | Optical device and manufacturing method thereof |
US5167717A (en) | 1989-02-15 | 1992-12-01 | Charles Boitnott | Apparatus and method for processing a semiconductor wafer |
JP2730695B2 (ja) | 1989-04-10 | 1998-03-25 | 忠弘 大見 | タングステン膜の成膜装置 |
US5126117A (en) * | 1990-05-22 | 1992-06-30 | Custom Engineered Materials, Inc. | Device for preventing accidental releases of hazardous gases |
US5175123A (en) | 1990-11-13 | 1992-12-29 | Motorola, Inc. | High-pressure polysilicon encapsulated localized oxidation of silicon |
US5050540A (en) | 1991-01-29 | 1991-09-24 | Arne Lindberg | Method of gas blanketing a boiler |
KR0155572B1 (ko) | 1991-05-28 | 1998-12-01 | 이노우에 아키라 | 감압처리 시스템 및 감압처리 방법 |
JPH0521310A (ja) | 1991-07-11 | 1993-01-29 | Canon Inc | 微細パタン形成方法 |
JPH05129296A (ja) | 1991-11-05 | 1993-05-25 | Fujitsu Ltd | 導電膜の平坦化方法 |
US5319212A (en) | 1992-10-07 | 1994-06-07 | Genus, Inc. | Method of monitoring ion beam current in ion implantation apparatus for use in manufacturing semiconductors |
US5607002A (en) | 1993-04-28 | 1997-03-04 | Advanced Delivery & Chemical Systems, Inc. | Chemical refill system for high purity chemicals |
US5578132A (en) | 1993-07-07 | 1996-11-26 | Tokyo Electron Kabushiki Kaisha | Apparatus for heat treating semiconductors at normal pressure and low pressure |
JPH0766424A (ja) | 1993-08-20 | 1995-03-10 | Semiconductor Energy Lab Co Ltd | 半導体装置およびその作製方法 |
US5880041A (en) | 1994-05-27 | 1999-03-09 | Motorola Inc. | Method for forming a dielectric layer using high pressure |
US5597439A (en) | 1994-10-26 | 1997-01-28 | Applied Materials, Inc. | Process gas inlet and distribution passages |
US5808245A (en) * | 1995-01-03 | 1998-09-15 | Donaldson Company, Inc. | Vertical mount catalytic converter muffler |
JPH08195493A (ja) | 1995-01-13 | 1996-07-30 | Toshiba Corp | 薄膜トランジスタの製造方法 |
US5620524A (en) | 1995-02-27 | 1997-04-15 | Fan; Chiko | Apparatus for fluid delivery in chemical vapor deposition systems |
KR100251341B1 (ko) | 1995-05-08 | 2000-05-01 | 오카노 사다오 | 광도파로의 제조방법 |
JP2872637B2 (ja) * | 1995-07-10 | 1999-03-17 | アプライド マテリアルズ インコーポレイテッド | マイクロ波プラズマベースアプリケータ |
US5857368A (en) | 1995-10-06 | 1999-01-12 | Applied Materials, Inc. | Apparatus and method for fabricating metal paths in semiconductor substrates through high pressure extrusion |
JPH09296267A (ja) | 1995-11-21 | 1997-11-18 | Applied Materials Inc | 高圧押出しによる、半導体基板における金属パスの製造装置および方法 |
US5677230A (en) | 1995-12-01 | 1997-10-14 | Motorola | Method of making wide bandgap semiconductor devices |
US5895274A (en) | 1996-01-22 | 1999-04-20 | Micron Technology, Inc. | High-pressure anneal process for integrated circuits |
KR980012044A (ko) | 1996-03-01 | 1998-04-30 | 히가시 데츠로 | 기판건조장치 및 기판건조방법 |
US5998305A (en) | 1996-03-29 | 1999-12-07 | Praxair Technology, Inc. | Removal of carbon from substrate surfaces |
US5738915A (en) | 1996-09-19 | 1998-04-14 | Lambda Technologies, Inc. | Curing polymer layers on semiconductor substrates using variable frequency microwave energy |
US6444037B1 (en) | 1996-11-13 | 2002-09-03 | Applied Materials, Inc. | Chamber liner for high temperature processing chamber |
US6082950A (en) | 1996-11-18 | 2000-07-04 | Applied Materials, Inc. | Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding |
US5886864A (en) | 1996-12-02 | 1999-03-23 | Applied Materials, Inc. | Substrate support member for uniform heating of a substrate |
US6136664A (en) | 1997-08-07 | 2000-10-24 | International Business Machines Corporation | Filling of high aspect ratio trench isolation |
US20030049372A1 (en) | 1997-08-11 | 2003-03-13 | Cook Robert C. | High rate deposition at low pressures in a small batch reactor |
US5963817A (en) | 1997-10-16 | 1999-10-05 | International Business Machines Corporation | Bulk and strained silicon on insulator using local selective oxidation |
JP3199006B2 (ja) | 1997-11-18 | 2001-08-13 | 日本電気株式会社 | 層間絶縁膜の形成方法および絶縁膜形成装置 |
US6442980B2 (en) | 1997-11-26 | 2002-09-03 | Chart Inc. | Carbon dioxide dry cleaning system |
US6846739B1 (en) | 1998-02-27 | 2005-01-25 | Micron Technology, Inc. | MOCVD process using ozone as a reactant to deposit a metal oxide barrier layer |
KR100286339B1 (ko) * | 1998-05-14 | 2001-05-02 | 김영환 | 반도체웨이퍼증착장비의펌프압력측정장치 |
US6719516B2 (en) | 1998-09-28 | 2004-04-13 | Applied Materials, Inc. | Single wafer load lock with internal wafer transport |
US20030101938A1 (en) | 1998-10-27 | 2003-06-05 | Applied Materials, Inc. | Apparatus for the deposition of high dielectric constant films |
JP2000256856A (ja) * | 1999-03-11 | 2000-09-19 | Tokyo Electron Ltd | 処理装置及び処理装置用真空排気システム及び減圧cvd装置及び減圧cvd装置用真空排気システム及びトラップ装置 |
US6468490B1 (en) * | 2000-06-29 | 2002-10-22 | Applied Materials, Inc. | Abatement of fluorine gas from effluent |
US6334266B1 (en) | 1999-09-20 | 2002-01-01 | S.C. Fluids, Inc. | Supercritical fluid drying system and method of use |
US6612317B2 (en) | 2000-04-18 | 2003-09-02 | S.C. Fluids, Inc | Supercritical fluid delivery and recovery system for semiconductor wafer processing |
EP1077477B1 (en) | 1999-08-17 | 2008-12-17 | Applied Materials, Inc. | Surface treatment of C-doped SiO2 film to enhance film stability during O2 ashing |
US6299753B1 (en) | 1999-09-01 | 2001-10-09 | Applied Materials, Inc. | Double pressure vessel chemical dispenser unit |
JP2001110729A (ja) * | 1999-10-06 | 2001-04-20 | Mitsubishi Heavy Ind Ltd | 半導体素子の連続製造装置 |
US20030148631A1 (en) | 1999-11-08 | 2003-08-07 | Taiwan Semiconductor Manufacturing Company | Oxidative annealing method for forming etched spin-on-glass (SOG) planarizing layer with uniform etch profile |
US6500603B1 (en) | 1999-11-11 | 2002-12-31 | Mitsui Chemicals, Inc. | Method for manufacturing polymer optical waveguide |
TW484170B (en) | 1999-11-30 | 2002-04-21 | Applied Materials Inc | Integrated modular processing platform |
US6150286A (en) | 2000-01-03 | 2000-11-21 | Advanced Micro Devices, Inc. | Method of making an ultra thin silicon nitride film |
US6541367B1 (en) | 2000-01-18 | 2003-04-01 | Applied Materials, Inc. | Very low dielectric constant plasma-enhanced CVD films |
US6319766B1 (en) | 2000-02-22 | 2001-11-20 | Applied Materials, Inc. | Method of tantalum nitride deposition by tantalum oxide densification |
JP2001250787A (ja) | 2000-03-06 | 2001-09-14 | Hitachi Kokusai Electric Inc | 基板処理装置および基板処理方法 |
US20040025908A1 (en) | 2000-04-18 | 2004-02-12 | Stephen Douglas | Supercritical fluid delivery system for semiconductor wafer processing |
US7166524B2 (en) | 2000-08-11 | 2007-01-23 | Applied Materials, Inc. | Method for ion implanting insulator material to reduce dielectric constant |
US6852167B2 (en) | 2001-03-01 | 2005-02-08 | Micron Technology, Inc. | Methods, systems, and apparatus for uniform chemical-vapor depositions |
JP4335469B2 (ja) | 2001-03-22 | 2009-09-30 | 株式会社荏原製作所 | 真空排気装置のガス循環量調整方法及び装置 |
US6797336B2 (en) | 2001-03-22 | 2004-09-28 | Ambp Tech Corporation | Multi-component substances and processes for preparation thereof |
TW544797B (en) | 2001-04-17 | 2003-08-01 | Kobe Steel Ltd | High-pressure processing apparatus |
JP2002319571A (ja) | 2001-04-20 | 2002-10-31 | Kawasaki Microelectronics Kk | エッチング槽の前処理方法及び半導体装置の製造方法 |
US7080651B2 (en) | 2001-05-17 | 2006-07-25 | Dainippon Screen Mfg. Co., Ltd. | High pressure processing apparatus and method |
US6752585B2 (en) | 2001-06-13 | 2004-06-22 | Applied Materials Inc | Method and apparatus for transferring a semiconductor substrate |
EP1271636A1 (en) | 2001-06-22 | 2003-01-02 | Infineon Technologies AG | Thermal oxidation process control by controlling oxidation agent partial pressure |
US20030029715A1 (en) | 2001-07-25 | 2003-02-13 | Applied Materials, Inc. | An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems |
US20080268635A1 (en) | 2001-07-25 | 2008-10-30 | Sang-Ho Yu | Process for forming cobalt and cobalt silicide materials in copper contact applications |
JP2003051474A (ja) | 2001-08-03 | 2003-02-21 | Kobe Steel Ltd | 高圧処理装置 |
US6781801B2 (en) | 2001-08-10 | 2004-08-24 | Seagate Technology Llc | Tunneling magnetoresistive sensor with spin polarized current injection |
US6531412B2 (en) | 2001-08-10 | 2003-03-11 | International Business Machines Corporation | Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications |
JP2003077974A (ja) | 2001-08-31 | 2003-03-14 | Hitachi Kokusai Electric Inc | 基板処理装置および半導体装置の製造方法 |
US6619304B2 (en) | 2001-09-13 | 2003-09-16 | Micell Technologies, Inc. | Pressure chamber assembly including non-mechanical drive means |
US7105061B1 (en) | 2001-11-07 | 2006-09-12 | Novellus Systems, Inc. | Method and apparatus for sealing substrate load port in a high pressure reactor |
US20030098069A1 (en) | 2001-11-26 | 2003-05-29 | Sund Wesley E. | High purity fluid delivery system |
JP2003166065A (ja) | 2001-11-30 | 2003-06-13 | Sekisui Chem Co Ltd | 放電プラズマ処理装置 |
JP2003188387A (ja) | 2001-12-20 | 2003-07-04 | Sony Corp | 薄膜トランジスタ及びその製造方法 |
US6848458B1 (en) | 2002-02-05 | 2005-02-01 | Novellus Systems, Inc. | Apparatus and methods for processing semiconductor substrates using supercritical fluids |
US6632325B2 (en) | 2002-02-07 | 2003-10-14 | Applied Materials, Inc. | Article for use in a semiconductor processing chamber and method of fabricating same |
US6835503B2 (en) | 2002-04-12 | 2004-12-28 | Micron Technology, Inc. | Use of a planarizing layer to improve multilayer performance in extreme ultra-violet masks |
US7589029B2 (en) | 2002-05-02 | 2009-09-15 | Micron Technology, Inc. | Atomic layer deposition and conversion |
US7638727B2 (en) | 2002-05-08 | 2009-12-29 | Btu International Inc. | Plasma-assisted heat treatment |
US6846380B2 (en) | 2002-06-13 | 2005-01-25 | The Boc Group, Inc. | Substrate processing apparatus and related systems and methods |
US7521089B2 (en) | 2002-06-13 | 2009-04-21 | Tokyo Electron Limited | Method and apparatus for controlling the movement of CVD reaction byproduct gases to adjacent process chambers |
US20070243317A1 (en) | 2002-07-15 | 2007-10-18 | Du Bois Dale R | Thermal Processing System and Configurable Vertical Chamber |
US6843882B2 (en) * | 2002-07-15 | 2005-01-18 | Applied Materials, Inc. | Gas flow control in a wafer processing system having multiple chambers for performing same process |
US20070212850A1 (en) | 2002-09-19 | 2007-09-13 | Applied Materials, Inc. | Gap-fill depositions in the formation of silicon containing dielectric materials |
US7335609B2 (en) | 2004-08-27 | 2008-02-26 | Applied Materials, Inc. | Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials |
JP2004127958A (ja) | 2002-09-30 | 2004-04-22 | Kyoshin Engineering:Kk | 高圧アニール水蒸気処理を行なう装置及び方法 |
US20040060519A1 (en) | 2002-10-01 | 2004-04-01 | Seh America Inc. | Quartz to quartz seal using expanded PTFE gasket material |
US6889508B2 (en) | 2002-10-02 | 2005-05-10 | The Boc Group, Inc. | High pressure CO2 purification and supply system |
US7270761B2 (en) | 2002-10-18 | 2007-09-18 | Appleid Materials, Inc | Fluorine free integrated process for etching aluminum including chamber dry clean |
JPWO2004049414A1 (ja) | 2002-11-25 | 2006-03-30 | 光洋サーモシステム株式会社 | 半導体処理装置用電気ヒータ |
US20040112409A1 (en) | 2002-12-16 | 2004-06-17 | Supercritical Sysems, Inc. | Fluoride in supercritical fluid for photoresist and residue removal |
CN1757098B (zh) | 2003-02-04 | 2010-08-11 | 应用材料有限公司 | 利用具有氨的超低压快速热退火调节氧氮化硅的氮分布曲线 |
JP3956049B2 (ja) | 2003-03-07 | 2007-08-08 | 東京エレクトロン株式会社 | タングステン膜の形成方法 |
US7079760B2 (en) | 2003-03-17 | 2006-07-18 | Tokyo Electron Limited | Processing system and method for thermally treating a substrate |
CN100408902C (zh) | 2003-05-13 | 2008-08-06 | 应用材料股份有限公司 | 密封一处理室一开口的方法与装置 |
US6939794B2 (en) | 2003-06-17 | 2005-09-06 | Micron Technology, Inc. | Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device |
US7226512B2 (en) | 2003-06-18 | 2007-06-05 | Ekc Technology, Inc. | Load lock system for supercritical fluid cleaning |
WO2005007283A2 (en) * | 2003-07-08 | 2005-01-27 | Sundew Technologies, Llc | Apparatus and method for downstream pressure control and sub-atmospheric reactive gas abatement |
JP4173781B2 (ja) | 2003-08-13 | 2008-10-29 | 株式会社神戸製鋼所 | 高圧処理方法 |
US7158221B2 (en) | 2003-12-23 | 2007-01-02 | Applied Materials, Inc. | Method and apparatus for performing limited area spectral analysis |
EP1702351A2 (en) * | 2003-12-23 | 2006-09-20 | John C. Schumacher | Exhaust conditioning system for semiconductor reactor |
US20050136684A1 (en) | 2003-12-23 | 2005-06-23 | Applied Materials, Inc. | Gap-fill techniques |
US20050250347A1 (en) * | 2003-12-31 | 2005-11-10 | Bailey Christopher M | Method and apparatus for maintaining by-product volatility in deposition process |
US7030468B2 (en) | 2004-01-16 | 2006-04-18 | International Business Machines Corporation | Low k and ultra low k SiCOH dielectric films and methods to form the same |
US20050187647A1 (en) | 2004-02-19 | 2005-08-25 | Kuo-Hua Wang | Intelligent full automation controlled flow for a semiconductor furnace tool |
JP4393268B2 (ja) | 2004-05-20 | 2010-01-06 | 株式会社神戸製鋼所 | 微細構造体の乾燥方法 |
US20050269291A1 (en) | 2004-06-04 | 2005-12-08 | Tokyo Electron Limited | Method of operating a processing system for treating a substrate |
US7521378B2 (en) | 2004-07-01 | 2009-04-21 | Micron Technology, Inc. | Low temperature process for polysilazane oxidation/densification |
US7491658B2 (en) | 2004-10-13 | 2009-02-17 | International Business Machines Corporation | Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality |
US7427571B2 (en) | 2004-10-15 | 2008-09-23 | Asm International, N.V. | Reactor design for reduced particulate generation |
JP2008521261A (ja) | 2004-11-22 | 2008-06-19 | アプライド マテリアルズ インコーポレイテッド | バッチ処理チャンバを用いた基板処理装置 |
KR100697280B1 (ko) * | 2005-02-07 | 2007-03-20 | 삼성전자주식회사 | 반도체 제조 설비의 압력 조절 방법 |
US20060176928A1 (en) * | 2005-02-08 | 2006-08-10 | Tokyo Electron Limited | Substrate processing apparatus, control method adopted in substrate processing apparatus and program |
WO2006091588A2 (en) | 2005-02-22 | 2006-08-31 | Xactix, Inc. | Etching chamber with subchamber |
US7211525B1 (en) | 2005-03-16 | 2007-05-01 | Novellus Systems, Inc. | Hydrogen treatment enhanced gap fill |
WO2006101315A1 (en) | 2005-03-21 | 2006-09-28 | Pkl Co., Ltd. | Device and method for cleaning photomask |
US20060226117A1 (en) | 2005-03-29 | 2006-10-12 | Bertram Ronald T | Phase change based heating element system and method |
US20120060868A1 (en) | 2005-06-07 | 2012-03-15 | Donald Gray | Microscale fluid delivery system |
EP1959299B1 (en) | 2005-06-10 | 2012-12-26 | Obducat AB | Pattern replication with intermediate stamp |
JP4747693B2 (ja) | 2005-06-28 | 2011-08-17 | 住友電気工業株式会社 | 樹脂体を形成する方法、光導波路のための構造を形成する方法、および光学部品を形成する方法 |
US7361231B2 (en) | 2005-07-01 | 2008-04-22 | Ekc Technology, Inc. | System and method for mid-pressure dense phase gas and ultrasonic cleaning |
US8148271B2 (en) | 2005-08-05 | 2012-04-03 | Hitachi Kokusai Electric Inc. | Substrate processing apparatus, coolant gas supply nozzle and semiconductor device manufacturing method |
US7534080B2 (en) | 2005-08-26 | 2009-05-19 | Ascentool, Inc. | Vacuum processing and transfer system |
US7531404B2 (en) | 2005-08-30 | 2009-05-12 | Intel Corporation | Semiconductor device having a metal gate electrode formed on an annealed high-k gate dielectric layer |
US8926731B2 (en) | 2005-09-13 | 2015-01-06 | Rasirc | Methods and devices for producing high purity steam |
KR100696178B1 (ko) | 2005-09-13 | 2007-03-20 | 한국전자통신연구원 | 광 도파로 마스터 및 그 제조 방법 |
CN102109626A (zh) | 2005-10-07 | 2011-06-29 | 株式会社尼康 | 微小光学元件 |
US7794667B2 (en) | 2005-10-19 | 2010-09-14 | Moore Epitaxial, Inc. | Gas ring and method of processing substrates |
US7387968B2 (en) | 2005-11-08 | 2008-06-17 | Tokyo Electron Limited | Batch photoresist dry strip and ash system and process |
US20070187386A1 (en) | 2006-02-10 | 2007-08-16 | Poongsan Microtec Corporation | Methods and apparatuses for high pressure gas annealing |
US7578258B2 (en) | 2006-03-03 | 2009-08-25 | Lam Research Corporation | Methods and apparatus for selective pre-coating of a plasma processing chamber |
JP2007242791A (ja) | 2006-03-07 | 2007-09-20 | Hitachi Kokusai Electric Inc | 基板処理装置 |
WO2007133595A2 (en) | 2006-05-08 | 2007-11-22 | The Board Of Trustees Of The University Of Illinois | Integrated vacuum absorption steam cycle gas separation |
US7825038B2 (en) | 2006-05-30 | 2010-11-02 | Applied Materials, Inc. | Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen |
US7650965B2 (en) * | 2006-06-09 | 2010-01-26 | Emcon Technologies Llc | Exhaust system |
JP2008073611A (ja) | 2006-09-21 | 2008-04-03 | Dainippon Screen Mfg Co Ltd | 高圧処理装置 |
JP4814038B2 (ja) | 2006-09-25 | 2011-11-09 | 株式会社日立国際電気 | 基板処理装置および反応容器の着脱方法 |
WO2008047886A1 (en) | 2006-10-13 | 2008-04-24 | Asahi Glass Co., Ltd. | Method of smoothing surface of substrate for euv mask blank, and euv mask blank obtained by the method |
US7790587B2 (en) | 2006-11-07 | 2010-09-07 | Intel Corporation | Method to reduce junction leakage through partial regrowth with ultrafast anneal and structures formed thereby |
JP2008153635A (ja) | 2006-11-22 | 2008-07-03 | Toshiba Matsushita Display Technology Co Ltd | Mos型半導体素子の製造方法 |
US20080169183A1 (en) | 2007-01-16 | 2008-07-17 | Varian Semiconductor Equipment Associates, Inc. | Plasma Source with Liner for Reducing Metal Contamination |
JP2008192642A (ja) | 2007-01-31 | 2008-08-21 | Tokyo Electron Ltd | 基板処理装置 |
US20080233404A1 (en) | 2007-03-22 | 2008-09-25 | 3M Innovative Properties Company | Microreplication tools and patterns using laser induced thermal embossing |
JP5135856B2 (ja) * | 2007-03-31 | 2013-02-06 | 東京エレクトロン株式会社 | トラップ装置、排気系及びこれを用いた処理システム |
US20080241384A1 (en) | 2007-04-02 | 2008-10-02 | Asm Genitech Korea Ltd. | Lateral flow deposition apparatus and method of depositing film by using the apparatus |
DE102007017641A1 (de) | 2007-04-13 | 2008-10-16 | Infineon Technologies Ag | Aushärtung von Schichten am Halbleitermodul mittels elektromagnetischer Felder |
JP2010525530A (ja) | 2007-04-30 | 2010-07-22 | アイファイアー・アイピー・コーポレーション | 厚膜誘電性エレクトロルミネセントディスプレイ用の積層厚膜誘電体構造 |
KR101560705B1 (ko) * | 2007-05-25 | 2015-10-16 | 어플라이드 머티어리얼스, 인코포레이티드 | 전자 디바이스 제조 시스템들을 조립하고 작동시키는 방법들 및 장치 |
WO2008156687A1 (en) * | 2007-06-15 | 2008-12-24 | Applied Materials, Inc. | Methods and systems for designing and validating operation of abatement systems |
KR101442238B1 (ko) | 2007-07-26 | 2014-09-23 | 주식회사 풍산마이크로텍 | 고압 산소 열처리를 통한 반도체 소자의 제조방법 |
JP5028193B2 (ja) * | 2007-09-05 | 2012-09-19 | 株式会社日立ハイテクノロジーズ | 半導体製造装置における被処理体の搬送方法 |
US7951728B2 (en) | 2007-09-24 | 2011-05-31 | Applied Materials, Inc. | Method of improving oxide growth rate of selective oxidation processes |
US7541297B2 (en) | 2007-10-22 | 2009-06-02 | Applied Materials, Inc. | Method and system for improving dielectric film quality for void free gap fill |
US7803722B2 (en) | 2007-10-22 | 2010-09-28 | Applied Materials, Inc | Methods for forming a dielectric layer within trenches |
US7867923B2 (en) | 2007-10-22 | 2011-01-11 | Applied Materials, Inc. | High quality silicon oxide films by remote plasma CVD from disilane precursors |
JP2011501102A (ja) * | 2007-10-26 | 2011-01-06 | アプライド マテリアルズ インコーポレイテッド | 改良された燃料回路を使用した高性能な除害の方法及び装置 |
US7651959B2 (en) | 2007-12-03 | 2010-01-26 | Asm Japan K.K. | Method for forming silazane-based dielectric film |
US7776740B2 (en) | 2008-01-22 | 2010-08-17 | Tokyo Electron Limited | Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device |
JP4815464B2 (ja) | 2008-03-31 | 2011-11-16 | 株式会社日立製作所 | 微細構造転写スタンパ及び微細構造転写装置 |
US7655532B1 (en) | 2008-07-25 | 2010-02-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | STI film property using SOD post-treatment |
JP2010056541A (ja) | 2008-07-31 | 2010-03-11 | Semiconductor Energy Lab Co Ltd | 半導体装置およびその作製方法 |
US20100089315A1 (en) | 2008-09-22 | 2010-04-15 | Applied Materials, Inc. | Shutter disk for physical vapor deposition chamber |
US8153533B2 (en) | 2008-09-24 | 2012-04-10 | Lam Research | Methods and systems for preventing feature collapse during microelectronic topography fabrication |
KR20100035000A (ko) | 2008-09-25 | 2010-04-02 | 삼성전자주식회사 | 서로 다른 종횡비를 갖는 소자 분리 트렌치 갭필 방법 및 그를 이용한 반도체 소자 |
US7891228B2 (en) | 2008-11-18 | 2011-02-22 | Mks Instruments, Inc. | Dual-mode mass flow verification and mass flow delivery system and method |
US8557712B1 (en) | 2008-12-15 | 2013-10-15 | Novellus Systems, Inc. | PECVD flowable dielectric gap fill |
KR20100082170A (ko) | 2009-01-08 | 2010-07-16 | 삼성전자주식회사 | 실리콘 산화막 패턴 및 소자 분리막 형성 방법 |
JP5883652B2 (ja) | 2009-02-04 | 2016-03-15 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | プラズマ処理チャンバのための高周波リターンデバイスおよびプラズマ処理システム |
JP2010205854A (ja) | 2009-03-02 | 2010-09-16 | Fujitsu Semiconductor Ltd | 半導体装置の製造方法 |
JP4523661B1 (ja) | 2009-03-10 | 2010-08-11 | 三井造船株式会社 | 原子層堆積装置及び薄膜形成方法 |
JP4564570B2 (ja) | 2009-03-10 | 2010-10-20 | 三井造船株式会社 | 原子層堆積装置 |
FR2944147B1 (fr) | 2009-04-02 | 2011-09-23 | Saint Gobain | Procede de fabrication d'une structure a surface externe texturee pour dispositif a diode electroluminescente organique et struture a surface externe texturee |
US20100304027A1 (en) | 2009-05-27 | 2010-12-02 | Applied Materials, Inc. | Substrate processing system and methods thereof |
JP4415062B1 (ja) | 2009-06-22 | 2010-02-17 | 富士フイルム株式会社 | 薄膜トランジスタ及び薄膜トランジスタの製造方法 |
KR20110000960A (ko) | 2009-06-29 | 2011-01-06 | 삼성전자주식회사 | 반도체 칩, 스택 모듈, 메모리 카드 및 그 제조 방법 |
US8741788B2 (en) | 2009-08-06 | 2014-06-03 | Applied Materials, Inc. | Formation of silicon oxide using non-carbon flowable CVD processes |
JP2011066100A (ja) | 2009-09-16 | 2011-03-31 | Bridgestone Corp | 光硬化性転写シート、及びこれを用いた凹凸パターンの形成方法 |
US8449942B2 (en) | 2009-11-12 | 2013-05-28 | Applied Materials, Inc. | Methods of curing non-carbon flowable CVD films |
WO2011062043A1 (en) | 2009-11-20 | 2011-05-26 | Semiconductor Energy Laboratory Co., Ltd. | Method for manufacturing semiconductor device |
US20110151677A1 (en) | 2009-12-21 | 2011-06-23 | Applied Materials, Inc. | Wet oxidation process performed on a dielectric material formed from a flowable cvd process |
SG182336A1 (en) | 2010-01-06 | 2012-08-30 | Applied Materials Inc | Flowable dielectric using oxide liner |
US8304351B2 (en) | 2010-01-07 | 2012-11-06 | Applied Materials, Inc. | In-situ ozone cure for radical-component CVD |
EP2526339A4 (en) | 2010-01-21 | 2015-03-11 | Powerdyne Inc | PRODUCTION OF STEAM FROM A CARBON SUBSTANCE |
US8293658B2 (en) | 2010-02-17 | 2012-10-23 | Asm America, Inc. | Reactive site deactivation against vapor deposition |
JP2013521650A (ja) | 2010-03-05 | 2013-06-10 | アプライド マテリアルズ インコーポレイテッド | ラジカル成分cvdによる共形層 |
CN101871043B (zh) | 2010-06-25 | 2012-07-18 | 东莞市康汇聚线材科技有限公司 | 一种退火炉蒸汽发生器及其控制方法 |
US8318584B2 (en) | 2010-07-30 | 2012-11-27 | Applied Materials, Inc. | Oxide-rich liner layer for flowable CVD gapfill |
JP2012049446A (ja) | 2010-08-30 | 2012-03-08 | Toshiba Corp | 超臨界乾燥方法及び超臨界乾燥システム |
EP2426720A1 (en) | 2010-09-03 | 2012-03-07 | Applied Materials, Inc. | Staggered thin film transistor and method of forming the same |
TW201216331A (en) | 2010-10-05 | 2012-04-16 | Applied Materials Inc | Ultra high selectivity doped amorphous carbon strippable hardmask development and integration |
JP5806827B2 (ja) | 2011-03-18 | 2015-11-10 | 東京エレクトロン株式会社 | ゲートバルブ装置及び基板処理装置並びにその基板処理方法 |
JP5450494B2 (ja) | 2011-03-25 | 2014-03-26 | 株式会社東芝 | 半導体基板の超臨界乾燥方法 |
US9031373B2 (en) | 2011-03-25 | 2015-05-12 | Seo Young Lee | Lightwave circuit and method for manufacturing same |
US20120252210A1 (en) | 2011-03-30 | 2012-10-04 | Tokyo Electron Limited | Method for modifying metal cap layers in semiconductor devices |
JP6048400B2 (ja) | 2011-03-30 | 2016-12-21 | 大日本印刷株式会社 | 超臨界乾燥装置及び超臨界乾燥方法 |
US9299581B2 (en) | 2011-05-12 | 2016-03-29 | Applied Materials, Inc. | Methods of dry stripping boron-carbon films |
JP6085423B2 (ja) | 2011-05-30 | 2017-02-22 | 株式会社東芝 | 基板処理方法、基板処理装置および記憶媒体 |
WO2012165377A1 (ja) | 2011-05-30 | 2012-12-06 | 東京エレクトロン株式会社 | 基板処理方法、基板処理装置および記憶媒体 |
US8466073B2 (en) | 2011-06-03 | 2013-06-18 | Applied Materials, Inc. | Capping layer for reduced outgassing |
GB201110117D0 (en) | 2011-06-16 | 2011-07-27 | Fujifilm Mfg Europe Bv | method and device for manufacturing a barrie layer on a flexible substrate |
KR102033083B1 (ko) * | 2011-06-28 | 2019-10-16 | 다이나믹 마이크로시스템즈 세미컨덕터 이큅먼트 게엠베하 | 반도체 스토커 시스템들 및 방법들 |
WO2013008982A1 (ko) | 2011-07-14 | 2013-01-17 | 엘티씨 (주) | 높은 광추출 성능을 갖는 무기 산란막 {inorganic scattering films having high light extraction performance} |
KR101568748B1 (ko) | 2011-11-01 | 2015-11-12 | 가부시키가이샤 히다치 고쿠사이 덴키 | 반도체 장치의 제조 방법, 반도체 장치의 제조 장치 및 기록 매체 |
JP5712902B2 (ja) | 2011-11-10 | 2015-05-07 | 東京エレクトロン株式会社 | 基板処理装置、基板処理方法及び記憶媒体 |
KR101305904B1 (ko) | 2011-12-07 | 2013-09-09 | 주식회사 테스 | 반도체소자 제조방법 |
US20150197455A1 (en) | 2011-12-08 | 2015-07-16 | Inmold Biosystems A/S | Spin-on-glass assisted polishing of rough substrates |
JP2013122493A (ja) | 2011-12-09 | 2013-06-20 | Furukawa Electric Co Ltd:The | 光分岐素子および光分岐回路 |
JP2013154315A (ja) | 2012-01-31 | 2013-08-15 | Ricoh Co Ltd | 薄膜形成装置、薄膜形成方法、電気−機械変換素子、液体吐出ヘッド、およびインクジェット記録装置 |
JP6254098B2 (ja) | 2012-02-13 | 2017-12-27 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 基板の選択性酸化のための方法および装置 |
US8871656B2 (en) | 2012-03-05 | 2014-10-28 | Applied Materials, Inc. | Flowable films using alternative silicon precursors |
JP6007715B2 (ja) * | 2012-03-29 | 2016-10-12 | 東京エレクトロン株式会社 | トラップ機構、排気系及び成膜装置 |
US20130288485A1 (en) | 2012-04-30 | 2013-10-31 | Applied Materials, Inc. | Densification for flowable films |
US20130337171A1 (en) | 2012-06-13 | 2013-12-19 | Qualcomm Mems Technologies, Inc. | N2 purged o-ring for chamber in chamber ald system |
KR101224520B1 (ko) | 2012-06-27 | 2013-01-22 | (주)이노시티 | 프로세스 챔버 |
KR20140003776A (ko) | 2012-06-28 | 2014-01-10 | 주식회사 메카로닉스 | 고 저항 산화아연 박막의 제조방법 |
WO2014011954A1 (en) | 2012-07-13 | 2014-01-16 | Northwestern University | Multifunctional graphene coated scanning tips |
JP2014019912A (ja) | 2012-07-19 | 2014-02-03 | Tokyo Electron Ltd | タングステン膜の成膜方法 |
US8846448B2 (en) | 2012-08-10 | 2014-09-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Warpage control in a package-on-package structure |
WO2014030371A1 (ja) | 2012-08-24 | 2014-02-27 | 独立行政法人科学技術振興機構 | ゲルマニウム層上に窒化酸化アルミニウム膜を備える半導体構造およびその製造方法 |
KR102002782B1 (ko) | 2012-09-10 | 2019-07-23 | 삼성전자주식회사 | 팽창성 부재를 사용하는 반도체 장치의 제조 방법 |
JP2014060256A (ja) | 2012-09-18 | 2014-04-03 | Tokyo Electron Ltd | 処理システム |
US9157730B2 (en) | 2012-10-26 | 2015-10-13 | Applied Materials, Inc. | PECVD process |
SG2013083241A (en) | 2012-11-08 | 2014-06-27 | Novellus Systems Inc | Conformal film deposition for gapfill |
WO2014085511A2 (en) | 2012-11-27 | 2014-06-05 | The Regents Of The University Of California | Polymerized metal-organic material for printable photonic devices |
US9123577B2 (en) | 2012-12-12 | 2015-09-01 | Sandisk Technologies Inc. | Air gap isolation in non-volatile memory using sacrificial films |
JP2014141739A (ja) | 2012-12-27 | 2014-08-07 | Tokyo Electron Ltd | 金属マンガン膜の成膜方法、処理システム、電子デバイスの製造方法および電子デバイス |
US20140216498A1 (en) | 2013-02-06 | 2014-08-07 | Kwangduk Douglas Lee | Methods of dry stripping boron-carbon films |
MY171887A (en) | 2013-02-19 | 2019-11-06 | Applied Materials Inc | Hdd patterning using flowable cvd film |
KR101443792B1 (ko) | 2013-02-20 | 2014-09-26 | 국제엘렉트릭코리아 주식회사 | 건식 기상 식각 장치 |
KR20140106977A (ko) | 2013-02-27 | 2014-09-04 | 삼성전자주식회사 | 고성능 금속 산화물 반도체 박막 트랜지스터 및 그 제조방법 |
US9354508B2 (en) | 2013-03-12 | 2016-05-31 | Applied Materials, Inc. | Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor |
US9680095B2 (en) | 2013-03-13 | 2017-06-13 | Macronix International Co., Ltd. | Resistive RAM and fabrication method |
TWI624897B (zh) | 2013-03-15 | 2018-05-21 | 應用材料股份有限公司 | 多位置批次負載鎖定裝置與系統,以及包括該裝置與系統的方法 |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
CN105164799B (zh) | 2013-03-15 | 2020-04-07 | 应用材料公司 | 基板沉积系统、机械手移送设备及用于电子装置制造的方法 |
US10224258B2 (en) | 2013-03-22 | 2019-03-05 | Applied Materials, Inc. | Method of curing thermoplastics with microwave energy |
US9538586B2 (en) | 2013-04-26 | 2017-01-03 | Applied Materials, Inc. | Method and apparatus for microwave treatment of dielectric films |
KR101801113B1 (ko) | 2013-05-31 | 2017-11-24 | 가부시키가이샤 히다치 고쿠사이 덴키 | 기판 처리 장치, 반도체 제조 장치의 제조 방법 및 노구 개체 |
JP6196481B2 (ja) * | 2013-06-24 | 2017-09-13 | 株式会社荏原製作所 | 排ガス処理装置 |
KR101542803B1 (ko) | 2013-07-09 | 2015-08-07 | 주식회사 네오세미텍 | 고온고압 송풍식 퍼지수단을 구비한 진공챔버 및 이를 이용한 세정방법 |
US9178103B2 (en) | 2013-08-09 | 2015-11-03 | Tsmc Solar Ltd. | Apparatus and method for forming chalcogenide semiconductor absorber materials with sodium impurities |
CN105453230B (zh) | 2013-08-16 | 2019-06-14 | 应用材料公司 | 用六氟化钨(wf6)回蚀进行钨沉积 |
SG11201600447YA (en) | 2013-08-21 | 2016-03-30 | Applied Materials Inc | Variable frequency microwave (vfm) processes and applications in semiconductor thin film fabrications |
JP6226648B2 (ja) | 2013-09-04 | 2017-11-08 | 昭和電工株式会社 | SiCエピタキシャルウェハの製造方法 |
US9396986B2 (en) | 2013-10-04 | 2016-07-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Mechanism of forming a trench structure |
JP6129712B2 (ja) | 2013-10-24 | 2017-05-17 | 信越化学工業株式会社 | 過熱水蒸気処理装置 |
US9406547B2 (en) | 2013-12-24 | 2016-08-02 | Intel Corporation | Techniques for trench isolation using flowable dielectric materials |
CN103745978B (zh) | 2014-01-03 | 2016-08-17 | 京东方科技集团股份有限公司 | 显示装置、阵列基板及其制作方法 |
US9257527B2 (en) | 2014-02-14 | 2016-02-09 | International Business Machines Corporation | Nanowire transistor structures with merged source/drain regions using auxiliary pillars |
US9818603B2 (en) | 2014-03-06 | 2017-11-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor devices and methods of manufacture thereof |
KR101571715B1 (ko) | 2014-04-23 | 2015-11-25 | 주식회사 풍산 | 고압 열처리를 이용한 스핀 온 글래스 절연막 형성방법 |
JP6399417B2 (ja) | 2014-06-16 | 2018-10-03 | インテル・コーポレーション | 金属相互接続のシーム修復 |
CN104089491B (zh) | 2014-07-03 | 2015-11-04 | 肇庆宏旺金属实业有限公司 | 退火炉的余热回收利用系统 |
US9257314B1 (en) | 2014-07-31 | 2016-02-09 | Poongsan Corporation | Methods and apparatuses for deuterium recovery |
US20170160012A1 (en) | 2014-09-08 | 2017-06-08 | Mitsubishi Electric Corporation | Semiconductor annealing apparatus |
US9773865B2 (en) | 2014-09-22 | 2017-09-26 | International Business Machines Corporation | Self-forming spacers using oxidation |
US9362107B2 (en) | 2014-09-30 | 2016-06-07 | Applied Materials, Inc. | Flowable low-k dielectric gapfill treatment |
US20160118391A1 (en) | 2014-10-22 | 2016-04-28 | SanDisk Technologies, Inc. | Deuterium anneal of semiconductor channels in a three-dimensional memory structure |
SG11201703195QA (en) | 2014-10-24 | 2017-05-30 | Versum Materials Us Llc | Compositions and methods using same for deposition of silicon-containing film |
US9543141B2 (en) | 2014-12-09 | 2017-01-10 | Taiwan Semiconductor Manufacturing Co., Ltd | Method for curing flowable layer |
TW201639063A (zh) | 2015-01-22 | 2016-11-01 | 應用材料股份有限公司 | 批量加熱和冷卻腔室或負載鎖定裝置 |
KR20240042186A (ko) | 2015-02-06 | 2024-04-01 | 버슘머트리얼즈 유에스, 엘엘씨 | 탄소 도핑된 규소 함유 필름을 위한 조성물 및 이의 사용 방법 |
WO2016130956A1 (en) | 2015-02-13 | 2016-08-18 | Alexander Otto | Multifilament superconducting wire with high resistance sleeves |
US10103046B2 (en) | 2015-04-20 | 2018-10-16 | Applied Materials, Inc. | Buffer chamber wafer heating mechanism and supporting robot |
US20160314964A1 (en) | 2015-04-21 | 2016-10-27 | Lam Research Corporation | Gap fill using carbon-based films |
US10443934B2 (en) | 2015-05-08 | 2019-10-15 | Varian Semiconductor Equipment Associates, Inc. | Substrate handling and heating system |
US9685303B2 (en) | 2015-05-08 | 2017-06-20 | Varian Semiconductor Equipment Associates, Inc. | Apparatus for heating and processing a substrate |
TWI826223B (zh) | 2015-05-11 | 2023-12-11 | 美商應用材料股份有限公司 | 水平環繞式閘極與鰭式場效電晶體元件的隔離 |
KR101681190B1 (ko) | 2015-05-15 | 2016-12-02 | 세메스 주식회사 | 기판 건조 장치 및 방법 |
WO2016191621A1 (en) | 2015-05-27 | 2016-12-01 | Applied Materials, Inc. | Methods and apparatus for a microwave batch curing process |
WO2016196105A1 (en) | 2015-06-05 | 2016-12-08 | Applied Materials, Inc. | Susceptor position and rotation apparatus and methods of use |
US9728430B2 (en) | 2015-06-29 | 2017-08-08 | Varian Semiconductor Equipment Associates, Inc. | Electrostatic chuck with LED heating |
US20160379854A1 (en) | 2015-06-29 | 2016-12-29 | Varian Semiconductor Equipment Associates, Inc. | Vacuum Compatible LED Substrate Heater |
US10170608B2 (en) | 2015-06-30 | 2019-01-01 | International Business Machines Corporation | Internal spacer formation from selective oxidation for fin-first wire-last replacement gate-all-around nanowire FET |
US9646850B2 (en) | 2015-07-06 | 2017-05-09 | Globalfoundries Inc. | High-pressure anneal |
US9484406B1 (en) | 2015-09-03 | 2016-11-01 | Applied Materials, Inc. | Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications |
US9716142B2 (en) | 2015-10-12 | 2017-07-25 | International Business Machines Corporation | Stacked nanowires |
US9754840B2 (en) | 2015-11-16 | 2017-09-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Horizontal gate-all-around device having wrapped-around source and drain |
US9633838B2 (en) | 2015-12-28 | 2017-04-25 | L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude | Vapor deposition of silicon-containing films using penta-substituted disilanes |
JP6856651B2 (ja) | 2016-01-05 | 2021-04-07 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 半導体アプリケーション用の水平ゲートオールアラウンドデバイスのためのナノワイヤ製造方法 |
US9570551B1 (en) | 2016-02-05 | 2017-02-14 | International Business Machines Corporation | Replacement III-V or germanium nanowires by unilateral confined epitaxial growth |
JP6240695B2 (ja) | 2016-03-02 | 2017-11-29 | 株式会社日立国際電気 | 基板処理装置、半導体装置の製造方法及びプログラム |
KR101817215B1 (ko) * | 2016-03-16 | 2018-01-11 | 세메스 주식회사 | 펌프 및 액 공급 장치 |
US11326253B2 (en) | 2016-04-27 | 2022-05-10 | Applied Materials, Inc. | Atomic layer deposition of protective coatings for semiconductor process chamber components |
TWI729457B (zh) | 2016-06-14 | 2021-06-01 | 美商應用材料股份有限公司 | 金屬及含金屬化合物之氧化體積膨脹 |
US9933314B2 (en) | 2016-06-30 | 2018-04-03 | Varian Semiconductor Equipment Associates, Inc. | Semiconductor workpiece temperature measurement system |
US9876019B1 (en) | 2016-07-13 | 2018-01-23 | Globalfoundries Singapore Pte. Ltd. | Integrated circuits with programmable memory and methods for producing the same |
US20180087418A1 (en) | 2016-09-22 | 2018-03-29 | Castrol Limited | Fluid Method and System |
WO2018064292A1 (en) | 2016-09-30 | 2018-04-05 | Applied Materials, Inc. | Methods of forming self-aligned vias |
US10249525B2 (en) | 2016-10-03 | 2019-04-02 | Applied Materials, Inc. | Dynamic leveling process heater lift |
US10224224B2 (en) | 2017-03-10 | 2019-03-05 | Micromaterials, LLC | High pressure wafer processing systems and related methods |
CN110431661B (zh) | 2017-03-31 | 2023-09-22 | 应用材料公司 | 用于用非晶硅膜对高深宽比沟槽进行间隙填充的两步工艺 |
KR20230146121A (ko) | 2017-04-21 | 2023-10-18 | 어플라이드 머티어리얼스, 인코포레이티드 | 개선된 전극 조립체 |
CN116504679A (zh) | 2017-05-01 | 2023-07-28 | 应用材料公司 | 具有真空隔离和预处理环境的高压退火腔室 |
KR20190138315A (ko) | 2017-05-03 | 2019-12-12 | 어플라이드 머티어리얼스, 인코포레이티드 | 고온 세라믹 가열기 상의 통합형 기판 온도 측정 |
KR102306675B1 (ko) * | 2017-05-19 | 2021-09-28 | 어플라이드 머티어리얼스, 인코포레이티드 | 액체 및 고체 유출물의 수집 및 가스 유출물로의 후속 반응을 위한 장치 |
US10622214B2 (en) | 2017-05-25 | 2020-04-14 | Applied Materials, Inc. | Tungsten defluorination by high pressure treatment |
US10847360B2 (en) | 2017-05-25 | 2020-11-24 | Applied Materials, Inc. | High pressure treatment of silicon nitride film |
JP7184810B6 (ja) | 2017-06-02 | 2022-12-16 | アプライド マテリアルズ インコーポレイテッド | 基板に堆積された膜の品質改善 |
US10179941B1 (en) | 2017-07-14 | 2019-01-15 | Applied Materials, Inc. | Gas delivery system for high pressure processing chamber |
JP6947914B2 (ja) | 2017-08-18 | 2021-10-13 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 高圧高温下のアニールチャンバ |
US10096516B1 (en) | 2017-08-18 | 2018-10-09 | Applied Materials, Inc. | Method of forming a barrier layer for through via applications |
US10276411B2 (en) | 2017-08-18 | 2019-04-30 | Applied Materials, Inc. | High pressure and high temperature anneal chamber |
US10643867B2 (en) | 2017-11-03 | 2020-05-05 | Applied Materials, Inc. | Annealing system and method |
WO2019094481A1 (en) | 2017-11-11 | 2019-05-16 | Micromaterials Llc | Gas delivery system for high pressure processing chamber |
KR102622303B1 (ko) | 2017-11-16 | 2024-01-05 | 어플라이드 머티어리얼스, 인코포레이티드 | 고압 스팀 어닐링 프로세싱 장치 |
JP2021503714A (ja) | 2017-11-17 | 2021-02-12 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 高圧処理システムのためのコンデンサシステム |
WO2019147400A1 (en) | 2018-01-24 | 2019-08-01 | Applied Materials, Inc. | Seam healing using high pressure anneal |
US11114333B2 (en) | 2018-02-22 | 2021-09-07 | Micromaterials, LLC | Method for depositing and reflow of a high quality etch resistant gapfill dielectric film |
EP3756217A4 (en) | 2018-02-22 | 2021-11-10 | Applied Materials, Inc. | PROCESS FOR TREATING A MASK SUBSTRATE ALLOWING A BETTER FILM QUALITY |
KR102536820B1 (ko) | 2018-03-09 | 2023-05-24 | 어플라이드 머티어리얼스, 인코포레이티드 | 금속 함유 재료들을 위한 고압 어닐링 프로세스 |
US10714331B2 (en) | 2018-04-04 | 2020-07-14 | Applied Materials, Inc. | Method to fabricate thermally stable low K-FinFET spacer |
WO2019204124A1 (en) | 2018-04-20 | 2019-10-24 | Applied Materials, Inc. | Ceramic wafer heater with integrated pressurized helium cooling |
US11434569B2 (en) | 2018-05-25 | 2022-09-06 | Applied Materials, Inc. | Ground path systems for providing a shorter and symmetrical ground path |
US11499666B2 (en) | 2018-05-25 | 2022-11-15 | Applied Materials, Inc. | Precision dynamic leveling mechanism with long motion capability |
US10704141B2 (en) | 2018-06-01 | 2020-07-07 | Applied Materials, Inc. | In-situ CVD and ALD coating of chamber to control metal contamination |
US10790183B2 (en) | 2018-06-05 | 2020-09-29 | Applied Materials, Inc. | Selective oxidation for 3D device isolation |
US20200035513A1 (en) | 2018-07-25 | 2020-01-30 | Applied Materials, Inc. | Processing apparatus |
US10748783B2 (en) | 2018-07-25 | 2020-08-18 | Applied Materials, Inc. | Gas delivery module |
US10675581B2 (en) | 2018-08-06 | 2020-06-09 | Applied Materials, Inc. | Gas abatement apparatus |
-
2018
- 2018-08-06 US US16/055,929 patent/US10675581B2/en active Active
-
2019
- 2019-07-01 WO PCT/US2019/040195 patent/WO2020033081A1/en active Application Filing
- 2019-07-01 JP JP2021505979A patent/JP7510406B2/ja active Active
- 2019-07-01 KR KR1020217006817A patent/KR20210030485A/ko active IP Right Grant
- 2019-07-01 CN CN201980054661.3A patent/CN112640074A/zh active Pending
- 2019-08-01 TW TW108127374A patent/TWI840393B/zh active
-
2020
- 2020-06-09 US US16/897,045 patent/US11110383B2/en active Active
Patent Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6164412A (en) * | 1998-04-03 | 2000-12-26 | Arvin Industries, Inc. | Muffler |
CN103702739A (zh) * | 2011-05-11 | 2014-04-02 | 川崎重工业株式会社 | 湿式废气净化装置 |
CN104047676A (zh) * | 2014-06-14 | 2014-09-17 | 马根昌 | 改良式对冲消声器 |
Also Published As
Publication number | Publication date |
---|---|
JP7510406B2 (ja) | 2024-07-03 |
US20200038797A1 (en) | 2020-02-06 |
WO2020033081A1 (en) | 2020-02-13 |
US20200368666A1 (en) | 2020-11-26 |
JP2021532977A (ja) | 2021-12-02 |
US11110383B2 (en) | 2021-09-07 |
TW202014594A (zh) | 2020-04-16 |
US10675581B2 (en) | 2020-06-09 |
CN112640074A (zh) | 2021-04-09 |
KR20210030485A (ko) | 2021-03-17 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI840393B (zh) | 流出物管理設備、消音器組件設備、及高壓處理設備 | |
US10748783B2 (en) | Gas delivery module | |
US20200035513A1 (en) | Processing apparatus | |
US10685830B2 (en) | Condenser system for high pressure processing system | |
JP7198676B2 (ja) | 希ガス回収システムおよび希ガス回収方法 | |
JPH0568866A (ja) | ガス供給装置 | |
TW201737406A (zh) | 真空夾盤壓力控制系統 | |
JP4705490B2 (ja) | ダイヤフラム弁および基板処理装置 | |
JP6990207B2 (ja) | 加熱分解式排ガス除害装置及び逆流防止方法 | |
JP2023502921A (ja) | ガスパージバルブ | |
JP2009088308A (ja) | 基板処理装置 | |
JP2006055854A (ja) | 除害装置 | |
US7025805B2 (en) | Hydrogen diffusion cell assembly with internal flow restrictor | |
CN218890379U (zh) | 臭氧排气系统 | |
WO2023145385A1 (ja) | クライオポンプシステムおよび再生コントローラ | |
JP5109870B2 (ja) | 成膜装置およびそれを用いた成膜方法 | |
KR100470199B1 (ko) | 트랩장치의 배기관 | |
JP2003049998A (ja) | ガス拘束装置 | |
KR100503256B1 (ko) | 트랩장치 | |
KR20010051686A (ko) | 기체류 정화 시스템 및 방법 | |
KR20090047593A (ko) | 진공펌프 온도 자동 제어 장치 및 방법 | |
JPH01281131A (ja) | 半導体製造排ガスの処理方法及びその装置 | |
KR20060100546A (ko) | 반도체 제조설비의 개스 역류방지장치 | |
MY130898A (en) | Improved air handling unit | |
JPH01201916A (ja) | 気相成長装置における排気処理部及びその運転方法 |