CN112640074A - 气体减弱设备 - Google Patents

气体减弱设备 Download PDF

Info

Publication number
CN112640074A
CN112640074A CN201980054661.3A CN201980054661A CN112640074A CN 112640074 A CN112640074 A CN 112640074A CN 201980054661 A CN201980054661 A CN 201980054661A CN 112640074 A CN112640074 A CN 112640074A
Authority
CN
China
Prior art keywords
port
muffler
volume
conduit
valve
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201980054661.3A
Other languages
English (en)
Inventor
阿迪卜·汗
梁奇伟
苏坦·马立克
斯里尼瓦斯·内曼尼
拉菲卡·斯马蒂
约瑟夫·恩济
约翰·奥海尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN112640074A publication Critical patent/CN112640074A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D53/00Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols
    • B01D53/02Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols by adsorption, e.g. preparative gas chromatography
    • B01D53/04Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols by adsorption, e.g. preparative gas chromatography with stationary adsorbents
    • B01D53/0407Constructional details of adsorbing systems
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D53/00Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67389Closed carriers characterised by atmosphere control
    • H01L21/67393Closed carriers characterised by atmosphere control characterised by the presence of atmosphere modifying elements inside or attached to the closed carrierl
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2258/00Sources of waste gases
    • B01D2258/02Other waste gases
    • B01D2258/0216Other waste gases from CVD treatment or semi-conductor manufacturing

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Automation & Control Theory (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本公开内容的实施方式涉及气体减弱设备和流出物管理。这里描述的设备包括高压处理腔室和围绕处理腔室的容纳腔室。高压流体输送模块与高压处理腔室流体连通,且高压流体输送模块经构造以将高压流体输送到处理腔室。流出物管理模块包括用于降低流出物压力的消音器组件和用于处理流出物的多个洗涤器。

Description

气体减弱设备
技术领域
本公开内容的实施方式一般涉及用于半导体处理的设备。更具体地,本公开内容的实施方式涉及用于高压处理系统的气体减弱设备。
背景技术
半导体制造领域利用各种工艺来制造结合到集成电路中的器件。随着器件复杂性的增加,集成电路制造商寻求改进的方法来制造高级(advanced)节点器件。例如,高级处理特性可包括利用更极端的工艺变量来实现高级器件制造。
越来越多地研究在半导体制造中使用的工艺变量的一个实例是高压处理。在高于大气压的压力下的高压处理已经显示出有希望的材料调制特性。然而,当考虑执行高级节点器件制造工艺所需的必要控制程度时,通常缺乏适合于安全且有效地执行高压处理的设备。更具体地,传统的处理设备通常缺少用于诸如有毒气体及类似物的流出物的高压管理的合适的排出模块。
因此,本领域需要改良的气体减弱(abatement)设备和管理高压流出物的方法。
发明内容
在一个实施方式中,提供了一种消音器(muffler)组件设备。该设备包括第一消音器,该第一消音器在其中界定第一容积,该第一消音器具有在第一端中形成的第一端口与在第二端中形成的第二端口,该第二端口与该第一端口相对。第二消音器在其中界定第二容积。第二消音器具有在第三端中形成的第三端口与在该第二消音器中形成的第四端口,该第四端口与该第三端口实质相对。第三消音器在其中界定第三容积。该第三消音器具有在其中形成的第五端口与在其中形成的第六端口,该第六端口与该第五端口实质相对。第四消音器在其中界定第四容积。该第四消音器具有在其中形成的第七端口与穿过第四端形成的第八端口,该第八端口与该第七端口实质相对。第一导管在第七端口和第五端口之间延伸,及第二导管在第四端口和第六端口之间延伸。
在另一个实施方式中,提供一种流出物管理设备。该设备包括消音器组件,该消音器组件包含:第一消音器,在其中界定第一容积,该第一消音器具有在第一端中形成的第一端口与在第二端中形成的第二端口,该第二端口与该第一端口相对。第二消音器在其中界定第二容积。第二消音器具有在第三端中形成的第三端口与在该第二消音器中形成的第四端口,该第四端口与该第三端口实质相对。第三消音器在其中界定第三容积。该第三消音器具有在其中形成的第五端口与在其中形成的第六端口,该第六端口与该第五端口实质相对。第四消音器在其中界定第四容积。该第四消音器具有在其中形成的第七端口与穿过第四端形成的第八端口,该第八端口与该第七端口实质相对。第一导管在第七端口和第五端口之间延伸,及第二导管在第四端口和第六端口之间延伸。第三导管从第三端口延伸到阀,第一洗涤器与阀流体连通,及第二洗涤器经由第四导管与阀流体连通。
在又一个实施方式中,提供一种高压处理设备。该设备包括第一腔室和第二腔室,第一腔室和第二腔室在其中界定处理容积。第二腔室设置在第一腔室内。消音器组件包括第一消音器,该第一消音器在其中界定第一容积,该第一消音器具有在第一端中形成的第一端口与在第二端中形成的第二端口,该第二端口与该第一端口相对。第二消音器在其中界定第二容积。第二消音器具有在第三端中形成的第三端口与在该第二消音器中形成的第四端口,该第四端口与该第三端口实质相对。第三消音器在其中界定第三容积。该第三消音器具有在其中形成的第五端口与在其中形成的第六端口,该第六端口与该第五端口实质相对。第四消音器在其中界定第四容积。该第四消音器具有在其中形成的第七端口与穿过第四端形成的第八端口,该第八端口与该第七端口实质相对。第一导管在第七端口和第五端口之间延伸,及第二导管在第四端口和第六端口之间延伸。第三导管从第三端口延伸到阀,第一洗涤器与阀流体连通,及第二洗涤器经由第四导管与阀流体连通。第五导管设置在处理容积和第一消音器的第一端口之间。
附图说明
以上简要概述本公开内容的上述详述特征可以被详细理解的方式、以及对本公开内容的更特定描述,可通过参照实施方式来获得,其中一些实施方式绘示于所附图式中。然而,应当注意的是,所附图式仅绘示了示例性实施方式且不会视为对其范围的限制,本揭示可允许其他等同有效的实施方式。
图1是根据本公开内容描述的实施方式的高压处理设备的示意图。
图2是根据本公开内容描述的实施方式的流出物管理模块的示意图。
图3是根据本公开内容描述的实施方式的消音器组件的示意性截面图。
为便于理解,尽可能地使用了相同的附图标号标示图式中共通的元件。考虑到,一个实施方式中的元件与特征在没有进一步描述下可有利地并入其他实施方式中。
具体实施方式
本公开内容的实施方式涉及用于半导体处理的高压处理设备。本说明书描述的设备包括高压处理腔室和围绕处理腔室的容纳腔室。高压流体输送模块与高压处理腔室流体连通,且高压流体输送模块经构造以将高压流体输送到处理腔室。
图1是根据本公开内容描述的实施方式的高压处理设备100的示意图。设备100包括第一腔室116,第一腔室116在其中界定第一容积118。在一个实施方式中,第一容积118的容积在约80升至约150升之间,例如在约100升至约120升之间。第一腔室116由工艺兼容材料制成,例如铝、不锈钢、其合金及其组合。选择用于制造第一腔室116的材料适合于在低于大气压(sub-atmospheric)下操作,例如小于约700Torr的压力,例如650Torr或更低。
流出物管理模块115耦接到第一腔室116并与第一腔室116流体连通。第一腔室116具有形成在其中的排气口128。排放导管103在排气口128处耦接到第一腔室116,使得排放导管103与第一容积118流体连通。隔离阀105和节流阀107设置在排放导管103上。隔离阀105设置在节流阀107和排气口128之间的排放导管103上。隔离阀105可操作以启动和消除(extinguish)第一容积118和排气装置113之间的流体连通。节流阀107控制从第一容积118流过排放导管103的流出物的流速。
泵109亦耦接到排放导管103,且泵109可操作以将流体从第一容积118拉到排气装置113。泵109设置在节流阀107和排气装置113之间的排放导管103上。在一个实施方式中,泵109在第一容积118中产生低于大气压,例如小于约700Torr的压力。洗涤器111也设置在泵109和排气装置113之间的排放导管103上。洗涤器111经由排放导管103与第一容积118流体连通,且洗涤器111经构造以在流出物离开排放导管103到排气装置113之前处理来自第一容积118的流出物。
第一腔室116具有外表面124,外表面124不暴露于第一容积118。第一狭缝阀(first slit valve)120形成在腔室116中,以使基板能够通过该第一狭缝阀进入和离开。第一狭缝阀门122耦接到与第一狭缝阀120相邻的外表面124。在操作中,第一狭缝阀门122打开以能够使基板通过并在处理基板之前关闭。
第二腔室102设置在由第一腔室116界定的第一容积118内。第二腔室102在其中界定第二容积104。类似于第一腔室116,第二腔室102由工艺兼容材料制成,诸如铝、不锈钢、其合金及其组合。在一个实施方式中,第二腔室102由含镍钢合金制成,例如,含镍钼的钢合金或含镍铬钼的钢合金。选择用于制造第二腔室102的材料适合于在高压下操作第二容积104,诸如大于约30bar,例如,约50bar或更高。
基座106设置在第二腔室102中,且基座106具有基板支撑表面108,基板支撑表面108用于在处理期间在其上支撑基板。在一个实施方式中,基座106包括电阻加热器,电阻加热器可操作以将设置在基板支撑表面108上的基板的温度维持在高达约550℃的温度。尽管未图示,但基座106的杆延伸穿过第二腔室102和第一腔室116。基座106的杆可由波纹管组件与第一容积118隔离,该波纹管组件可操作地将基座106与第一容积118隔离。
穿过第二腔室102形成第二狭缝阀110,以使基板能够通过该第二狭缝阀进入和离开。第二狭缝阀110与第一狭缝阀120大致在同一平面上实质对齐。第二狭缝阀门112耦接到与第二狭缝阀110相邻的第二腔室102的内表面114。第二狭缝阀门112在内表面114上的定位能够在高压处理期间更牢固地(secure)密封第二容积104,因为第二容积104内维持的高压迫使第二狭缝阀门112抵靠内表面114而产生实质气密的密封。在操作中,第二狭缝阀门112打开以使基板能够从第一狭缝阀120通过。在基板定位在基座106的基板支撑表面108上之后,第二狭缝阀门112在处理基板之前关闭。
流体管理设备140经构造以将一个或多个流体输送到第二腔室102的第二容积104。流体管理设备140包括第一流体输送模块144、第二流体输送模块142和第三流体输送模块146。第一流体输送模块144可操作以产生蒸汽(steam)并将蒸汽输送到第二容积104。第一流体输送模块144与第一流体源150流体连通。在一个实施方式中,第一流体源150是水源,且更具体地,是去离子水源。第二流体输送模块142与第二流体源152流体连通。在一个实施方式中,第二流体源152是氢源,且更具体地,是H2源。第三流体输送模块146与第三流体源148流体连通。在一个实施方式中,第三流体源148是氮气源,例如氨源。
第一流体输送模块144经由第一导管156与第二容积104流体连通。阀164设置在第一流体输送模块144和第一导管156之间。阀164可操作以使流体能够从第一流体输送模块144流过第一导管156。容纳壳体166围绕阀164与阀164在第一流体输送模块144和第一导管156之间的连接。第一导管156从第一阀164穿过第一腔室116、第一容积118和第二腔室102延伸到在第二腔室102的内表面114上形成的端口132。在一个实施方式中,加热器护套(jacket)157围绕第一导管156并沿着阀164和第一腔室116之间的第一导管156的长度延伸。
第二流体输送模块142经由第二导管154与第二容积104流体连通。阀160设置在第二流体输送模块142和第二导管154之间。阀160可操作以使流体能够从第二流体输送模块142流过第二导管154。容纳壳体162围绕阀160与阀160在第二流体输送模块142和第二导管154之间的连接。第二导管154从第二阀160穿过第一腔室116、第一容积118和第二腔室102延伸到在第二腔室102的内表面114上形成的端口130。在一个实施方式中,加热器护套155围绕第二导管154并沿着阀160和第一腔室116之间的第二导管154的长度延伸。
第三流体输送模块146经由第三导管158与第二容积104流体连通。阀168设置在第三流体输送模块146和第三导管158之间。阀168可操作以使流体能够从第三流体输送模块146流过第三导管158。容纳壳体170围绕阀168与阀168在第三流体输送模块146和第三导管158之间的连接。第三导管158从第三阀168穿过第一腔室116、第一容积118和第二腔室102延伸到在第二腔室102的内表面114上形成的端口134。在一个实施方式中,加热器护套159围绕第三导管158并沿着阀168和第一腔室116之间的第三导管158的长度延伸。
加热器护套155、157、159中的各个可操作以将相应导管154、156、158的温度维持在约300℃或更高,例如350℃或更高。在一个实施方式中,加热器护套155、157、159包括电阻加热器。在另一个实施方式中,加热器护套155、157、159包括流体通道,加热的流体流过该流体通道。通过将导管154、156、158维持在升高的温度,在蒸汽和其他高压流体从相应的流体输送模块142、144、146移送到第二容积104期间,维持蒸汽和其他高压流体期望的性质特征。在一个实例中,在流体输送模块144中产生的蒸汽由加热器护套157在导管156中维持在升高的温度,以防止或实质降低蒸汽移送期间冷凝的可能性。
设备100亦包括净化气体源172。在一个实施方式中,净化气体源172是惰性气体源,诸如氮源或稀有气体(noble gas)源。净化气体源172与第一容积118流体连通。导管174从净化气体源172延伸到在第一腔室116中形成的端口126。净化气体源172和第一容积118之间的流体连通使第一容积118能够用惰性气体净化。可以设想,如果第二容积104经历计划外的(unplanned)减压事件,则第一容积118是用作故障安全(failsafe)的容纳容积。通过具有足够大的容积用作膨胀体积且通过具有净化气体能力,第一容积118能够改善第二腔室102在升高的压力下的操作安全性。
净化气体源172亦与导管156、154、158中的各个流体连通。导管176从净化气体源172延伸到阀160、164、168中的各个。当阀160、164、168打开以接收来自净化气体源172流过导管176的净化气体时,将导管154、156、158净化以消除(eliminate)先前来自流体输送模块142、144、146输送的导管154、156、158中的流体。净化气体源172与导管154、156、158之间的流体连通也能够净化第二容积104。
为了从第二容积104移除流体,在第二腔室102中形成排气口136。导管180从排气口136延伸到调节阀184,调节阀184经构造以能够使横跨(across)调节阀184产生压降。在一个实施方式中,从第二容积104排出的加压流体行进通过排气口136、通过导管180以及通过阀182到达调节阀184,在调节阀184流体的压力从大于约30bar减小到约0.5bar至约3bar之间,诸如从约50bar减小到约0.5bar至约3bar之间。阀182与调节阀184设置成直列式(inline),且使减压流体能够从导管180移送到导管188。
压力释放端口138也形成在第二腔室102中。导管186从压力释放端口138延伸到导管188,以及导管186耦接到调节阀184和阀182下游的导管188。压力释放端口138和导管186经构造以绕过调节阀184并且用作第二容积104的次级(secondary)压力降低。阀196设置在导管188上,导管188在导管186、调节阀184和阀182的下游。阀196用于使流体能够经由压力释放端口138从第二容积104流动而不通过调节阀184。因此,第二容积104具有分叉的(bifurcated)压力释放结构,首先通过排气口136、导管180和调节阀184,以及再来,通过压力释放端口138和导管186。据信,分叉的压力释放结构能够改善对第二容积104中产生的压力的控制。
导管190耦接到阀184和阀196之间的导管188并从导管188延伸。更具体地,在导管186耦接到导管188的位置的下游位置导管190耦接到导管188。阀192设置在导管190上且可操作以使得能够在第二容积104和蒸汽疏水器(steam trap)194之间作选择性的流体连通。蒸汽疏水器194经构造以在第二容积104中执行高压蒸汽工艺时冷凝从第二容积104释放的蒸汽。在一个实施方式中,当阀192打开且阀182关闭时,蒸汽疏水器194经由导管190、188和186与第二容积104流体连通。蒸汽疏水器194亦可用作用于从第二容积104释放的高压蒸汽的次级减压设备。
容纳壳体198耦接到第一腔室116,且调节阀184、阀182、阀196和阀192中的各个设置在容纳壳体198内。导管188、190设置在容纳壳体198内,且导管180、186中的各个导管的至少一部分设置在容纳壳体198内。在一个实施方式中,蒸汽疏水器194设置在容纳壳体198内。在另一个实施方式中,蒸汽疏水器194设置在容纳壳体198的外部。
传感器121耦接到容纳壳体198且与容纳壳体198内界定的容积流体连通。传感器121经构造以侦测容纳壳体容积内的气体泄漏。在一个实施方式中,传感器121是氨侦测器。在另一个实施方式中,传感器121是氢侦测器。在某些实施方式中,传感器121包括多个传感器,例如氨侦测器和氢侦测器。容纳壳体198经构造以隔离并容纳从第二容积104排出的任何流出物的泄漏。如果侦测到诸如上述气体的流出物的泄漏,则容纳壳体198所界定的容积由来自气体源131的惰性气体净化。在一个实施方式中,气体源131经构造以将氮输送到容纳壳体198所界定的容积。泄漏到容积中的流出物从容纳壳体198中排出。在此实施方式中,容纳壳体198容积与洗涤器111流体连通,以当流出物从容纳壳体198排出时能够处置(treatment)限制在容纳壳体198内的流出物。
当阀196打开时,来自导管188的流体行进到导管101,导管101与流出物管理模块115流体连通。关于图2更详细地描述了流出物管理模块115,流出物管理模块115处置和管理来自第一容积118和第二容积104两者的排气。
图2是根据本公开内容描述的实施方式的流出物管理模块115的示意图。模块115包括排放导管103、隔离阀105、节流阀107、泵109、洗涤器111和排气装置113,如上面关于图1所述。模块115亦包括消音器组件202。消音器组件202经由导管101与第二容积104流体连通。消音器组件202包括多个消音器204、208、212、216,多个消音器204、208、212、216可操作以降低流过消音器堆叠202的流出物的压力。
消音器组件202包括界定容积206的第一消音器204、界定容积210的第二消音器208、界定容积214的第三消音器212以及界定容积218的第四消音器216。导管101耦接到阀196和第一消音器204且在阀196和第一消音器204之间延伸。导管220从与导管101相对的第一消音器204延伸到排放导管103。导管220耦接到节流阀107和泵109之间的排放导管103。
导管222从泵109延伸到第四消音器216。导管224在第四消音器216和第三消音器212之间延伸。导管226在第三消音器212和第二消音器208之间延伸。在操作中,流过导管101的流出物进入第一消音器204的容积206并流过容积206到达导管220。导管内流出物的压力在约15psi至约30psi之间。在导管220处离开容积206的流出物的压力在约0psi和约5psi之间。因此,第一消音器204充当减压设备,其允许流出物经历体积膨胀以降低流出物的压力。
流出物从导管220继续通过排放导管103到达泵109。在一些实施方式中,如果流出物从第二容积104逸出(escape)到第一容积118中,则来自第一容积118的流出物也存在于排放导管中。泵109将流出物的压力增加至小于约16.5psi、例如在约5psi至约15psi之间,以使流出物移动通过消音器组件202的其余部分。泵加压流出物通过导管222行进到第四消音器216并在容积218中膨胀。然后流出物从容积218通过导管224流到第三消音器212的容积214。容积214进一步降低流出物的压力,且流出物从容积214通过导管226行进到第二消音器208的容积210。容积210进一步降低流出物的压力,使得当流出物离开容积210时,流出物的压力小于约14.5psi,诸如小于约10psi,例如,在约0psi至约5psi之间。
流出物通过导管228离开容积210,导管228耦接到容积210并与容积210流体连通。导管228从第二消音器208延伸到旁通阀230。在正常操作期间,旁通阀230使流体能够从导管228流到洗涤器111和排气装置113。然而,如果发生设备故障,其导致流出物的计划外或无法限制(uncontained)的释放(加压或不加压的),则旁通阀230打开,这使得流过模块115的流体能够进入导管232而不是流到洗涤器111。当洗涤器111不能防止未处置(untreated)的流出物释放到排气装置113时,旁通阀230也打开。
导管232具有设置在其上的限流器234,限流器234减少行进通过导管232的流出物的流动。在一个实施方式中,流过导管232的NH3的量由限流器234调节,使得NH3的浓度以体积计小于约5%,诸如以体积计小于约2%。为了进一步促进NH3的浓度降低,净化气体源172亦经由导管242与导管232流体连通。质量流量控制器244控制从净化气体源172流过导管242的诸如氮的净化气体的量,使得导管232中的流出物在流出物通过导管236到第二洗涤器238之前与适量的净化气体混合。
导管236从导管232、242的交叉点分接(tee),并延伸到第二洗涤器238。当旁通阀230打开且流出物NH3浓度被调节到可接受的处置量时,第二洗涤器238处置流出物。在第二洗涤器238中的处置之后,经处置的流出物行进通过导管240,导管240在第二洗涤器238和排气装置113之间流体连通。
图3是根据本公开内容描述的实施方式的消音器组件202的示意性截面图。如上所述,消音器组件202包括多个消音器204、208、212、216。在一个实施方式中,消音器204、208、212、216由不锈钢材料或其合金制成。在另一个实施方式中,消音器204、208、212、216由铝材料或其合金制成。消音器204、208、212、216中的各消音器在其中界定相应的容积206、210、124、218。在一个实施方式中,消音器204、208、212、216中的各个具有实质相似的尺寸。
在一个实施方式中,消音器204、208、212、216是圆柱形的。消音器204、208、212、216中的各个的直径342在约20英寸至约40英寸之间,例如,约30英寸。消音器204、208、212、216中的各个的长度344在约60英寸至约100英寸之间,例如约80英寸。在一个实施方式中,消音器204、208、212、216以实质垂直的布置方式堆叠。在一个实施方式中,消音器204、208、212、216由框架组件(未示出)构成,以便于以实质垂直的布置方式设置。还可以设想到,消音器204、208、212、216可以以水平布置方式或其他布置方式设置。
第一消音器204具有穿过第一端346形成的端口302。在一个实施方式中,端口302穿过第一端346的中心区域形成。导管101耦接到端口302,以使导管101和容积206之间能够流体连通。端口304穿过第一消音器204的与端口302相对的第二端348形成。在一个实施方式中,端口304穿过第二端348的中心区域形成。在操作中,流出物通过端口302进入容积206并通过端口304离开容积206。导管220耦接到端口304,以使容积206和排放导管103之间能够流体连通。
如上所述,来自第二容积104的流出物顺序地流过第一消音器204、第四消音器216、第三消音器212和第二消音器208。端口316在第四消音器216的第二端360中形成。导管222耦接到端口316,以使泵109和容积218之间能够流体连通。端口314穿过第四消音器216形成且邻近第四消音器216的第一端358,第四消音器216的第一端358与端口316相对。在操作中,流出物通过端口316进入容积218并通过端口314离开容积218。
在前述实施方式中,流出物的顺序流动从第一消音器204前进到第四消音器216、第三消音器212和第二消音器208。在此实施方式中,第四消音器216的容积218与第三消音器212的容积214直接流体连通。类似地,第三消音器212的容积214与第二消音器208的容积210直接流体连通。第一消音器204的容积206经由排放导管103与第四消音器216的容积218间接流体连通。在替代实施方式中,各式容积206、210、214、218之间的直接和间接流体连通可根据期望的流出物压力降低和/或物理空间要求而变化。
端口312在第三消音器212中形成且邻近第三消音器212的第一端354。导管224在端口312和端口314之间延伸,以使容积218和容积214之间能够流体连通。端口310在第三消音器212中形成且邻近与端口312相对的第三消音器212的第二端356。在操作中,流出物通过端口312进入容积214并通过端口310离开容积214。
端口308在第二消音器208中形成且邻近第二消音器208的第二端352。导管226在端口308和端口310之间延伸,以使容积214和容积210之间能够流体连通。端口306穿过第二消音器的第一端350在第二消音器208中形成,第一端350与端口308相对。在一个实施方式中,端口306穿过第一端350的中心区域形成。在操作中,流出物通过端口308进入容积210并通过端口306离开容积210。导管228耦接到端口306以从容积210移除流出物并将流出物移送到模块115的其他设备。
消音器204、208、212、216中的各个以及相应的流体入口和出口沿着消音器204、208、212、216的长度344彼此相对地设置,以在流出物行进通过容积206、210、214、218时使流出物能够体积膨胀。通过使流出物能够实质“看到(see)”消音器204、208、212、216中的各个消音器的整个容积,可以以更有效的方式实现减压。
当流出物行进通过消音器204、208、212、216时,冷凝物或其他液体可积聚在容积206、210、214、218内。端口318穿过第一消音器204的第二端348形成且邻近端口304。在一个实施方式中,端口318穿过端口304自第二端348径向向外形成。导管320耦接到端口318并从端口318延伸到帽部334。当冷凝物或其他流体积聚在容积206内时,帽部334被移除,且流体经由端口318和导管320从容积206中排出。
端口322穿过第二消音器208的第二端352形成。在一个实施方式中,端口322穿过第二端352自端口306径向向外形成。导管324耦接到端口322并从端口322延伸到帽部336。当冷凝物或其他流体积聚在容积210内时,帽部336被移除,且流体经由端口322和导管324从容积210中排出。
端口326穿过第三消音器212的第二端356形成。导管328耦接到端口326并从端口326延伸到帽部338。当冷凝物或其他流体积聚在容积214内时,帽部338被移除,且流体经由端口326和导管328从容积214中排出。
端口330穿过第四消音器216的第二端360形成且邻近端口316。在一个实施方式中,端口330穿过第二端360自端口316径向向外形成。导管332耦接到端口330并从端口330延伸到帽部340。当冷凝物或其他流体积聚在容积218内时,帽部340被移除,且流体经由端口330和导管332从容积218中排出。
总之,本公开内容描述了用于改善流出物的压力降低和用于处置流出物的流出物管理设备。消音器组件能够通过如下方式在高压处理操作期间对使用的流出物减压:使流出物顺序地流过一系列消音器以使流出物能够体积膨胀(以及相关联的压力降低)。本公开内容所述的设备亦包括用于标准操作和高压处理设备的流出物处置设备,以及用于在计划外或不受限制的流出物释放的情况下的紧急流出物控制。
虽然前述针对本公开内容的实施方式,但在不背离本公开内容的基本范围的情况下,可设计本公开内容的其他与进一步的实施方式,且本公开内容的范围由以下权利要求书来确定。

Claims (15)

1.一种消音器组件设备,包括:
第一消音器,所述第一消音器在其中界定第一容积,所述第一消音器具有在第一端中形成的第一端口与在第二端中形成的第二端口,所述第二端口与所述第一端口相对;
第二消音器,所述第二消音器在其中界定第二容积,所述第二消音器具有在第三端中形成的第三端口与在所述第二消音器中形成的第四端口,所述第四端口与所述第三端口相对;
第三消音器,所述第三消音器在其中界定第三容积,所述第三消音器具有在其中形成的第五端口与在其中形成的第六端口,所述第六端口与所述第五端口相对;
第四消音器,所述第四消音器在其中界定第四容积,所述第四消音器具有在其中形成的第七端口与穿过第四端形成的第八端口,所述第八四端口与所述第七端口相对;
第一导管,所述第一导管在所述第七端口和所述第五端口之间延伸;及
第二导管,所述第二导管在所述第四端口和所述第六端口之间延伸。
2.如权利要求1所述的设备,其中所述第一消音器、所述第二消音器、所述第三消音器和所述第四消音器中的各个具有相似的尺寸。
3.如权利要求2所述的设备,其中所述第一消音器、所述第二消音器、所述第三消音器和所述第四消音器中的各个的长度在60英寸至100英寸之间。
4.如权利要求2所述的设备,其中所述第一消音器、所述第二消音器、所述第三消音器和所述第四消音器中的各个是圆柱形的。
5.如权利要求4所述的设备,其中所述第一消音器、所述第二消音器、所述第三消音器和所述第四消音器中的各个的直径在20英寸至40英寸之间。
6.如权利要求1所述的设备,进一步包括:
第九端口,所述第九端口在所述第一消音器中形成且与所述第二端口相邻;
第十端口,所述第十端口在所述第二消音器中形成且与所述第四端口相邻;
第十一端口,所述第十一端口在所述第三消音器中形成且与所述第六端口相邻;及
第十二端口,所述第十二端口在所述第四消音器中形成且与所述第八端口相邻。
7.一种流出物管理设备,包括:
消音器组件,所述消音器组件包括:
第一消音器,所述第一消音器在其中界定第一容积,所述第一消音器具有在第一端中形成的第一端口与在第二端中形成的第二端口,所述第二端口与所述第一端口相对;
第二消音器,所述第二消音器在其中界定第二容积,所述第二消音器具有在第三端中形成的第三端口与在所述第二消音器中形成的第四端口,所述第四端口与所述第三端口相对;
第三消音器,所述第三消音器在其中界定第三容积,所述第三消音器具有在其中形成的第五端口与在其中形成的第六端口,所述第六端口与所述第五端口相对;
第四消音器,所述第四消音器在其中界定第四容积,所述第四消音器具有在其中形成的第七端口与穿过第四端形成的第八端口,所述第八端口与所述第七端口相对;
第一导管,所述第一导管在所述第七端口和所述第五端口之间延伸;及
第二导管,所述第二导管在所述第四端口和所述第六端口之间延伸;
第三导管,所述第三导管从所述第三端口延伸到阀;
第一洗涤器,所述第一洗涤器与所述阀流体连通;及
第二洗涤器,所述第二洗涤器经由第四导管与所述阀流体连通。
8.如权利要求7所述的设备,其中限流器设置在所述阀和所述第二洗涤器之间的所述第四导管上。
9.如权利要求7所述的设备,进一步包括:
排放导管;
泵,所述泵耦接到所述排放导管;
第五导管,所述第五导管从所述第二端口延伸到所述排放导管;及
第六导管,所述第六导管从所述泵延伸到所述第八端口。
10.一种高压处理设备,包括:
第一腔室;
第二腔室,所述第二腔室在其中界定处理容积,所述第二腔室设置在所述第一腔室内;
消音器组件,所述消音器组件包括:
第一消音器,所述第一消音器在其中界定第一容积,所述第一消音器具有在第一端中形成的第一端口与在第二端中形成的第二端口,所述第二端口与所述第一端口相对;
第二消音器,所述第二消音器在其中界定第二容积,所述第二消音器具有在第三端中形成的第三端口与在所述第二消音器中形成的第四端口,所述第四端口与所述第三端口相对;
第三消音器,所述第三消音器在其中界定第三容积,所述第三消音器具有在其中形成的第五端口与在其中形成的第六端口,所述第六端口与所述第五端口相对;
第四消音器,所述第四消音器在其中界定第四容积,所述第四消音器具有在其中形成的第七端口与穿过第四端形成的第八端口,所述第八端口与所述第七端口相对;
第一导管,所述第一导管在所述第七端口和所述第五端口之间延伸;及
第二导管,所述第二导管在所述第四端口和所述第六端口之间延伸。
第三导管,所述第三导管从所述第三端口延伸到阀;
第一洗涤器,所述第一洗涤器与所述阀流体连通;
第二洗涤器,所述第二洗涤器经由第四导管与所述阀流体连通;及
第五导管,所述第五导管设置在所述处理容积和所述第一消音器的所述第一端口之间。
11.如权利要求10所述的设备,进一步包括:
排放导管,所述排放导管从所述第一腔室延伸;
泵,所述泵耦接到所述排放导管;
第六导管,所述第六导管从所述第二端口延伸到所述排放导管;及
第七导管,所述第七导管从所述泵延伸到所述第八端口。
12.如权利要求10所述的设备,其中所述第一消音器、所述第二消音器、所述第三消音器和所述第四消音器中的各个都是圆柱形的。
13.如权利要求10所述的设备,进一步包括:
第九端口,所述第九端口在所述第一消音器中形成且与所述第二端口相邻;
第十端口,所述第十端口在所述第二消音器中形成且与所述第四端口相邻;
第十一端口,所述第十一端口在所述第三消音器中形成且与所述第六端口相邻;及
第十二端口,所述第十二端口在所述第四消音器中形成且与所述第八端口相邻。
14.如权利要求10所述的设备,进一步包括:
第一狭缝阀,所述第一狭缝阀形成在所述第二腔室中;及
第一狭缝阀门,所述第一狭缝阀门耦接到所述第二腔室的内表面。
15.如权利要求14所述的设备,进一步包括:
第二狭缝阀,所述第二狭缝阀形成在所述第一腔室中;及
第二狭缝阀门,所述第二狭缝阀门耦接到所述第一腔室的外表面。
CN201980054661.3A 2018-08-06 2019-07-01 气体减弱设备 Pending CN112640074A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/055,929 2018-08-06
US16/055,929 US10675581B2 (en) 2018-08-06 2018-08-06 Gas abatement apparatus
PCT/US2019/040195 WO2020033081A1 (en) 2018-08-06 2019-07-01 Gas abatement apparatus

Publications (1)

Publication Number Publication Date
CN112640074A true CN112640074A (zh) 2021-04-09

Family

ID=69228166

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980054661.3A Pending CN112640074A (zh) 2018-08-06 2019-07-01 气体减弱设备

Country Status (4)

Country Link
US (2) US10675581B2 (zh)
KR (1) KR20210030485A (zh)
CN (1) CN112640074A (zh)
WO (1) WO2020033081A1 (zh)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
WO2019036157A1 (en) 2017-08-18 2019-02-21 Applied Materials, Inc. HIGH PRESSURE AND HIGH TEMPERATURE RECOVERY CHAMBER
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11177128B2 (en) 2017-09-12 2021-11-16 Applied Materials, Inc. Apparatus and methods for manufacturing semiconductor structures using protective barrier layer
EP4321649A3 (en) 2017-11-11 2024-05-15 Micromaterials LLC Gas delivery system for high pressure processing chamber
CN111432920A (zh) 2017-11-17 2020-07-17 应用材料公司 用于高压处理系统的冷凝器系统
SG11202008256WA (en) 2018-03-09 2020-09-29 Applied Materials Inc High pressure annealing process for metal containing materials
JP7036642B2 (ja) * 2018-03-23 2022-03-15 株式会社Screenホールディングス 基板処理装置及びその排気方法
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
KR20210077779A (ko) 2018-11-16 2021-06-25 어플라이드 머티어리얼스, 인코포레이티드 강화된 확산 프로세스를 사용한 막 증착
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US20230072156A1 (en) * 2021-09-02 2023-03-09 Wonik Ips Co., Ltd. Substrate processing apparatus

Family Cites Families (327)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4524587A (en) 1967-01-10 1985-06-25 Kantor Frederick W Rotary thermodynamic apparatus and method
US3758316A (en) 1971-03-30 1973-09-11 Du Pont Refractory materials and process for making same
US3749383A (en) 1971-04-29 1973-07-31 Rca Corp Apparatus for processing semiconductor devices
US4576652A (en) 1984-07-12 1986-03-18 International Business Machines Corporation Incoherent light annealing of gallium arsenide substrate
JPH0748489B2 (ja) 1987-07-27 1995-05-24 富士通株式会社 プラズマ処理装置
US4879259A (en) 1987-09-28 1989-11-07 The Board Of Trustees Of The Leland Stanford Junion University Rapid thermal annealing of gallium arsenide with trimethyl arsenic overpressure
CA1308496C (en) 1988-02-18 1992-10-06 Rajiv V. Joshi Deposition of tungsten on silicon in a non-self-limiting cvd process
US5114513A (en) 1988-10-27 1992-05-19 Omron Tateisi Electronics Co. Optical device and manufacturing method thereof
US5167717A (en) 1989-02-15 1992-12-01 Charles Boitnott Apparatus and method for processing a semiconductor wafer
JP2730695B2 (ja) 1989-04-10 1998-03-25 忠弘 大見 タングステン膜の成膜装置
US5126117A (en) * 1990-05-22 1992-06-30 Custom Engineered Materials, Inc. Device for preventing accidental releases of hazardous gases
US5175123A (en) 1990-11-13 1992-12-29 Motorola, Inc. High-pressure polysilicon encapsulated localized oxidation of silicon
US5050540A (en) 1991-01-29 1991-09-24 Arne Lindberg Method of gas blanketing a boiler
US5314541A (en) 1991-05-28 1994-05-24 Tokyo Electron Limited Reduced pressure processing system and reduced pressure processing method
JPH0521310A (ja) 1991-07-11 1993-01-29 Canon Inc 微細パタン形成方法
JPH05129296A (ja) 1991-11-05 1993-05-25 Fujitsu Ltd 導電膜の平坦化方法
US5319212A (en) 1992-10-07 1994-06-07 Genus, Inc. Method of monitoring ion beam current in ion implantation apparatus for use in manufacturing semiconductors
US5607002A (en) 1993-04-28 1997-03-04 Advanced Delivery & Chemical Systems, Inc. Chemical refill system for high purity chemicals
US5578132A (en) 1993-07-07 1996-11-26 Tokyo Electron Kabushiki Kaisha Apparatus for heat treating semiconductors at normal pressure and low pressure
JPH0766424A (ja) 1993-08-20 1995-03-10 Semiconductor Energy Lab Co Ltd 半導体装置およびその作製方法
US5880041A (en) 1994-05-27 1999-03-09 Motorola Inc. Method for forming a dielectric layer using high pressure
US5597439A (en) 1994-10-26 1997-01-28 Applied Materials, Inc. Process gas inlet and distribution passages
US5808245A (en) * 1995-01-03 1998-09-15 Donaldson Company, Inc. Vertical mount catalytic converter muffler
JPH08195493A (ja) 1995-01-13 1996-07-30 Toshiba Corp 薄膜トランジスタの製造方法
US5620524A (en) 1995-02-27 1997-04-15 Fan; Chiko Apparatus for fluid delivery in chemical vapor deposition systems
US5858051A (en) 1995-05-08 1999-01-12 Toshiba Machine Co., Ltd. Method of manufacturing optical waveguide
JP2872637B2 (ja) * 1995-07-10 1999-03-17 アプライド マテリアルズ インコーポレイテッド マイクロ波プラズマベースアプリケータ
US5857368A (en) 1995-10-06 1999-01-12 Applied Materials, Inc. Apparatus and method for fabricating metal paths in semiconductor substrates through high pressure extrusion
JPH09296267A (ja) 1995-11-21 1997-11-18 Applied Materials Inc 高圧押出しによる、半導体基板における金属パスの製造装置および方法
US5677230A (en) 1995-12-01 1997-10-14 Motorola Method of making wide bandgap semiconductor devices
US5895274A (en) 1996-01-22 1999-04-20 Micron Technology, Inc. High-pressure anneal process for integrated circuits
KR980012044A (ko) 1996-03-01 1998-04-30 히가시 데츠로 기판건조장치 및 기판건조방법
US5998305A (en) 1996-03-29 1999-12-07 Praxair Technology, Inc. Removal of carbon from substrate surfaces
US5738915A (en) 1996-09-19 1998-04-14 Lambda Technologies, Inc. Curing polymer layers on semiconductor substrates using variable frequency microwave energy
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US6082950A (en) 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
US5886864A (en) 1996-12-02 1999-03-23 Applied Materials, Inc. Substrate support member for uniform heating of a substrate
US6136664A (en) 1997-08-07 2000-10-24 International Business Machines Corporation Filling of high aspect ratio trench isolation
US20030049372A1 (en) 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
US5963817A (en) 1997-10-16 1999-10-05 International Business Machines Corporation Bulk and strained silicon on insulator using local selective oxidation
JP3199006B2 (ja) 1997-11-18 2001-08-13 日本電気株式会社 層間絶縁膜の形成方法および絶縁膜形成装置
US6442980B2 (en) 1997-11-26 2002-09-03 Chart Inc. Carbon dioxide dry cleaning system
US6846739B1 (en) 1998-02-27 2005-01-25 Micron Technology, Inc. MOCVD process using ozone as a reactant to deposit a metal oxide barrier layer
US6164412A (en) * 1998-04-03 2000-12-26 Arvin Industries, Inc. Muffler
US6719516B2 (en) 1998-09-28 2004-04-13 Applied Materials, Inc. Single wafer load lock with internal wafer transport
US20030101938A1 (en) 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
JP2000256856A (ja) * 1999-03-11 2000-09-19 Tokyo Electron Ltd 処理装置及び処理装置用真空排気システム及び減圧cvd装置及び減圧cvd装置用真空排気システム及びトラップ装置
US6468490B1 (en) * 2000-06-29 2002-10-22 Applied Materials, Inc. Abatement of fluorine gas from effluent
US6612317B2 (en) 2000-04-18 2003-09-02 S.C. Fluids, Inc Supercritical fluid delivery and recovery system for semiconductor wafer processing
US6334266B1 (en) 1999-09-20 2002-01-01 S.C. Fluids, Inc. Supercritical fluid drying system and method of use
DE69940114D1 (de) 1999-08-17 2009-01-29 Applied Materials Inc Oberflächenbehandlung von kohlenstoffdotierten SiO2-Filmen zur Erhöhung der Stabilität während der O2-Veraschung
US6299753B1 (en) 1999-09-01 2001-10-09 Applied Materials, Inc. Double pressure vessel chemical dispenser unit
JP2001110729A (ja) * 1999-10-06 2001-04-20 Mitsubishi Heavy Ind Ltd 半導体素子の連続製造装置
US20030148631A1 (en) 1999-11-08 2003-08-07 Taiwan Semiconductor Manufacturing Company Oxidative annealing method for forming etched spin-on-glass (SOG) planarizing layer with uniform etch profile
US6500603B1 (en) 1999-11-11 2002-12-31 Mitsui Chemicals, Inc. Method for manufacturing polymer optical waveguide
TW484170B (en) 1999-11-30 2002-04-21 Applied Materials Inc Integrated modular processing platform
US6150286A (en) 2000-01-03 2000-11-21 Advanced Micro Devices, Inc. Method of making an ultra thin silicon nitride film
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
JP2001250787A (ja) 2000-03-06 2001-09-14 Hitachi Kokusai Electric Inc 基板処理装置および基板処理方法
US20040025908A1 (en) 2000-04-18 2004-02-12 Stephen Douglas Supercritical fluid delivery system for semiconductor wafer processing
US7166524B2 (en) 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US6852167B2 (en) 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
US6797336B2 (en) 2001-03-22 2004-09-28 Ambp Tech Corporation Multi-component substances and processes for preparation thereof
JP4335469B2 (ja) 2001-03-22 2009-09-30 株式会社荏原製作所 真空排気装置のガス循環量調整方法及び装置
TW544797B (en) 2001-04-17 2003-08-01 Kobe Steel Ltd High-pressure processing apparatus
JP2002319571A (ja) 2001-04-20 2002-10-31 Kawasaki Microelectronics Kk エッチング槽の前処理方法及び半導体装置の製造方法
US7080651B2 (en) 2001-05-17 2006-07-25 Dainippon Screen Mfg. Co., Ltd. High pressure processing apparatus and method
US6752585B2 (en) 2001-06-13 2004-06-22 Applied Materials Inc Method and apparatus for transferring a semiconductor substrate
EP1271636A1 (en) 2001-06-22 2003-01-02 Infineon Technologies AG Thermal oxidation process control by controlling oxidation agent partial pressure
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US20080268635A1 (en) 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
JP2003051474A (ja) 2001-08-03 2003-02-21 Kobe Steel Ltd 高圧処理装置
US6531412B2 (en) 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
US6781801B2 (en) 2001-08-10 2004-08-24 Seagate Technology Llc Tunneling magnetoresistive sensor with spin polarized current injection
JP2003077974A (ja) 2001-08-31 2003-03-14 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
US6619304B2 (en) 2001-09-13 2003-09-16 Micell Technologies, Inc. Pressure chamber assembly including non-mechanical drive means
US7105061B1 (en) 2001-11-07 2006-09-12 Novellus Systems, Inc. Method and apparatus for sealing substrate load port in a high pressure reactor
US20030098069A1 (en) 2001-11-26 2003-05-29 Sund Wesley E. High purity fluid delivery system
JP2003166065A (ja) 2001-11-30 2003-06-13 Sekisui Chem Co Ltd 放電プラズマ処理装置
JP2003188387A (ja) 2001-12-20 2003-07-04 Sony Corp 薄膜トランジスタ及びその製造方法
US6848458B1 (en) 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
US6632325B2 (en) 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
US6835503B2 (en) 2002-04-12 2004-12-28 Micron Technology, Inc. Use of a planarizing layer to improve multilayer performance in extreme ultra-violet masks
US7589029B2 (en) 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US7638727B2 (en) 2002-05-08 2009-12-29 Btu International Inc. Plasma-assisted heat treatment
US6846380B2 (en) 2002-06-13 2005-01-25 The Boc Group, Inc. Substrate processing apparatus and related systems and methods
US7521089B2 (en) 2002-06-13 2009-04-21 Tokyo Electron Limited Method and apparatus for controlling the movement of CVD reaction byproduct gases to adjacent process chambers
US6843882B2 (en) * 2002-07-15 2005-01-18 Applied Materials, Inc. Gas flow control in a wafer processing system having multiple chambers for performing same process
US20070243317A1 (en) 2002-07-15 2007-10-18 Du Bois Dale R Thermal Processing System and Configurable Vertical Chamber
US20070212850A1 (en) 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
JP2004127958A (ja) 2002-09-30 2004-04-22 Kyoshin Engineering:Kk 高圧アニール水蒸気処理を行なう装置及び方法
US20040060519A1 (en) 2002-10-01 2004-04-01 Seh America Inc. Quartz to quartz seal using expanded PTFE gasket material
US6889508B2 (en) 2002-10-02 2005-05-10 The Boc Group, Inc. High pressure CO2 purification and supply system
US7270761B2 (en) 2002-10-18 2007-09-18 Appleid Materials, Inc Fluorine free integrated process for etching aluminum including chamber dry clean
CN1317741C (zh) 2002-11-25 2007-05-23 光洋热系统株式会社 半导体处理装置用电加热器
US20040112409A1 (en) 2002-12-16 2004-06-17 Supercritical Sysems, Inc. Fluoride in supercritical fluid for photoresist and residue removal
CN1757098B (zh) 2003-02-04 2010-08-11 应用材料有限公司 利用具有氨的超低压快速热退火调节氧氮化硅的氮分布曲线
JP3956049B2 (ja) 2003-03-07 2007-08-08 東京エレクトロン株式会社 タングステン膜の形成方法
US7079760B2 (en) 2003-03-17 2006-07-18 Tokyo Electron Limited Processing system and method for thermally treating a substrate
US7086638B2 (en) 2003-05-13 2006-08-08 Applied Materials, Inc. Methods and apparatus for sealing an opening of a processing chamber
US6939794B2 (en) 2003-06-17 2005-09-06 Micron Technology, Inc. Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device
US7226512B2 (en) 2003-06-18 2007-06-05 Ekc Technology, Inc. Load lock system for supercritical fluid cleaning
WO2005007283A2 (en) * 2003-07-08 2005-01-27 Sundew Technologies, Llc Apparatus and method for downstream pressure control and sub-atmospheric reactive gas abatement
JP4173781B2 (ja) 2003-08-13 2008-10-29 株式会社神戸製鋼所 高圧処理方法
US20050136684A1 (en) 2003-12-23 2005-06-23 Applied Materials, Inc. Gap-fill techniques
JP2007522649A (ja) * 2003-12-23 2007-08-09 ジョン シー. シューマカー、 半導体反応器用の排気調整システム
US7158221B2 (en) 2003-12-23 2007-01-02 Applied Materials, Inc. Method and apparatus for performing limited area spectral analysis
US20050250347A1 (en) * 2003-12-31 2005-11-10 Bailey Christopher M Method and apparatus for maintaining by-product volatility in deposition process
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US20050187647A1 (en) 2004-02-19 2005-08-25 Kuo-Hua Wang Intelligent full automation controlled flow for a semiconductor furnace tool
JP4393268B2 (ja) 2004-05-20 2010-01-06 株式会社神戸製鋼所 微細構造体の乾燥方法
US20050269291A1 (en) 2004-06-04 2005-12-08 Tokyo Electron Limited Method of operating a processing system for treating a substrate
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US7491658B2 (en) 2004-10-13 2009-02-17 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
US7427571B2 (en) 2004-10-15 2008-09-23 Asm International, N.V. Reactor design for reduced particulate generation
EP1824960A2 (en) 2004-11-22 2007-08-29 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
KR100697280B1 (ko) * 2005-02-07 2007-03-20 삼성전자주식회사 반도체 제조 설비의 압력 조절 방법
US20060176928A1 (en) * 2005-02-08 2006-08-10 Tokyo Electron Limited Substrate processing apparatus, control method adopted in substrate processing apparatus and program
EP1855794B1 (en) 2005-02-22 2012-10-31 Xactix, Inc. Etching chamber with subchamber
US7211525B1 (en) 2005-03-16 2007-05-01 Novellus Systems, Inc. Hydrogen treatment enhanced gap fill
WO2006101315A1 (en) 2005-03-21 2006-09-28 Pkl Co., Ltd. Device and method for cleaning photomask
US20060226117A1 (en) 2005-03-29 2006-10-12 Bertram Ronald T Phase change based heating element system and method
US20120060868A1 (en) 2005-06-07 2012-03-15 Donald Gray Microscale fluid delivery system
KR101229100B1 (ko) 2005-06-10 2013-02-15 오브듀캇 아베 중간 스탬프를 갖는 패턴 복제
JP4747693B2 (ja) 2005-06-28 2011-08-17 住友電気工業株式会社 樹脂体を形成する方法、光導波路のための構造を形成する方法、および光学部品を形成する方法
US7361231B2 (en) 2005-07-01 2008-04-22 Ekc Technology, Inc. System and method for mid-pressure dense phase gas and ultrasonic cleaning
JP5117856B2 (ja) 2005-08-05 2013-01-16 株式会社日立国際電気 基板処理装置、冷却ガス供給ノズルおよび半導体装置の製造方法
US7534080B2 (en) 2005-08-26 2009-05-19 Ascentool, Inc. Vacuum processing and transfer system
US7531404B2 (en) 2005-08-30 2009-05-12 Intel Corporation Semiconductor device having a metal gate electrode formed on an annealed high-k gate dielectric layer
KR100696178B1 (ko) 2005-09-13 2007-03-20 한국전자통신연구원 광 도파로 마스터 및 그 제조 방법
US8926731B2 (en) 2005-09-13 2015-01-06 Rasirc Methods and devices for producing high purity steam
CN102109626A (zh) 2005-10-07 2011-06-29 株式会社尼康 微小光学元件
US7794667B2 (en) 2005-10-19 2010-09-14 Moore Epitaxial, Inc. Gas ring and method of processing substrates
US7387968B2 (en) 2005-11-08 2008-06-17 Tokyo Electron Limited Batch photoresist dry strip and ash system and process
US20070187386A1 (en) 2006-02-10 2007-08-16 Poongsan Microtec Corporation Methods and apparatuses for high pressure gas annealing
US7578258B2 (en) 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
JP2007242791A (ja) 2006-03-07 2007-09-20 Hitachi Kokusai Electric Inc 基板処理装置
US8062408B2 (en) 2006-05-08 2011-11-22 The Board Of Trustees Of The University Of Illinois Integrated vacuum absorption steam cycle gas separation
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7650965B2 (en) * 2006-06-09 2010-01-26 Emcon Technologies Llc Exhaust system
JP2008073611A (ja) 2006-09-21 2008-04-03 Dainippon Screen Mfg Co Ltd 高圧処理装置
JP4814038B2 (ja) 2006-09-25 2011-11-09 株式会社日立国際電気 基板処理装置および反応容器の着脱方法
TW200830034A (en) 2006-10-13 2008-07-16 Asahi Glass Co Ltd Method of smoothing surface of substrate for EUV mask blank, and EUV mask blank obtained by the method
US7790587B2 (en) 2006-11-07 2010-09-07 Intel Corporation Method to reduce junction leakage through partial regrowth with ultrafast anneal and structures formed thereby
JP2008153635A (ja) 2006-11-22 2008-07-03 Toshiba Matsushita Display Technology Co Ltd Mos型半導体素子の製造方法
US20080169183A1 (en) 2007-01-16 2008-07-17 Varian Semiconductor Equipment Associates, Inc. Plasma Source with Liner for Reducing Metal Contamination
JP2008192642A (ja) 2007-01-31 2008-08-21 Tokyo Electron Ltd 基板処理装置
US20080233404A1 (en) 2007-03-22 2008-09-25 3M Innovative Properties Company Microreplication tools and patterns using laser induced thermal embossing
JP5135856B2 (ja) * 2007-03-31 2013-02-06 東京エレクトロン株式会社 トラップ装置、排気系及びこれを用いた処理システム
US20080241384A1 (en) 2007-04-02 2008-10-02 Asm Genitech Korea Ltd. Lateral flow deposition apparatus and method of depositing film by using the apparatus
DE102007017641A1 (de) 2007-04-13 2008-10-16 Infineon Technologies Ag Aushärtung von Schichten am Halbleitermodul mittels elektromagnetischer Felder
JP2010525530A (ja) 2007-04-30 2010-07-22 アイファイアー・アイピー・コーポレーション 厚膜誘電性エレクトロルミネセントディスプレイ用の積層厚膜誘電体構造
EP2153363A4 (en) * 2007-05-25 2013-02-27 Applied Materials Inc METHODS AND APPARATUS FOR ASSEMBLING AND OPERATING ELECTRONIC DEVICE MANUFACTURING SYSTEMS
US20090018688A1 (en) * 2007-06-15 2009-01-15 Applied Materials, Inc. Methods and systems for designing and validating operation of abatement systems
KR101442238B1 (ko) 2007-07-26 2014-09-23 주식회사 풍산마이크로텍 고압 산소 열처리를 통한 반도체 소자의 제조방법
JP5028193B2 (ja) * 2007-09-05 2012-09-19 株式会社日立ハイテクノロジーズ 半導体製造装置における被処理体の搬送方法
US7951728B2 (en) 2007-09-24 2011-05-31 Applied Materials, Inc. Method of improving oxide growth rate of selective oxidation processes
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
JP2011501102A (ja) * 2007-10-26 2011-01-06 アプライド マテリアルズ インコーポレイテッド 改良された燃料回路を使用した高性能な除害の方法及び装置
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US7776740B2 (en) 2008-01-22 2010-08-17 Tokyo Electron Limited Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device
JP4815464B2 (ja) 2008-03-31 2011-11-16 株式会社日立製作所 微細構造転写スタンパ及び微細構造転写装置
US7655532B1 (en) 2008-07-25 2010-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. STI film property using SOD post-treatment
US8945981B2 (en) 2008-07-31 2015-02-03 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US20100089315A1 (en) 2008-09-22 2010-04-15 Applied Materials, Inc. Shutter disk for physical vapor deposition chamber
US8153533B2 (en) 2008-09-24 2012-04-10 Lam Research Methods and systems for preventing feature collapse during microelectronic topography fabrication
KR20100035000A (ko) 2008-09-25 2010-04-02 삼성전자주식회사 서로 다른 종횡비를 갖는 소자 분리 트렌치 갭필 방법 및 그를 이용한 반도체 소자
US7891228B2 (en) 2008-11-18 2011-02-22 Mks Instruments, Inc. Dual-mode mass flow verification and mass flow delivery system and method
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
KR20100082170A (ko) 2009-01-08 2010-07-16 삼성전자주식회사 실리콘 산화막 패턴 및 소자 분리막 형성 방법
CN102308675B (zh) 2009-02-04 2016-01-13 应用材料公司 用于等离子体工艺的接地回流路径
JP2010205854A (ja) 2009-03-02 2010-09-16 Fujitsu Semiconductor Ltd 半導体装置の製造方法
JP4523661B1 (ja) 2009-03-10 2010-08-11 三井造船株式会社 原子層堆積装置及び薄膜形成方法
JP4564570B2 (ja) 2009-03-10 2010-10-20 三井造船株式会社 原子層堆積装置
FR2944147B1 (fr) 2009-04-02 2011-09-23 Saint Gobain Procede de fabrication d'une structure a surface externe texturee pour dispositif a diode electroluminescente organique et struture a surface externe texturee
US20100304027A1 (en) 2009-05-27 2010-12-02 Applied Materials, Inc. Substrate processing system and methods thereof
JP4415062B1 (ja) 2009-06-22 2010-02-17 富士フイルム株式会社 薄膜トランジスタ及び薄膜トランジスタの製造方法
KR20110000960A (ko) 2009-06-29 2011-01-06 삼성전자주식회사 반도체 칩, 스택 모듈, 메모리 카드 및 그 제조 방법
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
JP2011066100A (ja) 2009-09-16 2011-03-31 Bridgestone Corp 光硬化性転写シート、及びこれを用いた凹凸パターンの形成方法
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
KR101370301B1 (ko) 2009-11-20 2014-03-05 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치의 제작 방법
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
KR101528832B1 (ko) 2010-01-06 2015-06-15 어플라이드 머티어리얼스, 인코포레이티드 유동성 유전체 층의 형성 방법
US8304351B2 (en) 2010-01-07 2012-11-06 Applied Materials, Inc. In-situ ozone cure for radical-component CVD
US9500362B2 (en) 2010-01-21 2016-11-22 Powerdyne, Inc. Generating steam from carbonaceous material
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
JP2013521650A (ja) 2010-03-05 2013-06-10 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvdによる共形層
CN101871043B (zh) 2010-06-25 2012-07-18 东莞市康汇聚线材科技有限公司 一种退火炉蒸汽发生器及其控制方法
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
JP2012049446A (ja) 2010-08-30 2012-03-08 Toshiba Corp 超臨界乾燥方法及び超臨界乾燥システム
EP2426720A1 (en) 2010-09-03 2012-03-07 Applied Materials, Inc. Staggered thin film transistor and method of forming the same
TW201216331A (en) 2010-10-05 2012-04-16 Applied Materials Inc Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
JP5806827B2 (ja) 2011-03-18 2015-11-10 東京エレクトロン株式会社 ゲートバルブ装置及び基板処理装置並びにその基板処理方法
KR20140027917A (ko) 2011-03-25 2014-03-07 이서영 광도파로 및 그 제조방법
JP5450494B2 (ja) 2011-03-25 2014-03-26 株式会社東芝 半導体基板の超臨界乾燥方法
US20120252210A1 (en) 2011-03-30 2012-10-04 Tokyo Electron Limited Method for modifying metal cap layers in semiconductor devices
WO2012133583A1 (ja) 2011-03-30 2012-10-04 大日本印刷株式会社 超臨界乾燥装置及び超臨界乾燥方法
US9299581B2 (en) 2011-05-12 2016-03-29 Applied Materials, Inc. Methods of dry stripping boron-carbon films
JP6085423B2 (ja) 2011-05-30 2017-02-22 株式会社東芝 基板処理方法、基板処理装置および記憶媒体
WO2012165377A1 (ja) 2011-05-30 2012-12-06 東京エレクトロン株式会社 基板処理方法、基板処理装置および記憶媒体
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
GB201110117D0 (en) 2011-06-16 2011-07-27 Fujifilm Mfg Europe Bv method and device for manufacturing a barrie layer on a flexible substrate
CN107039319B (zh) * 2011-06-28 2022-03-15 动力微系统公司 半导体储料器系统和方法
WO2013008982A1 (ko) 2011-07-14 2013-01-17 엘티씨 (주) 높은 광추출 성능을 갖는 무기 산란막 {inorganic scattering films having high light extraction performance}
KR101568748B1 (ko) 2011-11-01 2015-11-12 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 반도체 장치의 제조 장치 및 기록 매체
JP5712902B2 (ja) 2011-11-10 2015-05-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
KR101305904B1 (ko) 2011-12-07 2013-09-09 주식회사 테스 반도체소자 제조방법
US20150197455A1 (en) 2011-12-08 2015-07-16 Inmold Biosystems A/S Spin-on-glass assisted polishing of rough substrates
JP2013122493A (ja) 2011-12-09 2013-06-20 Furukawa Electric Co Ltd:The 光分岐素子および光分岐回路
JP2013154315A (ja) 2012-01-31 2013-08-15 Ricoh Co Ltd 薄膜形成装置、薄膜形成方法、電気−機械変換素子、液体吐出ヘッド、およびインクジェット記録装置
KR102028779B1 (ko) 2012-02-13 2019-10-04 어플라이드 머티어리얼스, 인코포레이티드 기판의 선택적 산화를 위한 방법 및 장치
US8871656B2 (en) 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
JP6007715B2 (ja) * 2012-03-29 2016-10-12 東京エレクトロン株式会社 トラップ機構、排気系及び成膜装置
US20130288485A1 (en) 2012-04-30 2013-10-31 Applied Materials, Inc. Densification for flowable films
US20130337171A1 (en) 2012-06-13 2013-12-19 Qualcomm Mems Technologies, Inc. N2 purged o-ring for chamber in chamber ald system
KR101224520B1 (ko) 2012-06-27 2013-01-22 (주)이노시티 프로세스 챔버
KR20140003776A (ko) 2012-06-28 2014-01-10 주식회사 메카로닉스 고 저항 산화아연 박막의 제조방법
US20150309073A1 (en) 2012-07-13 2015-10-29 Northwestern University Multifunctional graphene coated scanning tips
JP2014019912A (ja) 2012-07-19 2014-02-03 Tokyo Electron Ltd タングステン膜の成膜方法
US8846448B2 (en) 2012-08-10 2014-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Warpage control in a package-on-package structure
WO2014030371A1 (ja) 2012-08-24 2014-02-27 独立行政法人科学技術振興機構 ゲルマニウム層上に窒化酸化アルミニウム膜を備える半導体構造およびその製造方法
KR102002782B1 (ko) 2012-09-10 2019-07-23 삼성전자주식회사 팽창성 부재를 사용하는 반도체 장치의 제조 방법
JP2014060256A (ja) 2012-09-18 2014-04-03 Tokyo Electron Ltd 処理システム
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US20150322286A1 (en) 2012-11-27 2015-11-12 The Regents Of The University Of California Polymerized Metal-Organic Material for Printable Photonic Devices
US9123577B2 (en) 2012-12-12 2015-09-01 Sandisk Technologies Inc. Air gap isolation in non-volatile memory using sacrificial films
JP2014141739A (ja) 2012-12-27 2014-08-07 Tokyo Electron Ltd 金属マンガン膜の成膜方法、処理システム、電子デバイスの製造方法および電子デバイス
US20140216498A1 (en) 2013-02-06 2014-08-07 Kwangduk Douglas Lee Methods of dry stripping boron-carbon films
US8986557B2 (en) 2013-02-19 2015-03-24 Applied Materials, Inc. HDD patterning using flowable CVD film
KR101443792B1 (ko) 2013-02-20 2014-09-26 국제엘렉트릭코리아 주식회사 건식 기상 식각 장치
KR20140106977A (ko) 2013-02-27 2014-09-04 삼성전자주식회사 고성능 금속 산화물 반도체 박막 트랜지스터 및 그 제조방법
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9680095B2 (en) 2013-03-13 2017-06-13 Macronix International Co., Ltd. Resistive RAM and fabrication method
CN111489987A (zh) 2013-03-15 2020-08-04 应用材料公司 基板沉积系统、机械手移送设备及用于电子装置制造的方法
WO2014143846A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc Multi-position batch load lock apparatus and systems and methods including same
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US10224258B2 (en) 2013-03-22 2019-03-05 Applied Materials, Inc. Method of curing thermoplastics with microwave energy
US9538586B2 (en) 2013-04-26 2017-01-03 Applied Materials, Inc. Method and apparatus for microwave treatment of dielectric films
WO2014192871A1 (ja) 2013-05-31 2014-12-04 株式会社日立国際電気 基板処理装置、半導体製造装置の製造方法及び炉口蓋体
JP6196481B2 (ja) * 2013-06-24 2017-09-13 株式会社荏原製作所 排ガス処理装置
KR101542803B1 (ko) 2013-07-09 2015-08-07 주식회사 네오세미텍 고온고압 송풍식 퍼지수단을 구비한 진공챔버 및 이를 이용한 세정방법
US9178103B2 (en) 2013-08-09 2015-11-03 Tsmc Solar Ltd. Apparatus and method for forming chalcogenide semiconductor absorber materials with sodium impurities
US9748105B2 (en) 2013-08-16 2017-08-29 Applied Materials, Inc. Tungsten deposition with tungsten hexafluoride (WF6) etchback
WO2015026445A1 (en) 2013-08-21 2015-02-26 Applied Materials, Inc. Variable frequency microwave (vfm) processes and applications in semiconductor thin film fabrications
JP6226648B2 (ja) 2013-09-04 2017-11-08 昭和電工株式会社 SiCエピタキシャルウェハの製造方法
US9396986B2 (en) 2013-10-04 2016-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanism of forming a trench structure
JP6129712B2 (ja) 2013-10-24 2017-05-17 信越化学工業株式会社 過熱水蒸気処理装置
US9406547B2 (en) 2013-12-24 2016-08-02 Intel Corporation Techniques for trench isolation using flowable dielectric materials
CN103745978B (zh) 2014-01-03 2016-08-17 京东方科技集团股份有限公司 显示装置、阵列基板及其制作方法
US9257527B2 (en) 2014-02-14 2016-02-09 International Business Machines Corporation Nanowire transistor structures with merged source/drain regions using auxiliary pillars
US9818603B2 (en) 2014-03-06 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
KR101571715B1 (ko) 2014-04-23 2015-11-25 주식회사 풍산 고압 열처리를 이용한 스핀 온 글래스 절연막 형성방법
CN104047676A (zh) * 2014-06-14 2014-09-17 马根昌 改良式对冲消声器
WO2015195081A1 (en) 2014-06-16 2015-12-23 Intel Corporation Seam healing of metal interconnects
CN104089491B (zh) 2014-07-03 2015-11-04 肇庆宏旺金属实业有限公司 退火炉的余热回收利用系统
US9257314B1 (en) 2014-07-31 2016-02-09 Poongsan Corporation Methods and apparatuses for deuterium recovery
JPWO2016038664A1 (ja) 2014-09-08 2017-04-27 三菱電機株式会社 半導体アニール装置
US9773865B2 (en) 2014-09-22 2017-09-26 International Business Machines Corporation Self-forming spacers using oxidation
US9362107B2 (en) 2014-09-30 2016-06-07 Applied Materials, Inc. Flowable low-k dielectric gapfill treatment
US20160118391A1 (en) 2014-10-22 2016-04-28 SanDisk Technologies, Inc. Deuterium anneal of semiconductor channels in a three-dimensional memory structure
CN113373428B (zh) 2014-10-24 2023-07-14 弗萨姆材料美国有限责任公司 组合物和使用所述组合物沉积含硅膜的方法
US9543141B2 (en) 2014-12-09 2017-01-10 Taiwan Semiconductor Manufacturing Co., Ltd Method for curing flowable layer
TW201639063A (zh) 2015-01-22 2016-11-01 應用材料股份有限公司 批量加熱和冷卻腔室或負載鎖定裝置
CN107406978B (zh) 2015-02-06 2019-12-03 弗萨姆材料美国有限责任公司 用于碳掺杂含硅膜的组合物以及使用所述组合物的方法
WO2016130956A1 (en) 2015-02-13 2016-08-18 Alexander Otto Multifilament superconducting wire with high resistance sleeves
US10103046B2 (en) 2015-04-20 2018-10-16 Applied Materials, Inc. Buffer chamber wafer heating mechanism and supporting robot
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US10443934B2 (en) 2015-05-08 2019-10-15 Varian Semiconductor Equipment Associates, Inc. Substrate handling and heating system
US9685303B2 (en) 2015-05-08 2017-06-20 Varian Semiconductor Equipment Associates, Inc. Apparatus for heating and processing a substrate
TWI791199B (zh) 2015-05-11 2023-02-01 美商應用材料股份有限公司 水平環繞式閘極與鰭式場效電晶體元件的隔離
KR101681190B1 (ko) 2015-05-15 2016-12-02 세메스 주식회사 기판 건조 장치 및 방법
US10945313B2 (en) 2015-05-27 2021-03-09 Applied Materials, Inc. Methods and apparatus for a microwave batch curing process
WO2016196105A1 (en) 2015-06-05 2016-12-08 Applied Materials, Inc. Susceptor position and rotation apparatus and methods of use
US9728430B2 (en) 2015-06-29 2017-08-08 Varian Semiconductor Equipment Associates, Inc. Electrostatic chuck with LED heating
US20160379854A1 (en) 2015-06-29 2016-12-29 Varian Semiconductor Equipment Associates, Inc. Vacuum Compatible LED Substrate Heater
US10170608B2 (en) 2015-06-30 2019-01-01 International Business Machines Corporation Internal spacer formation from selective oxidation for fin-first wire-last replacement gate-all-around nanowire FET
US9646850B2 (en) 2015-07-06 2017-05-09 Globalfoundries Inc. High-pressure anneal
US9484406B1 (en) 2015-09-03 2016-11-01 Applied Materials, Inc. Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications
US9716142B2 (en) 2015-10-12 2017-07-25 International Business Machines Corporation Stacked nanowires
US9754840B2 (en) 2015-11-16 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal gate-all-around device having wrapped-around source and drain
US9633838B2 (en) 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
KR102577628B1 (ko) 2016-01-05 2023-09-13 어플라이드 머티어리얼스, 인코포레이티드 반도체 응용들을 위한 수평 게이트 올 어라운드 디바이스들을 위한 나노와이어들을 제조하기 위한 방법
US9570551B1 (en) 2016-02-05 2017-02-14 International Business Machines Corporation Replacement III-V or germanium nanowires by unilateral confined epitaxial growth
JP6240695B2 (ja) 2016-03-02 2017-11-29 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
TWI729457B (zh) 2016-06-14 2021-06-01 美商應用材料股份有限公司 金屬及含金屬化合物之氧化體積膨脹
US9933314B2 (en) 2016-06-30 2018-04-03 Varian Semiconductor Equipment Associates, Inc. Semiconductor workpiece temperature measurement system
US9876019B1 (en) 2016-07-13 2018-01-23 Globalfoundries Singapore Pte. Ltd. Integrated circuits with programmable memory and methods for producing the same
US20180087418A1 (en) 2016-09-22 2018-03-29 Castrol Limited Fluid Method and System
WO2018064292A1 (en) 2016-09-30 2018-04-05 Applied Materials, Inc. Methods of forming self-aligned vias
US10249525B2 (en) 2016-10-03 2019-04-02 Applied Materials, Inc. Dynamic leveling process heater lift
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
KR20230162158A (ko) 2017-03-31 2023-11-28 어플라이드 머티어리얼스, 인코포레이티드 고종횡비 트렌치들을 비정질 실리콘 막으로 갭충전하기 위한 2-단계 프로세스
JP6913761B2 (ja) 2017-04-21 2021-08-04 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 改善された電極アセンブリ
TW201842590A (zh) 2017-05-01 2018-12-01 美商應用材料股份有限公司 具有真空隔離和預處理環境的高壓退火腔室
WO2018204651A1 (en) 2017-05-03 2018-11-08 Applied Materials, Inc. Integrated substrate temperature measurement on high temperature ceramic heater
WO2018212940A1 (en) * 2017-05-19 2018-11-22 Applied Materials, Inc. Apparatus for collection and subsequent reaction of liquid and solid effluent into gaseous effluent
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
WO2018222614A1 (en) 2017-06-02 2018-12-06 Applied Materials, Inc. Quality improvement of films deposited on a substrate
US10179941B1 (en) 2017-07-14 2019-01-15 Applied Materials, Inc. Gas delivery system for high pressure processing chamber
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10096516B1 (en) 2017-08-18 2018-10-09 Applied Materials, Inc. Method of forming a barrier layer for through via applications
WO2019036157A1 (en) 2017-08-18 2019-02-21 Applied Materials, Inc. HIGH PRESSURE AND HIGH TEMPERATURE RECOVERY CHAMBER
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
EP4321649A3 (en) 2017-11-11 2024-05-15 Micromaterials LLC Gas delivery system for high pressure processing chamber
CN111373519B (zh) 2017-11-16 2021-11-23 应用材料公司 高压蒸气退火处理设备
CN111432920A (zh) 2017-11-17 2020-07-17 应用材料公司 用于高压处理系统的冷凝器系统
JP7299898B2 (ja) 2018-01-24 2023-06-28 アプライド マテリアルズ インコーポレイテッド 高圧アニールを用いたシーム修復
KR102539390B1 (ko) 2018-02-22 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 더 양호한 막 품질을 가능하게 하기 위해 마스크 기판을 처리하기 위한 방법
US11114333B2 (en) 2018-02-22 2021-09-07 Micromaterials, LLC Method for depositing and reflow of a high quality etch resistant gapfill dielectric film
SG11202008256WA (en) 2018-03-09 2020-09-29 Applied Materials Inc High pressure annealing process for metal containing materials
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
WO2019204124A1 (en) 2018-04-20 2019-10-24 Applied Materials, Inc. Ceramic wafer heater with integrated pressurized helium cooling
US11499666B2 (en) 2018-05-25 2022-11-15 Applied Materials, Inc. Precision dynamic leveling mechanism with long motion capability
US11434569B2 (en) 2018-05-25 2022-09-06 Applied Materials, Inc. Ground path systems for providing a shorter and symmetrical ground path
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US10790183B2 (en) 2018-06-05 2020-09-29 Applied Materials, Inc. Selective oxidation for 3D device isolation
US20200035513A1 (en) 2018-07-25 2020-01-30 Applied Materials, Inc. Processing apparatus
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus

Also Published As

Publication number Publication date
US20200038797A1 (en) 2020-02-06
KR20210030485A (ko) 2021-03-17
US11110383B2 (en) 2021-09-07
US20200368666A1 (en) 2020-11-26
US10675581B2 (en) 2020-06-09
WO2020033081A1 (en) 2020-02-13
TW202014594A (zh) 2020-04-16
JP2021532977A (ja) 2021-12-02

Similar Documents

Publication Publication Date Title
CN112640074A (zh) 气体减弱设备
US10748783B2 (en) Gas delivery module
US20200035513A1 (en) Processing apparatus
US11610773B2 (en) Condenser system for high pressure processing system
US6257270B1 (en) Fluid control device
JPH0568866A (ja) ガス供給装置
JP4996328B2 (ja) シリンダーキャビネット
JP7510406B2 (ja) ガス低減装置
US4906257A (en) Method of and apparatus for treating waste gas from semiconductor manufacturing process
TWI840393B (zh) 流出物管理設備、消音器組件設備、及高壓處理設備
US6536460B1 (en) Process line purge system and method
CN218890379U (zh) 臭氧排气系统
CN115956161A (zh) 模块化前级管道系统
JP2005133565A (ja) 真空排気装置
US7025805B2 (en) Hydrogen diffusion cell assembly with internal flow restrictor
JP2009088308A (ja) 基板処理装置
JP2006055854A (ja) 除害装置
KR102031385B1 (ko) 가스 전달 패널로부터 스크러버 배기를 감소시키는 시스템 및 장치
KR200278538Y1 (ko) 3중밸브
KR20060100546A (ko) 반도체 제조설비의 개스 역류방지장치
CN114641637A (zh) 气体吹扫阀
CN110985722A (zh) 基板处理设备和应用于基板处理设备的安全阀
KR20000020878A (ko) 반도체장치 제조설비용 약액배출장치
KR20060024294A (ko) 반도체 제조 설비의 역압 차단 장치
KR20010051686A (ko) 기체류 정화 시스템 및 방법

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination