TWI666704B - 半導體裝置與其形成方法 - Google Patents

半導體裝置與其形成方法 Download PDF

Info

Publication number
TWI666704B
TWI666704B TW106103214A TW106103214A TWI666704B TW I666704 B TWI666704 B TW I666704B TW 106103214 A TW106103214 A TW 106103214A TW 106103214 A TW106103214 A TW 106103214A TW I666704 B TWI666704 B TW I666704B
Authority
TW
Taiwan
Prior art keywords
layer
forming
silicide
metal
metal layer
Prior art date
Application number
TW106103214A
Other languages
English (en)
Other versions
TW201737346A (zh
Inventor
許家銘
周沛瑜
曹志彬
許光源
陳志輝
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201737346A publication Critical patent/TW201737346A/zh
Application granted granted Critical
Publication of TWI666704B publication Critical patent/TWI666704B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28052Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a silicide layer formed by the silicidation reaction of silicon with a metal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28061Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a metal or metal silicide formed by deposition, e.g. sputter deposition, i.e. without a silicidation reaction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • H01L21/32053Deposition of metallic or metal-silicide layers of metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76867Barrier, adhesion or liner layers characterized by methods of formation other than PVD, CVD or deposition from a liquids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • H01L21/76889Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances by forming silicides of refractory metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/408Electrodes ; Multistep manufacturing processes therefor with an insulating layer with a particular dielectric or electrostatic property, e.g. with static charges or for controlling trapped charges or moving ions, or with a plate acting on the insulator potential or the insulator charges, e.g. for controlling charges effect or potential distribution in the insulating layer, or with a semi-insulating layer contacting directly the semiconductor surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

半導體裝置的形成方法中,第一接點孔形成於源極/汲極區或閘極上的一或多個介電層中。黏著層形成於第一接點孔中。第一金屬層形成於第一接點孔中的黏著層上。矽化物層形成於第一金屬層的上表面上。矽化物層包含的金屬元素與第一金屬層相同。

Description

半導體裝置與其形成方法
本發明實施例關於半導體裝置的形成方法,更特別關於源極/汲極區上的導電層之結構與其形成方法。
隨著半導體裝置的尺寸縮小,開始採用多種非鋁或銅的金屬。舉例來說,鈷已用於通孔或接點結構的導電材料。但由於鈷為活性金屬且易與氧、濕氣、或酸反應,通常難以採用穩定態的鈷。
本發明一實施例提供之半導體裝置的形成方法,包括:形成第一接點孔於源極/汲極區或閘極上的一或多個介電層中;形成黏著層於第一接點孔中;形成第一金屬層於第一接點孔中的黏著層上;以及形成矽化物層於第一金屬層的上表面上,其中矽化物層包含的金屬元素與第一金屬層相同。
本發明一實施例提供之半導體裝置的形成方法,包括:形成第一接點孔於源極/汲極區或閘極上的一或多個介電層中;形成第一金屬層於第一接點孔中;以及形成較上矽化物層於第一金屬層的上表面上,其中源極/汲極區與閘極中至少一者包含較下矽化物層,第一金屬層接觸較下矽化物層,較上矽化物層覆蓋第一金屬層的至少部份上表面,且較上矽化物 層包含的金屬元素與第一金屬層相同。
本發明一實施例提供之半導體裝置,包括場效電晶體,且包括:源極/汲極區;源極/汲極矽化物層,形成於源極/汲極區上;以及第一接點,連接至源極/汲極矽化物層,其中:第一接點包括第一金屬層;第一金屬層的上表面至少被矽化物層覆蓋;以及矽化物層包含的金屬元素與第一金屬層相同。
H1‧‧‧高度差異
X1-X1‧‧‧剖線
1、300‧‧‧基板
3、320‧‧‧隔離絕緣層
5、310‧‧‧鰭狀結構
10‧‧‧金屬閘極結構
12‧‧‧閘極介電層
14‧‧‧功函數調整層
16‧‧‧金屬材料層
20、340‧‧‧蓋絕緣層
30、350‧‧‧側壁間隔物
33、90‧‧‧接點蝕刻停止層
40‧‧‧第一層間介電層
50、360‧‧‧源極/汲極區
55、80‧‧‧矽化物層
60‧‧‧第二層間介電層
65‧‧‧接點孔
70‧‧‧黏著層
75‧‧‧第一金屬層
109‧‧‧通孔開口
100‧‧‧第三層間介電層
110、110A、110B‧‧‧通孔插塞
315‧‧‧通道區
370‧‧‧層間介電層
第1A圖係本發明一實施例中,半導體裝置於製程的多個階段之一者的上視圖。
第1B圖係沿著第1A圖中X1-X1剖線的剖視圖。
第1C圖係閘極結構的放大圖。
第1D圖係本發明一實施例中,半導體裝置於製程的多個階段之一者的透視圖。
第2至9圖係本發明一實施例中,半導體裝置於製程的多個階段沿著第1A圖中X1-X1剖線的剖視圖。
第10A至10C圖係本發明一些實施例的剖視圖。
第11A至11C圖係本發明一些實施例的剖視圖。
第12圖係本發明另一實施例的剖視圖。
第13圖係本發明另一實施例的剖視圖。
第14至17圖係本發明另一實施例中,半導體裝置於製程的多個階段沿著第1A圖中X1-X1剖線的剖視圖。
第18至21圖係本發明另一實施例中,半導體裝置於製程的 多個階段沿著第1A圖中X1-X1剖線的剖視圖。
應理解的是,下述內容提供的不同實施例或實例可實施本發明實施例的不同結構。特定構件與排列的實施例係用以簡化本發明而非侷限本發明。舉例來說,形成第一結構於第二結構上的敘述包含兩者直接接觸,或兩者之間隔有其他額外結構而非直接接觸。多種結構可依不同比例繪示,以簡化並清楚說明。
此外,空間性的相對用語如「下方」、「其下」、「較下方」、「上方」、「較上方」、或類似用語可用於簡化說明某一元件與另一元件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。元件亦可轉動90°或其他角度,因此方向性用語僅用以說明圖示中的方向。此外,用語「之組成為」可指「包含」或「由...組成」。
第1A與1B圖係本發明一實施例中,半導體裝置於多個製程之一者的圖式。第1A圖係上視圖,而第1B圖係沿著第1A圖中剖線X1-X1的剖視圖。
第1A與1B圖為形成金屬閘極結構後的半導體裝置之結構。在第1A與1B圖中,金屬閘極結構10形成於通道層(比如部份的鰭狀結構5)上,而蓋絕緣層20位於金屬閘極結構10上。鰭狀結構5位於基板1上,且自隔離絕緣層3凸起。在第2圖及其後圖式中,將省略基板1與絕緣層3以簡化圖式。在一些實施例中,金屬閘極結構10的厚度介於約15nm至約50nm之間。 在一些實施例中,蓋絕緣層20之厚度介於約10nm至約30nm之間。在其他實施例中,蓋絕緣層20之厚度介於約15nm至約20nm之間。側壁間隔物30位於金屬閘極結構10與蓋絕緣層20的側壁上。在一些實施例中,側壁間隔物30之底部的膜厚介於約3nm至約15nm之間。在其他實施例中,側壁間隔物30之底部的膜厚介於約4nm至約10nm之間。金屬閘極結構10、蓋絕緣層20、與側壁間隔物30的組合可稱作閘極結構。此外,源極/汲極區50形成於與閘極結構相鄰處,而接點蝕刻停止層33形成於閘極結構與源極/汲極區50上。在一些實施例中,接點蝕刻停止層33之膜厚介於約1nm至約20nm之間。閘極結構之間的空間可填有第一層間介電層40。矽化物層55更形成於源極/汲極區50上。在本發明實施例中,用語「源極」與「汲極」可交替使用,且兩者無實質上的結構差異。用語「源極/汲極」可為源極與汲極中的任一者。
矽化物層55包含一或多種鈷矽化物(如CoSi、CoSi2、Co2Si、Co3Si,統稱鈷矽化物)、鈦矽化物(如Ti5Si3、TiSi、TiSi2、TiSi3、Ti6Si4,統稱鈦矽化物)、鎳矽化物(如Ni3Si、Ni31Si12、Ni2Si、Ni3Si2、NiSi、NiSi2,統稱鎳矽化物)、銅矽化物(如Cu17Si3、Cu56Si11、Cu5Si、Cu33Si7、Cu4Si、Cu19Si6、Cu3Si、Cu87Si13,統稱銅矽化物)、鎢矽化物(W5Si3、WSi2,統稱鎢矽化物)、或鉬矽化物(Mo3Si、Mo5Si3、MoSi2,統稱鉬矽化物)。
第1C圖係閘極結構的放大圖。金屬閘極結構10包含一或多個金屬材料層16,比如鋁、銅、鎢、鈦、鉭、氮化鈦、 鈦鋁、碳化鈦鋁、氮化鈦鋁、氮化鉭、鎳矽、鈷矽、或其他導電材料。閘極介電層12位於通道層5與金屬閘極之間,且包含一或多個金屬氧化物層如高介電常數之金屬氧化物。用於高介電常數之介電層的金屬氧化物包含下述金屬的氧化物:Li、Be、Mg、Ca、Sr、Sc、Y、Zr、Hf、Al、La、Ce、Pr、Nd、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb、Lu、及/或上述之組合。在一些實施例中,通道層5與高介電常數之閘極介電層12之間隔有界面層,其可為厚度介於0.6nm至2nm的氧化矽。
在一些實施例中,一或多個功函數調整層14夾設於閘極介電層12與金屬材料層16之間。功函數調整層14之組成可為導電材料,比如單層的TiN、TaN、TaAlC、TiC、TaC、Co、Al、TiAl、HfTi、TiSi、TaSi、或TiAlC;或者上述材料中兩者以上的多層。對n型通道的場效電晶體來說,TaN、TaAlC、TiN、TiC、Co、TiAl、HfTi、TiSi、與TaSi中的一或多者作為功函數調整層。對p型通道的場效電晶體來說,TiAlC、Al、TiAl、TaN、TaAlC、TiN、TiC、與Co中的一或多者作為功函數調整層。
蓋絕緣層20包含一或多層的絕緣材料如氮化矽為主的材料,比如SiN、SiCN、或SiOCN。側壁間隔物30之組成材料與蓋絕緣層20不同,且可包含一或多層的絕緣材料如氮化矽為主的材料,比如SiN、SiON、SiCN、或SiOCN。接點蝕刻停止層33之組成與蓋絕緣層20及側壁間隔物30不同,且可包含一或多層的絕緣材料如氮化矽為主的材料,比如SiN、SiON、SiCN、或SiOCN。層間介電層40包含一或多層的氧化矽、SiOC、 SiOCN、SiCN、其他低介電常數的材料、或孔洞材料。第一層間介電層40之形成方法可為低壓化學氣相沉積、電漿化學氣相沉積、或其他合適的成膜方法。
接點蝕刻停止層33、側壁間隔物30、蓋絕緣層20、與第一層間介電層40之材料可彼此不同,因此可選擇性地蝕刻這些層狀物。在一實施例中,接點蝕刻停止層之組成為SiN,側壁間隔物30之組成為SiOCN、SiCN、或SiON,蓋絕緣層20之組成為SiN或SiON,且第一層間介電層40之組成為氧化矽。
在此實施例中,鰭狀物場效電晶體之製程為閘極置換製程。
第1D圖係鰭狀物場效電晶體結構的透視圖。鰭狀物場效電晶體結構可由下述步驟製作。
首先,鰭狀結構310形成於基板300上。鰭狀結構包含底部區與較上區(如通道區315)。舉例來說,基板可為p型矽基板,其雜質濃度介於約1>1015cm-3至約1>1018cm-3之間。在其他實施例中,基板為n型矽基板,其雜質濃度介於約1×1015cm-3至約1×1018cm-3之間。在其他實施例中,基板可包含另一半導體元素如鍺、半導體化合物如IV-IV族半導體化合物(如SiC或SiGe)、III-V族半導體化合物(如GaAs、GaP、GaN、InP、InAs、InSb、GaAsP、AlGaN、AlInAs、AlGaAs、GaInAs、GaInP、及/或GaInAsP)、或上述之組合。在一實施例中,基板可為絕緣層上矽基板的矽層。
在形成鰭狀結構310後,形成隔離絕緣層320於鰭狀結構310上。隔離絕緣層320包含一或多層的絕緣材料,比如 氧化矽、氮氧化矽、或氮化矽,其形成方法可為低壓化學氣相沉積、電漿化學氣相沉積、可流動的化學氣相沉積。隔離絕緣層320亦可為一或多層形成,比如旋轉塗佈玻璃、氧化矽、SiON、SiOCN、及/或摻雜氟的矽酸鹽玻璃。
在形成隔離絕緣層320於鰭狀結構上之後,進行平坦化步驟以移除部份的隔離絕緣層320。平坦化步驟可包含化學機械研磨及/或回蝕刻製程。接著,可進一步移除(凹陷化)隔離絕緣層,以露出鰭狀結構的較上區。
接著形成虛置閘極結構於露出的鰭狀結構上。虛置閘極結構包含虛置閘極層(由多晶矽組成)與虛置閘極介電層。側壁間隔物350包含一或多層的絕緣材料,其亦形成於虛置閘極層的側壁上。在形成虛置閘極結構後,虛置閘極結構未覆蓋的鰭狀結構310將凹陷至低於隔離絕緣層320的上表面。接著以磊晶成長法形成源極/汲極區360於凹陷的鰭狀結構上。源極/汲極區可包含應力材料,以施加應力至通道區315。
接著形成層間介電層370於虛置閘極結構與源極/汲極區上。層間介電層370包含一或多層的氧化矽、SiOC、SiOCN、SiCN、其他低介電常數材料、或孔洞材料。在平坦化步驟後,移除虛置閘極結構以形成閘極空間。接著形成金屬閘極結構330於閘極空間中,且金屬閘極結構330包含金屬閘極與閘極介電層(如高介電常數介電層)。此外,蓋絕緣層340形成於金屬閘極結構330上,以形成第1D圖所示之鰭狀物場效電晶體。在第1D圖中,省略部份的金屬閘極結構330、蓋絕緣層340、側壁間隔物350、與層間介電層370以顯示下方的結構。
第1D圖中的金屬閘極結構330、蓋絕緣層340、側壁間隔物350、源極/汲極區360、與層間介電層370,分別對應第1A與1B圖中的金屬閘極結構10、蓋絕緣層20、側壁間隔物30、源極/汲極區50、與第一層間介電層40。
第2至9圖係本發明一實施例中,半導體裝置於製程中的多個階段中沿著第1A圖之剖線X1-X1的剖視圖。應理解的是,在第2至9圖之製程之前、之中、或之後可進行額外步驟,且此方法之額外實施例可省略一些步驟或將其置換為其他步驟。步驟/製程的順序可交換。與前述實施例相同或類似的結構、設置、材料、及/或製程可用於下述實施例,且可省略相關的細節說明。
如第2圖所示,第二層間介電層60形成於第1B圖之結構上。第二層間介電層60的材料與形成製程,可與第一層間介電層40的材料與形成製程類似。在一些實施例中,形成於第一層間介電層40與第二層間介電層60之間的接點蝕刻停止層(未圖示)其組成可為SiN、SiC、或SiCN。
接著如第3圖所示,接點孔65形成於第一層間介電層40與第二層間介電層60中,以露出源極/汲極區之矽化物層55與金屬閘極結構10的金屬閘極之部份上表面。在一些實施例中,閘極矽化物層亦形成於金屬閘極結構上,且接點孔65亦露出閘極矽化物層。
在形成接點孔65後,毯覆性地形成黏著層70,接著形成第一金屬層75以覆蓋所有的上表面,如第4圖所示。
黏著層70包含一或多層的導電材料。在一些實施 例中,黏著層70包含TiN層形成於Ti層上。在一些實施例中,TiN層與Ti層的厚度合計約1nm至約13nm之間。黏著層70的形成方法可為化學氣相沉積、物理氣相沉積如濺鍍、原子層沉積、電鍍、上述之組合、或其他合適的成膜方法。黏著層70係用以避免第一金屬層75剝離。在一些實施例中,並未採用黏著層70,且第一金屬層75直接形成於接點孔中。在這些例子中,第一金屬層75直接接觸矽化物層55。
第一金屬層75係鈷、鎢、鉬、或銅。在一實施例中,鈷作為第一金屬層75。第一金屬層75的形成方法可為化學氣相沉積、物理氣相沉積、原子層沉積、電鍍、上述之組合、或其他合適的成膜方法。
在形成「厚」的第一金屬層之後,進行平坦化步驟如化學機械研磨或回蝕刻製程,以移第二層間介電層60之上表面上的黏著層與第一金屬層,如第5圖所示。
接著形成較上的矽化物層80於第一金屬層75之上表面上,如第6圖所示。在一些實施例中,矽化物層80包含的金屬元素與第一金屬層75相同。舉例來說,若第一金屬層75之組成為鈷,則矽化物層80為鈷矽化物。若第一金屬層75之組成為鎢,則矽化物層80為鎢矽化物。若第一金屬層75之組成為鉬,則矽化物層80為鉬矽化物。若第一金屬層75之組成為銅,則矽化物層80為銅矽化物。
當第一金屬層75由鈷所組成,可將SiH4及/或Si2H6氣體(矽烷氣體源)與一或多種稀釋氣體(如He或H2)導入真空腔室中,且具有第5圖之結構的基板亦置入此真空腔室。在提 供矽烷氣體之前先提供H2氣體,可還原第一金屬層(如鈷)的表面上之氧化物層(如氧化鈷),以得乾淨與純粹的鈷表面。提供矽烷氣體時可搭配稀釋氣體如He及/或H2。以He及/或H2而非N2或其他氮源氣體作為稀釋氣體,由於該氣體熱傳導較佳,可成長較均勻的矽化物。
在一些實施例中,加熱基板至約300℃至約800℃之間。在此條件下,第一金屬層75之表面的鈷原子與來自矽烷源氣體的矽原子反應,形成鈷的矽化物層80。在一些實施例中,在形成鈷的矽化物層80後進行額外的回火步驟。額外的回火步驟其溫度介於約300℃至約800℃之間,且回火氣體為下述之一或多者:H2、NH3、He、與Ar。在一實施例中,回火氣體採用NH3。在一些實施例中,藉由上述步驟可得無突起的鈷矽層,其表面粗糙度介於約0.1nm至約2nm之間。
舉例來說,當溫度高至約700℃至800℃之間時,主要形成CoSi2。舉例來說,當溫度低至300℃至400℃之間時,主要形成Co2Si。當溫度介於約400℃至600℃時,主要形成CoSi。值得注意的是,CoSi2的電阻低於Co2Si或CoSi的電阻。此外,可進行額外熱步驟。
同樣地,當第一金屬層75之組成為Cu或Ti時,矽化物層80的形成方法可採用矽烷源氣體。
在其他實施例中,形成薄矽層(如多晶矽層或非晶矽層)於第5圖之結構上,接著進行回火步驟以形成矽化物層80於第一金屬層75上。在這些例子中,形成矽化物層後,以濕蝕刻移除第二層間介電層60上的矽層。在一些實施例中,矽化物 層80的厚度介於約3nm至約5nm之間。
接著形成接點蝕刻停止層90於矽化物層80與第二層間介電層60上,如第7圖所示。接點蝕刻停止層包含一或多層的SiN、SiC、SiCN、或SiON。在一些實施例中,接點蝕刻停止層90的厚度介於約10nm至約30nm之間。
接點蝕刻停止層90的形成方法可為電漿增強化學氣相沉積,其採用SiH4及/或S2H6氣體、氮源氣體(如N2或NH3)、碳源氣體(如CH4)、及/或氧源氣體(如O2)。由於採用相同的矽烷類氣體,沉積接點蝕刻停止層90與形成矽化物層80(如鈷矽化物)的製程可進行於相同的真空腔室或相同的形成工具,只需簡單改變源氣體與其他參數(如溫度或壓力)。在一實施例中,在沉積接點蝕刻停止層前,先提供氮源氣體如NH3。如此一來,形成接點蝕刻停止層的步驟,將使殘留於第二層間介電層60之表面上的Si形成介電材料(如SiN)。
接著如第8圖所示,形成第三層間介電層100於接點蝕刻停止層90上。第三層間介電層100的材料與形成製程,與第一層間介電層40及/或第二層間介電層60之材料與形成製程類似。此外,接點開口109形成於第三層間介電層100與接點蝕刻停止層90中。在一些實施例中,形成接點開口109的蝕刻步驟停止於矽化物層80上。換言之,矽化物層80可作為蝕刻停止層。在其他實施例中的接點蝕刻製程時,蝕刻並移除接點開口之底部的矽化物層80。
此外,通孔插塞110形成於接點開口109中,以電性連接至第一金屬層75,如第9圖所示。通孔插塞110包含一或 多層的導電材料如TiN、Ti、Cu、Al、W、上述之合金、或其他合適材料。
應理解的是可對第9圖之裝置進行額外的互補金氧半製程,以形成多種結構如內連線金屬層、介電層、保護層、與類似物。
第10A至10C圖係本發明一些實施例的剖視圖。第10A至10C圖僅顯示結構的相關部份。
在第10A圖中,矽化物層80完全覆蓋第一金屬層75的上表面。第10B與10C圖不同於第10A圖,矽化物層80只覆蓋第一金屬層75其部份的上表面。在第10B圖中,矽化物層80只形成於通孔插塞110下。在第10C圖中,矽化物層80形成於第一金屬層75的上表面上,除了通孔插塞110形成處。在一些實施例中,在形成接點開口109後,移除矽化物層80以形成第10C圖的結構。移除矽化物層80的方法可為電漿處理或離子轟擊處理。接著選擇性的成長金屬材料(如鈷),使移除矽化物後形成的凹陷填有金屬材料。
第11A至11C圖係本發明一些實施例的剖視圖。第11A至11C圖僅顯示結構的相關部份。
依據形成接點開口109的接點蝕刻條件(比如過蝕刻條件),通孔插塞的底部位置將有所不同。在第11A圖中,通孔插塞110的底部位於矽化物層80的上表面。在第11B圖中,通孔插塞110的底部位於矽化物層80其沿著Z方向的中間處。換言之,通孔插塞110部份地埋置於矽化物層80中。在第11C圖中,通孔插塞110接觸第一金屬層75的上表面。換言之,通孔插塞 110穿過矽化物層80。
第12圖係本發明另一實施例的剖視圖。第12圖僅顯示結構的相關部份。
在第12圖中,形成相對厚的矽化物層80。在一些實施例中,矽化物層80的厚度介於約5nm至約10nm之間。如第12圖所示,矽化物層80自第二層間介電層的上表面凸起。綜上所述,接點蝕刻停止層90具有隆起的階狀物。在一些實施例中,位於第二層間介電層上的接點蝕刻停止層90之上表面,與位於矽化物層80上的接點蝕刻停止層90之上表面之間的高度差異H1,介於約0.5nm至約4nm之間。
第13圖係本發明另一實施例的剖視圖。在一些實施例中,第一金屬層75具有實質上矩形的形狀,且可沿著平面圖的Y向延伸。在這些例子中,兩個以上的通孔插塞110A與110B位於第一金屬層75上,如第13圖所示。
第14至17圖係本發明另一實施例中,半導體裝置於製程中的多個階段中沿著第1A圖之剖線X1-X1的剖視圖。應理解的是,在第14至17圖之製程之前、之中、或之後可進行額外步驟,且此方法之額外實施例可省略一些步驟或將其置換為其他步驟。步驟/製程的順序可交換。與前述實施例相同或類似的結構、設置、材料、及/或製程可用於下述實施例,且可省略相關的細節說明。
與第6及7圖之結構與製程不同,接點蝕刻停止層90與第三層間介電層100形成於第5圖之結構上,而不形成矽化物層於第一金屬層75上,如第14圖所示。此外,接點開口109 形成於第三層間介電層100與接點蝕刻停止層90中,以露出第一金屬層75的部份上表面,如第15圖所示。
接著形成矽化物層80於接點開口109之底部的第一金屬層75的上表面上。矽化物層的形成方法可與前述類似。
接著形成通孔插塞110於通孔開口109中,如第17圖所示。第17圖與第10B圖類似,矽化物層80只形成於通孔插塞110下。在一些實施例中,接點蝕刻停止層90的厚度大於或等於通孔插塞110的一半高度。
第18至21圖係本發明另一實施例中,半導體裝置於製程中的多個階段中沿著第1A圖之剖線X1-X1的剖視圖。應理解的是,在第18至21圖之製程之前、之中、或之後可進行額外步驟,且此方法之額外實施例可省略一些步驟或將其置換為其他步驟。步驟/製程的順序可交換。與前述實施例相同或類似的結構、設置、材料、及/或製程可用於下述實施例,且可省略相關的細節說明。
在前述實施例中,在形成接點蝕刻停止層與接點孔65(如第2與3圖所示)之前,先形成矽化物層55。如第18圖所示之下述實施例中,未形成矽化物層於源極/汲極區50上即形成接點蝕刻停止層33。接著如第19圖所示,形成接點孔65以露出部份源極/汲極區50。
接著形成矽化物層55於源極/汲極區50上,如第20圖所示。藉由與第4至5圖所述之步驟類似的方法,可形成黏著層70(視情況,非必要)與第一金屬層75,如第21圖所示。在第21圖中,矽化物層55只形成於源極/汲極區50之間的界面,而 接點蝕刻停止層33直接接觸源極/汲極區50。
矽化物層55亦形成於金屬閘極結構10上的接點孔之底部,如第20圖所示。
在形成第21圖所示之結構後,進行前述實施例解釋的步驟以形成通孔插塞110。
與現有技術相較,上述多種實施例或實施例具有多種優點。舉例來說,本發明實施例之矽化物層(如鈷矽化物)形成於第一金屬層(如鈷)的表面上,矽化物層可作為保護層以保護下方的金屬層(如鈷)在空氣與後續製程步驟中免於氧化或損傷。此外,當形成用於通孔插塞之接點開口時,矽化物層可作為蝕刻停止層,比避免通孔穿透至下方層。此外,矽化物層可選擇性地形成於第一金屬層的表面上(換言之,只成長在金屬表面而不成長在絕緣層),且矽化物層與接點蝕刻停止層可形成於相同的真空腔室或相同的沉積膜工具中。上述特徵可降低電阻,避免漏電流以及過度蝕刻。若矽化物層沉積於整片晶圓上並轉換成矽化物層,而不是選擇性的生長在第一金屬層表面,則其餘的矽化物將成為第一金屬層之間漏電路徑,導致額外漏電流。
應理解的是,上述內容不需說明所有優點,並非所有的實施例或例子均需包含特定優點,且其他實施例或實例自可具有不同優點。
在本發明一實施例中,半導體裝置的形成方法包括:形成第一接點孔於源極/汲極區或閘極上的一或多個介電層中。形成黏著層於第一接點孔中。形成第一金屬層於第一接 點孔中的黏著層上。形成矽化物層於第一金屬層的上表面上。矽化物層包含的金屬元素與第一金屬層相同。
在本發明另一實施例中,半導體裝置的形成方法包括:形成第一接點孔於源極/汲極區或閘極上的一或多個介電層中。形成第一金屬層於第一接點孔中。形成較上矽化物層於第一金屬層的上表面上。源極/汲極區與閘極中至少一者包含較下矽化物層。第一金屬層接觸較下矽化物層。較上矽化物層覆蓋第一金屬層的至少部份上表面。較上矽化物層包含的金屬元素與第一金屬層相同。
在本發明另一實施例中,半導體裝置包括場效電晶體,且包括源極/汲極區;源極/汲極矽化物層,形成於源極/汲極區上;以及第一接點,連接至源極/汲極矽化物層。第一接點包括第一金屬層。第一金屬層的上表面至少被矽化物層覆蓋。矽化物層包含的金屬元素與第一金屬層相同。
上述實施例之特徵有利於本技術領域中具有通常知識者理解本發明實施例。本技術領域中具有通常知識者應理解可採用本發明實施例作基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本發明實施例之精神與範疇,並可在未脫離本發明實施例之精神與範疇的前提下進行改變、替換、或更動。

Claims (14)

  1. 一種半導體裝置的形成方法,包括:形成一第一接點孔於一源極/汲極區或一閘極上的一或多個介電層中;形成一黏著層於該第一接點孔中;形成一第一金屬層於該第一接點孔中的該黏著層上;形成一矽化物層於該第一金屬層的上表面上;以及形成一絕緣層接觸該矽化物層和該或該些介電層之最上層,其中該矽化物層包含的金屬元素與該第一金屬層相同。
  2. 如申請專利範圍第1項所述之半導體裝置的形成方法,其中該絕緣層與該或該些介電層之最上層的材料不同。
  3. 如申請專利範圍第2項所述之半導體裝置的形成方法,更包括:形成一額外介電層於該絕緣層上;形成一第二接點孔於該額外介電層與該絕緣層中;以及形成一第二金屬層於該第一金屬層上以電性連接至該第一金屬層。
  4. 如申請專利範圍第3項所述之半導體裝置的形成方法,其中該第二金屬層直接接觸該矽化物層的上表面,或穿過該矽化物層以直接接觸該第一金屬層。
  5. 如申請專利範圍第1或2項所述之半導體裝置的形成方法,其中該源極/汲極區包含一源極/汲極矽化物層;以及該黏著層接觸該源極/汲極矽化物層。
  6. 如申請專利範圍第1或2項所述之半導體裝置的形成方法,其中該矽化物層覆蓋該第一金屬層的所有上表面。
  7. 如申請專利範圍第1或2項所述之半導體裝置的形成方法,其中該矽化物層覆蓋該第一金屬層的部份上表面。
  8. 如申請專利範圍第7項所述之半導體裝置的形成方法,更包括:形成一額外介電層於該絕緣層上;形成一第二接點孔於該額外介電層與該絕緣層中;以及形成一第二金屬層於該第一金屬層上以電性連接至該第一金屬層。
  9. 如申請專利範圍第8項所述之半導體裝置的形成方法,其中該第二金屬層直接接觸該第一金屬層或該矽化物層。
  10. 一種半導體裝置的形成方法,包括:形成一第一接點孔於一源極/汲極區或一閘極上的一或多個介電層中;形成一黏著層於該第一接點孔中;形成一第一金屬層於該第一接點孔中的該黏著層上;以及形成一較上矽化物層於該第一金屬層的上表面上;其中該源極/汲極區與該閘極中至少一者包含一較下矽化物層,該黏著層接觸該較下矽化物層,該較上矽化物層覆蓋該第一金屬層的至少部份上表面,該較上矽化物層的側面被該黏著層或該第一金屬層覆蓋,且該較上矽化物層包含的金屬元素與該第一金屬層相同。
  11. 如申請專利範圍第10項所述之半導體裝置的形成方法,更包括形成一絕緣層接觸較上矽化物層和該或該些介電層的最上層,其中該絕緣層與該或該些介電層的最上層的材料不同。
  12. 如申請專利範圍第11項所述之半導體裝置的形成方法,更包括:形成一額外介電層於該絕緣層上;形成一第二接點孔於該額外介電層與該絕緣層中;以及形成一第二金屬層於該第一金屬層上以電性連接至該第一金屬層。
  13. 一種半導體裝置,包括一場效電晶體,且包括:一源極/汲極區;一源極/汲極矽化物層,形成於該源極/汲極區上;以及一第一接點,連接至該源極/汲極矽化物層,其中:該第一接點包括一黏著層和一第一金屬層於該黏著層上;該第一金屬層的上表面至少被一矽化物層覆蓋,且該矽化物層的側面被該黏著層或該第一金屬層覆蓋;以及該矽化物層包含的金屬元素與該第一金屬層相同。
  14. 如申請專利範圍第13項所述之半導體裝置,更包括一介電層,其中該第一接點埋置於該介電層中,且該矽化物層自該介電層之上表面凸起。
TW106103214A 2016-01-29 2017-01-26 半導體裝置與其形成方法 TWI666704B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662289148P 2016-01-29 2016-01-29
US62/289,148 2016-01-29
US15/378,574 2016-12-14
US15/378,574 US10153351B2 (en) 2016-01-29 2016-12-14 Semiconductor device and a method for fabricating the same

Publications (2)

Publication Number Publication Date
TW201737346A TW201737346A (zh) 2017-10-16
TWI666704B true TWI666704B (zh) 2019-07-21

Family

ID=59387652

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106103214A TWI666704B (zh) 2016-01-29 2017-01-26 半導體裝置與其形成方法

Country Status (4)

Country Link
US (3) US10153351B2 (zh)
KR (2) KR20170091007A (zh)
CN (1) CN107026195B (zh)
TW (1) TWI666704B (zh)

Families Citing this family (211)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10153351B2 (en) * 2016-01-29 2018-12-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9711402B1 (en) 2016-03-08 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming contact metal
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102593707B1 (ko) * 2016-10-05 2023-10-25 삼성전자주식회사 반도체 장치
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10079290B2 (en) * 2016-12-30 2018-09-18 United Microelectronics Corp. Semiconductor device having asymmetric spacer structures
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10600687B2 (en) * 2017-04-19 2020-03-24 Tokyo Electron Limited Process integration techniques using a carbon layer to form self-aligned structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10468529B2 (en) * 2017-07-11 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure with etch stop layer
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10483372B2 (en) 2017-09-29 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Spacer structure with high plasma resistance for semiconductor devices
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10790362B2 (en) * 2017-11-30 2020-09-29 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method of the same
US10177038B1 (en) 2017-11-30 2019-01-08 Taiwan Semiconductor Manufacturing Co., Ltd. Prevention of contact bottom void in semiconductor fabrication
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
JP7242999B2 (ja) * 2018-03-16 2023-03-22 三菱マテリアル株式会社 熱電変換素子
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10497571B2 (en) * 2018-04-27 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Device having work function metal stack and method of forming the same
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US10685872B2 (en) * 2018-05-30 2020-06-16 International Business Machines Corporation Electrically isolated contacts in an active region of a semiconductor device
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10818543B2 (en) * 2018-07-30 2020-10-27 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain contact spacers and methods of forming same
US11600530B2 (en) 2018-07-31 2023-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10693004B2 (en) 2018-08-14 2020-06-23 Taiwan Semiconductor Manufactruing Co., Ltd. Via structure with low resistivity and method for forming the same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US10943983B2 (en) * 2018-10-29 2021-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits having protruding interconnect conductors
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
US11069784B2 (en) * 2019-05-17 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
JP6946374B2 (ja) * 2019-06-20 2021-10-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042222A (ko) * 2019-10-08 2021-04-19 삼성전자주식회사 반도체 소자
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11251305B2 (en) * 2019-10-25 2022-02-15 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor device structure and method for forming the same
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11380781B2 (en) * 2019-12-17 2022-07-05 Taiwan Semiconductor Manufacturing Co., Ltd. Contact and via structures for semiconductor devices
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11227794B2 (en) * 2019-12-19 2022-01-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for making self-aligned barrier for metal vias In-Situ during a metal halide pre-clean and associated interconnect structure
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11888064B2 (en) * 2020-06-01 2024-01-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
US11742210B2 (en) * 2020-06-29 2023-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Deposition window enlargement
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11264481B2 (en) * 2020-07-01 2022-03-01 International Business Machines Corporation Self-aligned source and drain contacts
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230155004A1 (en) * 2021-11-12 2023-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor source/drain contacts and methods of forming the same

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130244422A1 (en) * 2012-03-16 2013-09-19 Globalfoundries Inc. Methods of forming copper-based conductive structures on semiconductor devices
US20140239503A1 (en) * 2013-02-27 2014-08-28 GlobalFoundries, Inc. Integrated circuits and methods for fabricating integrated circuits with capping layers between metal contacts and interconnects

Family Cites Families (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4822642A (en) * 1985-12-11 1989-04-18 Air Products And Chemicals, Inc. Method of producing silicon diffusion coatings on metal articles
JP3004827B2 (ja) * 1992-10-14 2000-01-31 三菱電機株式会社 半導体装置の製造方法
US6750091B1 (en) * 1996-03-01 2004-06-15 Micron Technology Diode formation method
US6153517A (en) * 1999-03-12 2000-11-28 Taiwan Semiconductor Manufacturing Company Low resistance poly landing pad
JP4807894B2 (ja) * 1999-05-31 2011-11-02 ルネサスエレクトロニクス株式会社 半導体装置
JP4150154B2 (ja) * 2000-08-21 2008-09-17 株式会社ルネサステクノロジ 半導体集積回路装置
US7687917B2 (en) * 2002-05-08 2010-03-30 Nec Electronics Corporation Single damascene structure semiconductor device having silicon-diffused metal wiring layer
US7214579B2 (en) * 2002-10-24 2007-05-08 Nxp Bv. Self-aligned 2-bit “double poly CMP” flash memory cell
JP4647184B2 (ja) * 2002-12-27 2011-03-09 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2004349609A (ja) * 2003-05-26 2004-12-09 Renesas Technology Corp 半導体装置およびその製造方法
JP4449374B2 (ja) 2003-09-04 2010-04-14 株式会社日立製作所 半導体装置
JP4832807B2 (ja) * 2004-06-10 2011-12-07 ルネサスエレクトロニクス株式会社 半導体装置
JP2006165454A (ja) * 2004-12-10 2006-06-22 Sony Corp 半導体装置の製造方法および半導体装置
JP4963349B2 (ja) * 2005-01-14 2012-06-27 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2007109736A (ja) * 2005-10-11 2007-04-26 Nec Electronics Corp 半導体装置およびその製造方法
US7790617B2 (en) * 2005-11-12 2010-09-07 Chartered Semiconductor Manufacturing, Ltd. Formation of metal silicide layer over copper interconnect for reliability enhancement
US7432197B2 (en) * 2006-01-27 2008-10-07 Micron Technology, Inc. Methods of patterning photoresist, and methods of forming semiconductor constructions
US7557447B2 (en) * 2006-02-06 2009-07-07 Nec Electronics Corporation Semiconductor device and method for manufacturing same
KR100746226B1 (ko) * 2006-05-30 2007-08-03 삼성전자주식회사 콘택 스페이서를 구비하는 콘택 구조체를 갖는 반도체 소자및 그 제조방법
KR100791345B1 (ko) 2006-10-02 2008-01-03 삼성전자주식회사 리세스된 구형 실리사이드 접촉부를 포함하는 반도체 소자및 그 제조 방법
JP5277552B2 (ja) * 2007-03-19 2013-08-28 富士通セミコンダクター株式会社 半導体装置の製造方法
US7834457B2 (en) * 2008-02-28 2010-11-16 International Business Machines Corporation Bilayer metal capping layer for interconnect applications
JP5396837B2 (ja) 2008-06-27 2014-01-22 富士通セミコンダクター株式会社 半導体装置の製造方法
JP5358165B2 (ja) * 2008-11-26 2013-12-04 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
US8288276B2 (en) * 2008-12-30 2012-10-16 International Business Machines Corporation Method of forming an interconnect structure including a metallic interfacial layer located at a bottom via portion
DE102008063417B4 (de) * 2008-12-31 2016-08-11 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Lokale Silizidierung an Kontaktlochunterseiten in Metallisierungssystemen von Halbleiterbauelementen
US8951907B2 (en) * 2010-12-14 2015-02-10 GlobalFoundries, Inc. Semiconductor devices having through-contacts and related fabrication methods
CN102938415B (zh) * 2011-08-16 2015-07-08 中国科学院微电子研究所 半导体器件及其制造方法
US9252019B2 (en) * 2011-08-31 2016-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method for forming the same
KR20130076979A (ko) * 2011-12-29 2013-07-09 삼성전자주식회사 반도체 소자 및 이의 제조방법
US20130307032A1 (en) * 2012-05-16 2013-11-21 Globalfoundries Inc. Methods of forming conductive contacts for a semiconductor device
KR101952119B1 (ko) 2012-05-24 2019-02-28 삼성전자 주식회사 메탈 실리사이드를 포함하는 반도체 장치 및 이의 제조 방법
WO2013190759A1 (ja) * 2012-06-21 2013-12-27 パナソニック株式会社 固体撮像素子及びその製造方法
US9659869B2 (en) * 2012-09-28 2017-05-23 Intel Corporation Forming barrier walls, capping, or alloys /compounds within metal lines
KR101876305B1 (ko) * 2013-01-04 2018-07-11 삼성전자주식회사 반도체 장치 및 그의 형성방법
US20140306290A1 (en) * 2013-04-11 2014-10-16 International Business Machines Corporation Dual Silicide Process Compatible with Replacement-Metal-Gate
US9040421B2 (en) * 2013-05-03 2015-05-26 GlobalFoundries, Inc. Methods for fabricating integrated circuits with improved contact structures
US8940635B1 (en) * 2013-08-30 2015-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for forming interconnect structure
US8962490B1 (en) * 2013-10-08 2015-02-24 United Microelectronics Corp. Method for fabricating semiconductor device
US20150171206A1 (en) * 2013-12-18 2015-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxially Growing III-V Contact Plugs for MOSFETs
US9379058B2 (en) * 2014-02-14 2016-06-28 Qualcomm Incorporated Grounding dummy gate in scaled layout design
US9343357B2 (en) * 2014-02-28 2016-05-17 Qualcomm Incorporated Selective conductive barrier layer formation
US9293365B2 (en) * 2014-03-27 2016-03-22 Globalfoundries Inc. Hardmask removal for copper interconnects with tungsten contacts by chemical mechanical polishing
US9831183B2 (en) * 2014-08-07 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure and method of forming
US9466530B2 (en) * 2014-10-29 2016-10-11 Globalfoundries Inc. Methods of forming an improved via to contact interface by selective formation of a metal silicide capping layer
US9559059B2 (en) * 2014-10-29 2017-01-31 Globalfoundries Inc. Methods of forming an improved via to contact interface by selective formation of a conductive capping layer
US9673091B2 (en) * 2015-06-25 2017-06-06 Globalfoundries Inc. Structure for BEOL metal levels with multiple dielectric layers for improved dielectric to metal adhesion
US9679807B1 (en) * 2015-11-20 2017-06-13 Globalfoundries Inc. Method, apparatus, and system for MOL interconnects without titanium liner
US10256296B2 (en) * 2015-11-24 2019-04-09 International Business Machines Corporation Middle-of-line (MOL) capacitance reduction for self-aligned contact in gate stack
US9905463B2 (en) * 2015-12-15 2018-02-27 International Business Machines Corporation Self-aligned low dielectric constant gate cap and a method of forming the same
US9793216B2 (en) * 2016-01-26 2017-10-17 Globalfoundries Inc. Fabrication of IC structure with metal plug
US10153351B2 (en) * 2016-01-29 2018-12-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US9548366B1 (en) * 2016-04-04 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self aligned contact scheme

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130244422A1 (en) * 2012-03-16 2013-09-19 Globalfoundries Inc. Methods of forming copper-based conductive structures on semiconductor devices
US20140239503A1 (en) * 2013-02-27 2014-08-28 GlobalFoundries, Inc. Integrated circuits and methods for fabricating integrated circuits with capping layers between metal contacts and interconnects

Also Published As

Publication number Publication date
KR20170091007A (ko) 2017-08-08
KR20180079255A (ko) 2018-07-10
CN107026195B (zh) 2020-10-16
US20200350416A1 (en) 2020-11-05
TW201737346A (zh) 2017-10-16
US11569362B2 (en) 2023-01-31
CN107026195A (zh) 2017-08-08
US10714586B2 (en) 2020-07-14
US20170222008A1 (en) 2017-08-03
US20180337244A1 (en) 2018-11-22
US10153351B2 (en) 2018-12-11
KR101971403B1 (ko) 2019-08-13

Similar Documents

Publication Publication Date Title
TWI666704B (zh) 半導體裝置與其形成方法
US10658270B2 (en) Semiconductor structure and method making the same
CN106098557B (zh) 半导体结构及其形成方法
TWI600162B (zh) 半導體裝置與其形成方法
TW202013519A (zh) 半導體裝置與其形成方法
TWI827712B (zh) 半導體裝置與其形成方法
TWI579930B (zh) 半導體裝置與其形成方法
TW201735190A (zh) 半導體裝置及其製造方法
TW201824492A (zh) 半導體裝置及其製造方法
TW202011518A (zh) 半導體裝置的形成方法
US11049945B2 (en) Semiconductor device structure and method for forming the same
US11271103B2 (en) Semiconductor device and manufacturing process thereof
TW202117855A (zh) 半導體裝置的形成方法
US20230170397A1 (en) Semiconductor device and a method for fabricating the same
JP2008103613A (ja) 半導体装置及びその製造方法
TWI806103B (zh) 形成半導體裝置的方法
TWI790157B (zh) 接點插塞
US11469109B2 (en) Semiconductor structure having metal contact features and method for forming the same
US20230047598A1 (en) Semiconductor devices and methods of manufacture
TW202232603A (zh) 半導體裝置的製造方法
TW202326867A (zh) 半導體裝置結構與其形成方法
KR20220136913A (ko) 3d nand를 위한 셀렉션 게이트 분리
CN113013160A (zh) 半导体装置
CN114551400A (zh) FinFET器件及方法