TW201735190A - 半導體裝置及其製造方法 - Google Patents

半導體裝置及其製造方法 Download PDF

Info

Publication number
TW201735190A
TW201735190A TW105134959A TW105134959A TW201735190A TW 201735190 A TW201735190 A TW 201735190A TW 105134959 A TW105134959 A TW 105134959A TW 105134959 A TW105134959 A TW 105134959A TW 201735190 A TW201735190 A TW 201735190A
Authority
TW
Taiwan
Prior art keywords
layer
metal layer
fin structure
metal
forming
Prior art date
Application number
TW105134959A
Other languages
English (en)
Other versions
TWI628722B (zh
Inventor
尚 皮耶 柯林基
卡羅斯H 戴爾茲
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201735190A publication Critical patent/TW201735190A/zh
Application granted granted Critical
Publication of TWI628722B publication Critical patent/TWI628722B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02592Microstructure amorphous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/461Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/465Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41758Source or drain electrodes for field effect devices for lateral devices with structured layout for source or drain region, i.e. the source or drain region having cellular, interdigitated or ring structure or being curved or angular
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Abstract

一種半導體裝置,包括設置於基板上之鰭結構、閘極結構及源極。上述鰭結構包括從隔離絕緣層露出之上層。上述閘極結構設置於部分鰭結構之上層之上。上述源極包括未被閘極結構覆蓋之鰭結構之上層。上述源極之鰭結構之上層係被結晶半導體層覆蓋。上述結晶半導體層係被由矽及第一金屬元素所形成之矽化物層覆蓋。上述矽化物層係被第一金屬層覆蓋。由第一金屬元素所形成之第二金屬層設置於第一金屬層及隔離絕緣層之間。

Description

半導體裝置及其製造方法
本揭露係有關於一種半導體積體電路,且特別有關於一種鰭式場效電晶體(fin field effect transistor,簡稱FinFET)之源極/汲極結構及其製造方法。
隨著半導體工業步入奈米科技之製程節點,為了追求高裝置密度、高效能、低成本,來自製造上及設計上之挑戰促使了具有高介電常數材料之金屬閘極結構之應用。金屬閘極結構時常以替換閘極技術(gate replacement technology)製造,而源極、汲極係以磊晶成長法(epitaxial growth)形成於凹陷之鰭結構中。然而,在現行技術中,降低在源極及汲極之電流群聚(current crowding)以及提高電晶體之電流驅動能力(drive ability)係為眾多挑戰的其中之一。
本揭露包括一種半導體裝置之製造方法,其包括:形成第一鰭結構及第二鰭結構於基板上,上述第一鰭結構及第二鰭結構延伸於第一方向且由一隔離絕緣層突起;形成閘極結構於部分之第一及第二鰭結構上,上述閘極結構延伸於與第一方向垂直之第二方向;形成側壁間隔物於第一及第二鰭結構各 自未被閘極結構覆蓋之兩主要側表面上;形成第一金屬層於上述側壁間隔物上,以填充第一鰭結構及第二鰭結構之間的空隙;在形成第一金屬層之後,移除上述側壁間隔物;在移除上述側壁間隔物之後,形成接觸上述鰭結構之非晶層;再結晶(recrystallize)部分之鰭結構上之非晶層以形成再結晶層;移除未再結晶之剩餘非晶層;在移除剩餘非晶層之後,形成第二金屬層;以及以再結晶層與第一金屬層及第二金屬層之間之矽化反應形成矽化物層。
本揭露包括一種半導體裝置之製造方法,其包括:形成第一鰭結構及第二鰭結構於基板上,上述第一鰭結構及第二鰭結構延伸於第一方向且由一隔離絕緣層突起;形成閘極結構於部分之第一及第二鰭結構上,上述閘極結構延伸於與第一方向垂直之第二方向;形成側壁間隔物於第一及第二鰭結構各自未被上述閘極結構覆蓋之兩主要側表面上;形成第一金屬層於上述側壁間隔物上以及形成第二金屬層於第一金屬層上,以填充第一鰭結構及該第二鰭結構之間的空隙;在形成第一金屬層及第二金屬層之後,移除上述側壁間隔物;在移除上述側壁間隔物之後,形成接觸上述鰭結構之非晶層;再結晶部分之上述鰭結構上之非晶層以形成再結晶層;移除未再結晶之剩餘非晶層;在移除剩餘非晶層之後,形成第三金屬層;以及以再結晶層與第一金屬層及第三金屬層之間之矽化反應形成矽化物層。
本揭露包括一種半導體裝置,其包括:設置於基板之上鰭結構,上述鰭結構包括從隔離絕緣層露出之上層;設置 於部分上述鰭結構上層上之閘極結構;以及源極,包括未被上述閘極結構覆蓋之鰭結構之上層,其中:上述源極之鰭結構之上層係被結晶半導體層覆蓋;上述結晶半導體層係被由矽及第一金屬元素所形成之矽化物層覆蓋;上述矽化物層係被第一金屬層覆蓋;由第一金屬元素所形成之第二金屬層設置於第一金屬層及隔離絕緣層之間。
10‧‧‧基板
20‧‧‧鰭結構
25‧‧‧間隔物層
20A‧‧‧通道層
20B‧‧‧井層
30‧‧‧虛設閘極介電層
40‧‧‧虛設閘極結構
45‧‧‧虛設閘極電極層
47‧‧‧側壁絕緣層
50‧‧‧隔離絕緣層
60‧‧‧硬罩幕
62‧‧‧氧化層
64‧‧‧氮化矽層
65‧‧‧第一金屬層
70‧‧‧非晶層
75‧‧‧再結晶層
80‧‧‧第二金屬層
90‧‧‧矽化物層
100‧‧‧間隔物層
105、115‧‧‧空隙
110‧‧‧第一金屬層
112‧‧‧第三金屬層
120‧‧‧非晶層
130‧‧‧再結晶層
140‧‧‧第二金屬層
142‧‧‧第四金屬層
150、152‧‧‧矽化物層
154‧‧‧下方矽化物層
156‧‧‧上方矽化物層
以下將配合所附圖式詳述本揭露之實施例。應注意的是,依據在業界的標準做法,各種特徵並未按照比例繪製且僅用以說明例示。事實上,可能任意地放大或縮小元件的尺寸,以清楚地表現出本揭露的特徵。
第1-2、3A-3B、4-11圖係為根據本揭露之第一實施例所繪示之半導體場效電晶體裝置之連續製造步驟中之各階段之例示性的示意圖。
第12-14圖係為根據本揭露之第二實施例所繪示之半導體場效電晶體裝置之連續製造步驟中之各階段之例示性的示意圖。
第15及16圖係為根據本揭露之第三實施例所繪示之半導體場效電晶體裝置之連續製造步驟中之各階段之例示性的示意圖。
第17A-17H圖係為根據本揭露之第四實施例所繪示之半導體場效電晶體裝置之連續製造步驟中之各階段之例示性的示意圖。
以下公開許多不同的實施方法或是例子來實行本揭露之不同特徵,以下描述具體的元件及其排列的實施例以闡述本揭露。當然這些實施例僅用以例示,且不該以此限定本揭露的範圍。例如,元件之尺寸可依據製程條件及/或所欲之裝置性質而定,並不限定於所揭露之範圍或數值。此外,在說明書中提到第一特徵形成於第二特徵之上,其包括第一特徵與第二特徵是直接接觸的實施例,另外也包括於第一特徵與第二特徵之間另外有其他特徵的實施例,亦即,第一特徵與第二特徵並非直接接觸。為了簡化及明確,可能任意地以不同的尺寸繪示不同的特徵。
此外,其中可能用到與空間相關用詞,例如“在...下方”、“下方”、“較低的”、“上方”、“較高的”及類似的用詞,這些空間相關用詞係為了便於描述圖示中一個(些)元件或特徵與另一個(些)元件或特徵之間的關係,這些空間相關用詞包括使用中或操作中的裝置之不同方位,以及圖式中所描述的方位。裝置可能被轉向不同方位(旋轉90度或其他方位),則其中使用的空間相關形容詞也可相同地照著解釋。此外,”由...形成”可能代表”包括...”或”由...組成”。
第1-11圖係為根據本揭露之第一實施例所繪示之半導體場效電晶體裝置的源極/汲極結構之連續製造步驟中之各階段之例示性的示意圖。應理解的是,於第1-11圖所繪示之製程之前、之中、之後可有額外的步驟,而一些下述之步驟則可被取代或移除以作為本方法之額外的實施例。步驟/製程之順序可能可以互換。在本揭露中,源極與汲極可交換使用,且” 源極/汲極”指的是場效電晶體之源極與汲極或兩者之一。
下述之實施例主要描述鰭式場效電晶體裝置並以之為例子說明半導體裝置及其製造方法,而於此處所描述之技術亦可應用於水平環繞式閘極電晶體(gate-all-around,簡稱GAA)或氧化物上通道型電晶體(channel-on-oxide type transistors)。
第1圖係為根據本揭露之第一實施例所繪示之半導體裝置之連續製造步驟中之一階段之例示性的立體圖。
第1圖繪示出在形成鰭結構之後的結構。一或多個鰭結構20形成於基板10之上且由隔離絕緣層50突起。在一實施例中,每一鰭結構20包括井層20B以及通道層20A。在本實施例中,鰭結構20包括矽。在其他實施例中,鰭結構20包括Si1-xGex,其中x係為0.1至0.9。在後文中,Si1-xGex可簡稱為SiGe。
為了形成鰭結構,形成罩幕層於基板(例如:矽基板10)之上。舉例而言,可以熱氧化法(thermal oxidation)及/或化學氣相沉積法(Chemical Vapor Deposition,簡稱CVD)形成上述罩幕層。舉例而言,基板10為雜質濃度約在1x1015cm-3至1x1018cm-3之範圍的p型矽基板。在其他的實施例中,基板10為雜質濃度約在1x1015cm-3至1x1018cm-3之範圍的n型矽基板。舉例來說,罩幕層包括墊氧化物(例如:氧化矽)層及氮化矽罩幕層。
作為替代方案,基板10可包括其他的元素(elementary)半導體(例如:鍺)、化合物半導體(包括Ⅳ-Ⅳ族化合 物半導體(例如:SiC、SiGe)、Ⅲ-V族化合物半導體(例如:GaAs、GaP、GaN、InP、InAs、InSb、GaAsP、AlGaN、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP))、或其組合。在一實施例中,基板10是絕緣層上矽(silicon-on insulator,簡稱SOI)基板之矽層。使用絕緣層上矽基板時,鰭結構可能由絕緣層上矽基板之矽層突起或由絕緣層上矽基板之絕緣層突起。在後者中,絕緣層上矽基板之矽層被用來形成鰭結構。非晶(amorphous)基板(例如:非晶Si、非晶SiC)、或絕緣材料(例如:氧化矽)也可用來當作基板10。基板10可包括適當地以雜質摻雜之各種區域(例如:p型或n型導電型態)。
可以熱氧化法或化學氣相沉積法形成墊氧化物層。可以物理氣相沉積法(physical vapor deposition,簡稱PVD)(例如:濺鍍法)、化學氣相沉積法、電漿化學氣相沉積法(plasma-enhanced chemical vapor deposition,簡稱PECVD)、大氣壓力化學氣相沉積法(atmospheric pressure chemical vapor deposition,簡稱APCVD)、低壓化學氣相沉積法(LPCVD)、高密度電漿化學氣相沉積法(HDPCVD)、原子層沉積法(atomic layer deposition,簡稱ALD)、及/或其他製程形成氮化矽罩幕層。
在一些實施例中,墊氧化物層之厚度約為2nm至15nm,而氮化矽罩幕層之厚度約為2nm至50nm。於罩幕層上更形成一罩幕圖案。舉例來說,上述罩幕圖案為以微影製程形成之光阻圖案。
以罩幕圖案作為蝕刻罩幕,可形成由墊氧化物層 及氮化矽罩幕層所形成之硬罩幕圖案。在一些實施例中,硬罩幕圖案之寬度約為5nm至40nm。在一些特定的實施例中,硬罩幕圖案之寬度約為4nm至12nm。
以硬罩幕圖案作為蝕刻罩幕,並經由溝槽蝕刻(trench etching)將矽基板圖案化成鰭結構20,其中上述溝槽蝕刻使用乾蝕刻法及/或濕蝕刻法。
在一些實施例中,鰭結構20之寬度約為5nm至40nm,而在一些特定的實施例中約為4nm至12nm。在一些實施例中,鰭結構20之高度約為100nm至300nm,而在其他實施例中約為50nm至100nm。在鰭結構之高度不一致的時候,可自上述鰭結構之平均高度所對應之平面量測其相對於基板之高度。在一些實施例中,通道層20A之高度約為35nm至80nm。在一些實施例中,鰭結構20之間的間隔約為5nm至80nm,而在其他的實施例中約為7nm至20nm。然而,所屬領域具通常知識者將理解,整個說明中所述之尺寸及數值僅作為舉例之用,且可依照不同之積體電路大小做適當之改變。
在第1圖中,三個鰭結構20延伸於方向X,且於Y方向彼此相鄰設置。然而,鰭結構之數量不限定為三個,其可為一個、兩個、四個、或五個、或更多。除此之外,鄰近於鰭結構20之兩邊,可設有一或多個虛設(dummy)鰭結構,以在圖案化步驟中提升圖案保真度(pattern fidelity)。
在形成鰭結構20之後,形成隔離絕緣層50於鰭結構20之上。隔離絕緣層50包括一或多層之絕緣材料,例如:氧化矽、氮氧化矽、及/或氮化矽,可以低壓化學氣相沉積法、 電漿化學氣相沉積法、或旋轉塗佈沉積(spin-on deposition)可流動性絕緣材料形成隔離絕緣層50。在可流動沉積技術(flowable deposition technique)中,沉積可流動的介電材料以取代氧化矽。可流動的介電材料,恰如其名,在沉積時可”流動”以填充高深寬比(aspect ratio)的間隙或空隙。通常,在含矽的前驅物中加入各種化學品(chemistries),以使所沉積的薄膜可以流動。在一些實施例中,可加入氮氫化物鍵(nitrogen hydride bond)。可流動的介電前驅物(特別是可流動的氧化矽前驅物)之例子包括矽酸鹽(silicate)、矽氧烷(siloxane)、甲基倍半矽氧烷(methyl silsesquioxane,簡稱MSQ)、氫倍半矽氧烷(hydrogen silsesquioxane,簡稱HSQ)、甲基倍半矽氧烷/氫倍半矽氧烷、全氫化矽氮烷(perhydrosilazane,簡稱TCPS)、全氫化聚矽氮烷(perhydro-polysilazane,簡稱PSZ)、四乙氧基矽烷(tetraethyl orthosilicate,簡稱TEOS)、或如三矽烷胺(trisilylamine,簡稱TSA)之矽烷基胺(silyl-amine)。這些可流動的氧化矽材料係以多步驟的製程形成。在沉積可流動的薄膜後,將其固化(cure)接著進行退火以移除不欲之成分而形成氧化矽。在移除無益之成分的時候,可流動的薄膜緻密化(densify)且收縮。在一些實施例中,執行多重的退火製程。可流動的薄膜被固化、退火不只一次。可以硼及/或磷摻雜可流動的薄膜。在一些實施例中,可以一或多層之旋轉塗佈玻璃(SOG)、氧化矽(SiO)、氮氧化矽(SiON)、碳氮氧化矽(SiOCN)、或氟矽玻璃(FSG)形成隔離絕緣層50。
於鰭結構20上形成隔離絕緣層50之後,進行平坦 化步驟以移除部分之隔離絕緣層50及上述之罩幕層(墊氧化物層及氮化矽罩幕層)。平坦化步驟可包括化學機械研磨(chemical mechanical polishing)及/或回蝕刻。接著,如第1圖所示,更進一步移除隔離絕緣層50以露出鰭結構20之通道層20A。
在一些特定的實施例中,可使用濕蝕刻製程(例如:將基板浸入(dip)氫氟酸之中)以移除部分之隔離絕緣層50。在另一實施例中,可使用乾蝕刻製程以移除部分之隔離絕緣層50。舉例而言,所使用之乾蝕刻製程可使用CHF3或BF3作為蝕刻氣體。
在形成隔離絕緣層50之後,可進行熱處理(例如:退火處裡)以提升隔離絕緣層50之品質。在一些特定的實施例中,在約900℃至1050℃之溫度下以及惰性氣體(例如:N2、Ar或O2氣氛)的氣氛下,使用快速熱退火(rapid thermal annealing,簡稱RTA)進行熱處理約1.5秒至10秒。
在本實施例中,使用替換閘極(gate replacement)技術。如第2圖所示,形成虛設閘極結構40於部分之鰭結構20之上。第2圖係為根據本揭露之第一實施例所繪示之鰭式場效電晶體裝置之連續製造步驟中之一階段之例示性的立體圖。第3A圖係為沿著第2圖之切線a-a(閘極區)所繪示之例示性的剖面圖。第3B圖係為沿著第2圖之切線b-b(源極/汲極區)所繪示之例示性的剖面圖。第4-11圖係為對應第2圖之切線b-b所繪示之例示性的剖面圖。
形成介電層及多晶矽層於隔離絕緣層50以及露出 之鰭結構20A之上。接著,進行圖案化步驟以形成虛設閘極結構40,其包括虛設閘極介電層30以及由多晶矽所形成之虛設閘極電極層45。在一些實施例中,使用硬罩幕60以進行圖案化多晶矽層之步驟,上述硬罩幕60包括形成於氧化層62上之氮化矽層64。在其他的實施例中,硬罩幕可包括形成於氮化物層上之氧化矽層。虛設閘極介電層30可為以化學氣相沉積法、物理氣相沉積法、原子層沉積法、電子束蒸鍍法、或其他適當之製程所形成之氧化矽。在一些實施例中,閘極介電層30可包括一或多層之氧化矽、氮化矽、氮氧化矽、或高介電常數介電材料。在一些實施例中,閘極介電層之厚度約為5nm至20nm,在其他的實施例中約為5nm至10nm。
閘極電極層45可包括單一膜層或多個膜層之結構。虛設閘極電極層45可為均勻摻雜或不均勻摻雜之摻雜多晶矽。可使用適當之製程形成虛設閘極電極層45,例如:原子層沉積法、化學氣相沉積法、物理氣相沉積法、電鍍(plating)、或其組合。在本實施例中,虛設閘極電極層45之寬度約為30nm至60nm。在一些實施例中,閘極電極層之厚度約為30nm至50nm。
虛設閘極結構40可包括設置於虛設閘極電極層45之主要兩側之側壁絕緣層47。側壁絕緣層47包括一或多層之氧化矽、氮化矽、氮氧化矽、或其他適當之材料。側壁絕緣層47可包括單一膜層或多個膜層之結構。可以化學氣相沉積法、物理氣相沉積法、原子層沉積法、或其他適當之技術形成側壁絕緣材料之毯覆層(blanket layer)。接著,在側壁絕緣材料上進行 異向性蝕刻以形成一對側壁絕緣層(間隔物)47於閘極結構之主要兩側上。在一些實施例中,側壁絕緣層47之厚度約為1nm至20nm,在其他的實施例中約為2nm至10nm。
如第4圖所示,形成間隔物層100於源極/汲極區中之鰭結構20之側壁上。間隔物層100包括一或多層之氧化矽、氮化矽、氮氧化矽、或其他適當之材料。在本實施例中,使用氮化矽為主之材料,例如:SiN或SiCN。可以化學氣相沉積法、物理氣相沉積法、原子層沉積法、或其他適當之技術形成間隔物層之絕緣材料之毯覆層於第2圖之結構上。接著,在上述毯覆層上進行異向性蝕刻以形成一對間隔物層100於鰭結構之主要兩側壁上並保留間隔物層之間的空隙105。在一些實施例中,空隙105之寬度約為4nm至30nm。在一些實施例中,間隔物層100之厚度約為1nm至10nm,在其他實施例中約為2nm至5nm。在一些實施例中,以形成虛設閘極結構之側壁絕緣層47之步驟形成間隔物層100。
接下來,如第5圖所示,形成第一金屬層110於第4圖之結構之空隙105中。形成第一金屬材料之毯覆層於第4圖之結構之上,並進行平坦化步驟(例如:回蝕刻),使得第一金屬材料填充於空隙105中。第一金屬層110包括一或多個下列材料:Co、Ti、Ta、W、或Ni、或其他適當之金屬材料。可以化學氣相沉積法、物理氣相沉積法、原子層沉積法、或其他適當之技術形成第一金屬層。
如第6圖所示,在形成第一金屬層110之後,使用濕蝕刻移除間隔物層100因而形成空隙115。
接著,如第7圖所示,形成非晶層120於第6圖所繪示之結構之上。所形成之非晶層120係完全填充空隙115並高於鰭結構20。
形成非晶層120之材料與形成鰭結構20之材料可相同或不同。若以Si形成鰭結構20且鰭式場效電晶體係為n型,則可以Si或SiP形成非晶層120。若以Si形成鰭結構20且鰭式場效電晶體係為p型,則可以Si、SiGe、或SiGeB形成非晶層120。若以SiGe形成鰭結構20且鰭式場效電晶體係為n型,則可以Si或SiGe形成非晶層120。若以SiGe形成鰭結構20且鰭式場效電晶體係為p型,則可以Ge或SiGe形成非晶層120。
以適當之摻質重摻雜非晶層120,其數量約為2×1020cm-3至1×1021cm-3。p型場效電晶體之摻質包括硼,而n型場效電晶體之摻質包括磷及/或砷。
可以化學氣相沉積法形成非晶層120,上述化學氣相沉積法在形成非晶Si時使用SiH4、SiHCl3、SiH2Cl2及/或Si2H6作為來源氣體,而在形成非晶SiGe時使用GeH4作為來源氣體。亦可使用原子層沉積法。舉例而言,可在約520℃至620℃之溫度下以及約2mTorr至300mTorr之壓力下,以高溫分解法(pyrolysis)(上述氣體其中之一之熱分解)形成非晶層120。
接下來,如第8圖所示,以熱處理再結晶部分與鰭結構20接觸之非晶層120。上述再結晶之步驟通常稱為固相磊晶。
當非晶層120為非晶Si的時候,在一些實施例中,再結晶之步驟係在450℃至650℃之溫度下加熱具有虛設閘極 結構40及被非晶層120覆蓋之鰭結構20之基板,在其他實施例中則為550℃至600℃。藉由上述之固相磊晶,以鰭結構20作為晶種層(結晶矽)將數奈米之非晶層120再結晶而形成再結晶層130。再結晶層130之厚度約為0.5nm至4nm。形成於絕緣層上之非晶層120並未再結晶。
當非晶層120係為非晶SiGe的時候,在一些實施中,上述之加熱溫度約為400℃至550℃。
如第9圖所示,再結晶層130實質上均勻地成長於露出之鰭結構20之頂面及側面上。側面及頂面所成長之再結晶層130之厚度的差異可為0.2nm至1nm。若以氣相磊晶取代所述之固相磊晶,因為矽結晶方位之不同的成長速度,所成長之磊晶層之橫向成長將多過於垂直方向之成長,因而形成”鑽石”形狀之剖面。
於再結晶步驟之後,舉例而言,以濕蝕刻製程移除未再結晶之剩餘非晶層120。就濕蝕刻而言,使用稀釋之HNO3及HF作為蝕刻劑。亦可使用氫氧化四甲銨(tetramethylammonium hydroxide,簡稱TMAH)溶液、氨水(ammonia,NH4OH)、或氫氧化鉀(potassium hydroxide,KOH)溶液、或CF4/O2電漿。可使用乾蝕刻以移除未結晶化的非晶層。
在移除剩餘(未被使用)之非晶層120之後,如第10圖所示,形成第二金屬層140於第9圖之結構之上。在本實施例中,第二金屬層140之金屬材料相同於第一金屬層之金屬材料。在其他的實施例中,使用相異之金屬材料。
接下來,如第11圖所示,形成矽化物層150於再結 晶層130與第一及第二金屬層110及140之間。
進行熱處理(例如:快速熱退火製程)以形成矽化物層150。在第一及第二金屬層係由W形成的時候,於900℃至1100℃之溫度下加熱基板10。在第一及第二金屬層係由Co形成的時候,於600℃至800℃之溫度下加熱基板10。在第一及第二金屬層係由Ti形成的時候,於700℃至900℃之溫度下加熱基板10。在第一及第二金屬層係由Ni形成的時候,於400℃至600℃之溫度下加熱基板10。
如第11圖所示,部分之再結晶層130變成矽化物層150。在一些實施例中,矽化物層150之厚度約為1nm至5nm。當再結晶層130較薄的時候,整個再結晶層130變成矽化物層150,且部分之鰭結構20亦變成矽化物層150。在上述之情況下,在一些實施例中,矽化物層150之厚度約為5nm至15nm。
如第11圖所示,因為再結晶層130係實質上均勻地成長於露出之鰭結構20之上,矽化物層150亦實質上均勻地形成。在一些實施例中,鰭結構20之側面及頂面之矽化物層的厚度差異為0.2nm至1nm。
在形成矽化物層150之後,形成一介電層,接著以適當之蝕刻製程分別移除虛設閘極電極層45及虛設介電層30而形成一開口。形成金屬閘極結構於上述由移除虛設閘極結構所形成之開口中。形成閘極介電層及金屬閘極層於上述開口中。形成閘極介電層於設置於鰭結構通道層上之介面層之上。在一些實施例中,介面層可包括厚度為0.2nm至1.5nm之氧化矽。可將矽通道層氧化以形成上述之氧化矽介面層。在其他實 施例中,介面層之厚度約為0.5nm至1nm。上述閘極介電層包括一或多層之介電材料,例如:氧化矽、氮化矽、或高介電常數介電材料、其他適當之介電材料、及/或其組合。舉例而言,高介電常數介電材料包括HfO2、HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、氧化鋯、氧化鋁、氧化鈦、HfO2-Al2O3合金、其他適當之高介電常數介電材料、及/或其組合。舉例而言,可以化學氣相沉積法、物理氣相沉積法、原子層沉積法、高密度電漿化學氣相沉積法(HDPCVD)、其他適當的方法、及/或其組合形成閘極介電層。形成閘極電極於閘極介電層之上。閘極電極包括一或多層之任何適當的金屬材料,例如:鋁、銅、鈦、鉭、鈷、鉬、氮化鉭、矽化鎳、矽化鈷、TiN、WN、TiAl、TiAlN、TaCN、TaC、TaSiN、金屬合金、其他適當之材料、及/或其組合。在本揭露之特定的實施例中,可設置一或數個功函數調整層於閘極介電層及閘極電極之間。功函數調整層係由導電材料形成,例如:單層之TiN、TaN、TaAlC、TiC、TaC、Co、Al、TiAl、HfTi、TiSi、TaSi、或TiAlC、或多層之兩個或更多個之上述材料。對於p型鰭式場效電晶體而言,可使用一或多個下列材料作為功函數調整層:TiAlC、Al、TiAl、TaN、TaAlC、TiN、TiC及Co。
在上述之製造流程中,在形成n型鰭式場效電晶之源極/汲極結構的時候,以保護層(例如:SiN)覆蓋形成p型電晶體之區域。類似地,在形成p型鰭式場效電晶之源極/汲極結構的時候,以保護層覆蓋形成n型電晶體之區域。
應理解的是,可進行額外之互補式金屬氧化物半 導體製程以形成各種特徵,例如:接點(contact)/導孔(via)、互連金屬層、介電層、鈍化層...等。
在本實施例中,第一金屬層係於非晶層之再結晶步驟之前形成,因而可能增加源極/汲極結構中之堆疊密度(降低鰭間距(pitch)/空隙)。此外,藉由使用固相磊晶,再結晶層實質上均勻地成長於露出之鰭結構的頂面及側面上。再結晶層完整覆蓋露出之鰭結構,矽化物層亦完整覆蓋再結晶層,因此,源極/汲極接點插塞可覆蓋整個鰭結構之源極/汲極區域。據此,可降低源極/汲極金屬電極層與源極/汲極區域之間的接觸電阻(contact resistance)。
第12-14圖係為根據本揭露之第二實施例所繪示之半導體場效電晶體裝置之連續製造步驟中之各階段之例示性的示意圖。前述第一實施例之配置、材料、及/或製程可應用於第二實施例,其詳細內容不再贅述。
在第二實施例中,使用不只一種金屬材料來填充空隙105。在形成第4圖的結構之後,形成較薄之第三金屬層112,然後形成第一金屬層110,後續再進行平坦化步驟,因而形成第12圖中所示之結構。第三金屬層112之金屬材料不同於第一金屬層之金屬材料。第三金屬層112包括一或多個以下之材料:Co、Ti、W、或Ni、或其他適當之金屬材料。可以化學氣相沉積法、物理氣相沉積法、原子層沉積法、或其他適當之技術形成第三金屬層。第三金屬層112係為具有較低之矽化物形成溫度之金屬且其形成之矽化物之品質高於第一金屬層110所形成之矽化物。當第一金屬層110為W的時候,n型場效電晶 體之第三金屬層112為Ni及/或Ti,p型場效電晶體之第三金屬層112為Ni、Ti、及/或Ta。在一些實施例中,第三金屬層112之厚度大約為0.5nm至3nm且小於第一金屬層之厚度。
在第一及第三金屬層之平坦化步驟後,進行第6-9圖所述之製造步驟。
接著,如第13圖所示,形成第四金屬層142並進一步形成第二金屬層140於第四金屬層142之上。第四金屬層142係為具有較低之矽化物形成溫度之金屬且其形成之矽化物之品質高於第一金屬層及/或第二金屬層所形成之矽化物。第二金屬層140之材料的電阻低於第三及/或第四金屬層的電阻。在本實施例中,第二金屬層140之金屬材料與第一金屬層110相同,且第三金屬層112之金屬材料與第四金屬層142相同。在其他實施例中,使用相異之金屬材料。在一些實施例中,第四金屬層142之厚度約為0.5nm至3nm。
接著,如第14圖所示,以類似於第11圖所述之熱處理步驟形成矽化物層152於再結晶層130與第三及第四金屬層112及142之間。
在第二實施例中,藉由使用具有較低矽化物形成溫度之金屬材料、以及形成高品質之矽化物作為矽化物源極(例如:第三及第四金屬層)、以及使用具有低電阻之金屬材料作為覆蓋上述矽化物層之接點金屬層(例如:第一及第二金屬層),可能降低整體製程溫度及熱預算而仍於源極/汲極達到良好之電導率(electrical conductivity)。特別地,可降低至源極/汲極接點插塞之接觸電阻。
第15及16圖係為根據本揭露之第三實施例所繪示之半導體場效電晶體裝置之連續製造步驟中之各階段之例示性的示意圖。前述第一實施例及第二實施例之配置、材料、及/或製程可應用於第三實施例,其詳細內容不再贅述。
類似於第二實施例,如第12圖所示,形成兩金屬層110及112。在第一及第三金屬層之平坦化步驟後,進行第6-9圖所述之製造步驟。
接著,如第15圖所示,形成第二金屬層140而未形成第四金屬層。第二金屬層140之材料的電阻低於第三金屬層112的電阻。在本實施例中,第二金屬層140之金屬材料與第一金屬層110相同。在其他實施例中,使用相異之金屬材料。
接下來,如第16圖所示,以類似於第11圖所描述之熱處理步驟形成下方矽化物層154於再結晶層130及第三金屬層112之間以及形成上方矽化物層156於再結晶層130及第二金屬層140之間。
在第三實施例中,可具有類似於第二實施例之優點。相較於實施例二,在第三實施例中可更進一步降低至源極/汲極接點插塞之接觸電阻。雖然上方矽化物層156之品質可能會低於下方矽化物層154,上方矽化物層156之面積/體積遠小於下方矽化物層154,因此上方矽化物層156對於源極/汲極結構之電性的影響有限。
第17A-17H圖係為根據本揭露之第四實施例所繪示之半導體場效電晶體裝置之連續製造步驟中之各階段之例示性的示意圖。在第四實施例中,形成一個鰭結構之源極/汲 極結構。前述第一實施例至第三實施例之配置、材料、及/或製程可應用於第四實施例,其詳細內容不再贅述。
在第17A圖中,類似於第4圖,形成間隔物層25於源極/汲極區中之鰭結構20之側壁上。
在第17B圖中,類似於第5圖,形成第一金屬層65於間隔物層25之側壁上。
在第17C圖中,類似於第6圖,移除間隔物層25。
在第17D圖中,類似於第7圖,形成非晶層70。
在第17E圖中,類似於第8圖,以熱處理再結晶非晶層70之與鰭結構20接觸之部分而形成再結晶層75。
如第17F圖所示,類似於第9圖,移除剩餘(未被使用)之非晶層70。
如第17G圖所示,類似於第10圖,形成第二金屬層80。
如第17H圖所示,類似於第11圖,以熱處理步驟形成矽化物層90。以適當之蝕刻步驟(包括:濕及/或乾蝕刻)移除形成於隔離絕緣層50之表面上之第二金屬層80。
應可理解的是,並非已於此詳加說明所有優點。 並非所有實施例或例子須共同具備特定的優點。其他實施例或例子可提供不同的優點。
根據本揭露的一個面向,一種半導體裝置之製造方法包括形成第一鰭結構及第二鰭結構於基板上。上述第一鰭結構及第二鰭結構延伸於第一方向且由一隔離絕緣層突起。形成閘極結構於部分之第一及第二鰭結構上。上述閘極結構延伸 於與第一方向垂直之第二方向。形成側壁間隔物於第一及第二鰭結構各自未被閘極結構覆蓋之兩主要側表面上。形成第一金屬層於上述側壁間隔物上,以填充第一鰭結構及第二鰭結構之間的空隙。在形成第一金屬層之後,移除上述側壁間隔物。在移除上述側壁間隔物之後,形成接觸上述鰭結構之非晶層。再結晶部分之鰭結構上之非晶層以形成再結晶層。移除未再結晶之剩餘非晶層。在移除剩餘非晶層之後,形成第二金屬層。以再結晶層與第一金屬層及第二金屬層之間之矽化反應形成矽化物層。
根據本揭露的另一個面向,一種半導體裝置之製造方法包括形成第一鰭結構及第二鰭結構於基板上。上述第一鰭結構及第二鰭結構延伸於第一方向且由一隔離絕緣層突起。形成閘極結構於部分之第一及第二鰭結構上。上述閘極結構延伸於與第一方向垂直之第二方向。形成側壁間隔物於第一及第二鰭結構各自未被上述閘極結構覆蓋之兩主要側表面上。形成第一金屬層於上述側壁間隔物上以及形成第二金屬層於第一金屬層上,以填充第一鰭結構及該第二鰭結構之間的空隙。在形成第一金屬層及第二金屬層之後,移除上述側壁間隔物。在移除上述側壁間隔物之後,形成接觸上述鰭結構之非晶層。再結晶部分之上述鰭結構上之非晶層以形成再結晶層。移除未再結晶之剩餘非晶層。在移除剩餘非晶層之後,形成第三金屬層。以再結晶層與第一金屬層及第三金屬層之間之矽化反應形成矽化物層。
根據本揭露的另一個面向,一種半導體裝置包括 設置於基板之上之鰭結構、閘極結構及源極。上述鰭結構包括從隔離絕緣層露出之上層。上述閘極結構設置於部分上述鰭結構上層之上。上述源極包括未被上述閘極結構覆蓋之鰭結構之上層。上述源極之鰭結構之上層係被結晶半導體層覆蓋。上述結晶半導體層係被由矽及第一金屬元素所形成之矽化物層覆蓋。上述矽化物層係被第一金屬層覆蓋。由第一金屬元素所形成之第二金屬層設置於第一金屬層及隔離絕緣層之間。
上述內容概述許多實施例的特徵,因此任何所屬技術領域中具有通常知識者,可更加理解本揭露之各面向。任何所屬技術領域中具有通常知識者,可能無困難地以本揭露為基礎,設計或修改其他製程及結構,以達到與本揭露實施例相同的目的及/或得到相同的優點。任何所屬技術領域中具有通常知識者也應了解,在不脫離本揭露之精神和範圍內做不同改變、代替及修改,如此等效的創造並沒有超出本揭露的精神及範圍。
10‧‧‧基板
20‧‧‧鰭結構
50‧‧‧隔離絕緣層
110‧‧‧第一金屬層
130‧‧‧再結晶層
140‧‧‧第二金屬層
150‧‧‧矽化物層

Claims (13)

  1. 一種半導體裝置之製造方法,包括:形成一第一鰭結構及一第二鰭結構於一基板上,該第一鰭結構及該第二鰭結構延伸於一第一方向且由一隔離絕緣層突起;形成一閘極結構於部分之該第一及第二鰭結構上,該閘極結構延伸於與該第一方向垂直之一第二方向;形成側壁間隔物於該第一及第二鰭結構各自未被該閘極結構覆蓋之兩主要側表面上;形成第一金屬層於該側壁間隔物上,以填充該第一鰭結構及該第二鰭結構之間的一空隙;在形成該第一金屬層之後,移除該側壁間隔物;在移除該側壁間隔物之後,形成接觸該鰭結構之一非晶層;再結晶(recrystallize)部分之該鰭結構上之非晶層以形成一再結晶層;移除未再結晶之一剩餘非晶層;在移除該剩餘非晶層之後,形成一第二金屬層;以及以該再結晶層與該第一金屬層及第二金屬層之間之一矽化反應形成矽化物層。
  2. 如申請專利範圍第1項所述之半導體裝置之製造方法,其中該第一金屬層包括一或多個之下列材料:鎢、鈷、鈦及鎳;其中,該第二金屬層包括一或多個之下列材料:鎢、鈷、鈦及鎳; 其中,該第二金屬層係由與該第一金屬層相同之材料形成。
  3. 如申請專利範圍第2項所述之半導體裝置之製造方法,其中未被該閘極結構覆蓋之該鰭結構之一上層之整個頂表面及側表面係被該再結晶層覆蓋。
  4. 如申請專利範圍第1項所述之半導體裝置之製造方法,其中該非晶層包括非晶矽。
  5. 如申請專利範圍第4項所述之半導體裝置之製造方法,其中以2×1020cm-3至1×1021cm-3之雜質數量摻雜該非晶矽。
  6. 如申請專利範圍第1項所述之半導體裝置之製造方法,其中形成該再結晶層之步驟係在500℃至650℃之溫度下進行。
  7. 一種半導體裝置之製造方法,包括:形成一第一鰭結構及一第二鰭結構於一基板上,該第一鰭結構及該第二鰭結構延伸於一第一方向且由一隔離絕緣層突起;形成一閘極結構於部分之該第一及第二鰭結構上,該閘極結構延伸於與該第一方向垂直之一第二方向;形成側壁間隔物於該第一及第二鰭結構各自未被該閘極結構覆蓋之兩主要側表面上;形成第一金屬層於該側壁間隔物上以及形成第二金屬層於該第一金屬層上,以填充該第一鰭結構及該第二鰭結構之間的一空隙;在形成該第一金屬層及第二金屬層之後,移除該側壁間隔物; 在移除該側壁間隔物之後,形成接觸該鰭結構之一非晶層;再結晶部分之該鰭結構上之非晶層以形成一再結晶層;移除未再結晶之一剩餘非晶層;在移除該剩餘非晶層之後,形成一第三金屬層;以及以該再結晶層與該第一金屬層及第三金屬層之間之一矽化反應形成矽化物層。
  8. 如申請專利範圍第7項所述之半導體裝置之製造方法,其中該第一金屬層包括一金屬材料,該金屬材料之矽化物形成溫度低於該第二金屬層之金屬材料。
  9. 如申請專利範圍第7項所述之半導體裝置之製造方法,其中該第一金屬層包括至少一個下列材料:鎳、鈦及鉭,且該第二金屬層包括鎢;其中,該第三金屬層係由與該第一金屬層相同之材料形成。
  10. 如申請專利範圍第7項所述之半導體裝置之製造方法,其中該第三金屬層係由與該第二金屬層相同之材料形成。
  11. 如申請專利範圍第7項所述之半導體裝置之製造方法,更包括:在形成該第三金屬層之後及形成該矽化物層之前,形成一第四金屬材料於該第三金屬層之上;其中,該第一金屬層包括一金屬材料,該金屬材料之矽化物形成溫度低於該第二金屬層之金屬材料;其中,該第一金屬層及該第三金屬層係由相同之金屬材料形成,且該第二金屬層及該第四金屬層係由相同之金屬材料形成。
  12. 如申請專利範圍第11項所述之半導體裝置之製造方法,其中該第一金屬層及該第三金屬層係由至少一個下列材料形成:鎳、鈦及鉭,且該第二金屬層及該第四金屬層係由鎢形成。
  13. 一種半導體裝置,包括:一鰭結構設置於一基板之上,該鰭結構包括從一隔離絕緣層露出之一上層;一閘極結構設置於部分該鰭結構之上層之上;以及一源極,包括未被該閘極結構覆蓋之該鰭結構之上層;其中,該源極之該鰭結構之上層係被一結晶半導體層覆蓋;該結晶半導體層係被由矽及一第一金屬元素所形成之一矽化物層覆蓋;該矽化物層係被一第一金屬層覆蓋;以及由該第一金屬元素所形成之一第二金屬層設置於該第一金屬層及該隔離絕緣層之間。
TW105134959A 2015-12-30 2016-10-28 半導體裝置及其製造方法 TWI628722B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562273361P 2015-12-30 2015-12-30
US62/273,361 2015-12-30
US15/058,672 US9653604B1 (en) 2015-12-30 2016-03-02 Semiconductor device and manufacturing method thereof
US15/058,672 2016-03-02

Publications (2)

Publication Number Publication Date
TW201735190A true TW201735190A (zh) 2017-10-01
TWI628722B TWI628722B (zh) 2018-07-01

Family

ID=58671154

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105134959A TWI628722B (zh) 2015-12-30 2016-10-28 半導體裝置及其製造方法

Country Status (3)

Country Link
US (5) US9653604B1 (zh)
CN (1) CN107068755B (zh)
TW (1) TWI628722B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI680569B (zh) * 2019-01-04 2019-12-21 旺宏電子股份有限公司 半導體結構及其形成方法
TWI730478B (zh) * 2018-10-31 2021-06-11 台灣積體電路製造股份有限公司 製造半導體元件之方法以及半導體元件
TWI797398B (zh) * 2018-11-30 2023-04-01 台灣積體電路製造股份有限公司 製造半導體裝置的方法以及半導體裝置

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9653604B1 (en) 2015-12-30 2017-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10700181B2 (en) * 2016-11-28 2020-06-30 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (finFET) device structure and method for forming the same
US10147651B1 (en) * 2017-05-12 2018-12-04 International Business Machines Corporation Fabrication of fin field effect transistor complementary metal-oxide-semiconductor devices with uniform hybrid channels
FR3069952B1 (fr) 2017-08-07 2019-08-30 Commissariat A L'energie Atomique Et Aux Energies Alternatives Realisation d'un transistor a structure de canal et regions de source et de drain en semi-metal
US10106892B1 (en) * 2017-08-31 2018-10-23 Globalfoundries Inc. Thermal oxide equivalent low temperature ALD oxide for dual purpose gate oxide and method for producing the same
CN109786248B (zh) * 2017-11-13 2022-02-22 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US11355339B2 (en) * 2018-06-29 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Forming nitrogen-containing layers as oxidation blocking layers
US11410889B2 (en) * 2019-12-31 2022-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050156208A1 (en) 2003-09-30 2005-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Device having multiple silicide types and a method for its fabrication
TWI267951B (en) * 2004-09-30 2006-12-01 Taiwan Semiconductor Mfg A device having multiple silicide types and a method for its fabrication
JP5010310B2 (ja) * 2007-02-28 2012-08-29 株式会社東芝 半導体装置の製造方法および半導体装置
US8487378B2 (en) 2011-01-21 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Non-uniform channel junction-less transistor
US8887106B2 (en) 2011-12-28 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of generating a bias-adjusted layout design of a conductive feature and method of generating a simulation model of a predefined fabrication process
US20130200455A1 (en) * 2012-02-08 2013-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Dislocation smt for finfet device
CN102646599B (zh) * 2012-04-09 2014-11-26 北京大学 一种大规模集成电路中FinFET的制备方法
US8729634B2 (en) 2012-06-15 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with high mobility and strain channel
US8703556B2 (en) * 2012-08-30 2014-04-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US8772109B2 (en) * 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
CN103855022B (zh) * 2012-12-04 2017-06-13 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管的形成方法
KR102049774B1 (ko) * 2013-01-24 2019-11-28 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US8826213B1 (en) 2013-03-11 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Parasitic capacitance extraction for FinFETs
US8943455B2 (en) 2013-03-12 2015-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for layout verification for polysilicon cell edge structures in FinFET standard cells
US9293534B2 (en) * 2014-03-21 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of dislocations in source and drain regions of FinFET devices
US9293466B2 (en) * 2013-06-19 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded SRAM and methods of forming the same
US9023697B2 (en) * 2013-08-08 2015-05-05 International Business Machines Corporation 3D transistor channel mobility enhancement
US9214556B2 (en) * 2013-08-09 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned dual-metal silicide and germanide formation
US9543167B2 (en) * 2014-07-15 2017-01-10 Globalfoundries Inc. FinFET source-drain merged by silicide-based material
KR102246880B1 (ko) * 2015-02-10 2021-04-30 삼성전자 주식회사 집적회로 소자 및 그 제조 방법
US9324656B1 (en) * 2015-03-09 2016-04-26 Globalfoundries Inc. Methods of forming contacts on semiconductor devices and the resulting devices
US10062779B2 (en) * 2015-05-22 2018-08-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9634141B1 (en) * 2015-10-14 2017-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Interlayer dielectric film in semiconductor devices
US9755047B2 (en) * 2015-10-27 2017-09-05 United Microelectronics Corp. Semiconductor process and semiconductor device
US9653604B1 (en) * 2015-12-30 2017-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10249502B2 (en) * 2016-01-22 2019-04-02 International Business Machines Corporation Low resistance source drain contact formation with trench metastable alloys and laser annealing

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI730478B (zh) * 2018-10-31 2021-06-11 台灣積體電路製造股份有限公司 製造半導體元件之方法以及半導體元件
US11069534B2 (en) 2018-10-31 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor devices and semiconductor devices
TWI797398B (zh) * 2018-11-30 2023-04-01 台灣積體電路製造股份有限公司 製造半導體裝置的方法以及半導體裝置
TWI680569B (zh) * 2019-01-04 2019-12-21 旺宏電子股份有限公司 半導體結構及其形成方法

Also Published As

Publication number Publication date
US9875902B2 (en) 2018-01-23
US20200350173A1 (en) 2020-11-05
CN107068755B (zh) 2019-12-27
US10714349B2 (en) 2020-07-14
TWI628722B (zh) 2018-07-01
US9653604B1 (en) 2017-05-16
US20170221717A1 (en) 2017-08-03
US20190228977A1 (en) 2019-07-25
US11239084B2 (en) 2022-02-01
US20180102252A1 (en) 2018-04-12
US10269572B2 (en) 2019-04-23
CN107068755A (zh) 2017-08-18

Similar Documents

Publication Publication Date Title
US11239084B2 (en) Semiconductor device and manufacturing method thereof
TWI572035B (zh) 半導體裝置及其製造方法
TWI588907B (zh) 包括鰭結構之半導體裝置及其製造方法
TWI582998B (zh) 半導體裝置及其製造方法
CN107887428B (zh) 半导体器件及其制造方法
TWI579930B (zh) 半導體裝置與其形成方法
TW202029350A (zh) 製造半導體裝置的方法以及半導體裝置
TWI794900B (zh) 形成半導體裝置的方法
TWI564970B (zh) 半導體裝置及其製造方法
US20210351041A1 (en) Method of manufacturing semiconductor devices and semiconductor devices
US11177358B2 (en) Semiconductor device including source/drain epitaxial layer having facets and manufacturing method thereof
CN111128744A (zh) 半导体装置的制造方法
CN111261703A (zh) 半导体器件及制造方法
US11133223B2 (en) Selective epitaxy
US20230223253A1 (en) Method of manufacturing semiconductor devices and semiconductor devices
US11271096B2 (en) Method for forming fin field effect transistor device structure
US20230047598A1 (en) Semiconductor devices and methods of manufacture
US20220102494A1 (en) Gate Oxide of Nanostructure Transistor with Increased Corner Thickness
KR20220043834A (ko) 집적 회로 구조체 및 그 제조 방법