US20130200455A1 - Dislocation smt for finfet device - Google Patents

Dislocation smt for finfet device Download PDF

Info

Publication number
US20130200455A1
US20130200455A1 US13/369,116 US201213369116A US2013200455A1 US 20130200455 A1 US20130200455 A1 US 20130200455A1 US 201213369116 A US201213369116 A US 201213369116A US 2013200455 A1 US2013200455 A1 US 2013200455A1
Authority
US
United States
Prior art keywords
fin structure
dislocation
substrate
semiconductor device
region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US13/369,116
Inventor
Wen-Cheng Lo
Sun-Jay Chang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US13/369,116 priority Critical patent/US20130200455A1/en
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHANG, SUN-JAY, LO, WEN-CHENG
Priority to KR1020120081251A priority patent/KR101393134B1/en
Priority to CN201210270537.0A priority patent/CN103247535B/en
Priority to TW102102789A priority patent/TWI498950B/en
Publication of US20130200455A1 publication Critical patent/US20130200455A1/en
Priority to US14/632,489 priority patent/US9570587B2/en
Priority to US15/430,063 priority patent/US10727319B2/en
Priority to US16/939,609 priority patent/US11532730B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7847Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate using a memorization technique, e.g. re-crystallization under strain, bonding on a substrate having a thermal expansion coefficient different from the one of the region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection

Definitions

  • a typical FinFET is fabricated with a thin “fin” (or fin structure) extending from a substrate, for example, etched into a silicon layer of the substrate.
  • the channel of the FET is formed in this vertical fin.
  • a gate is provided over (e.g., wrapping) the fin. It is beneficial to have a gate on both sides of the channel allowing gate control of the channel from both sides. Advantages of FinFET devices include reducing the short channel effect and higher current flow.
  • SMTs stress-memorization techniques
  • nMOS devices By carefully controlling the amorphization and re-crystallization of a planar device channel, the effects of a stress force applied to the device will remain even after the stressor is removed. The stress effects improve charge mobility through the channel, thereby improving device performance. What is needed is a method of applying SMTs to three-dimensional devices to obtain similar improvements in device performance.
  • FIG. 1 is a flowchart of a method for performing a stress-memorization technique on a FinFET precursor according to aspects of the present disclosure.
  • FIGS. 2 a and 2 b are diagrammatic perspective views of a FinFET precursor undergoing processes according to an embodiment of the present disclosure.
  • FIGS. 3 a and 3 b are diagrammatic cross-sectional views of a FinFET precursor undergoing processes according to an embodiment of the present disclosure.
  • FIG. 4 is a diagrammatic cross-sectional view of a FinFET precursor undergoing processes according to an embodiment of the present disclosure.
  • FIGS. 5 a and 5 b are a diagrammatic perspective view and a diagrammatic cross-sectional view, respectively, of a FinFET precursor undergoing processes according to an embodiment of the present disclosure.
  • FIGS. 6 a and 6 b are a diagrammatic perspective view and a diagrammatic cross-sectional view, respectively, of a FinFET precursor undergoing processes according to an embodiment of the present disclosure.
  • FIGS. 7 a and 7 b are a diagrammatic perspective view and a diagrammatic cross-sectional view, respectively, of a FinFET precursor undergoing processes according to an embodiment of the present disclosure.
  • FIG. 8 is a diagrammatic perspective view of a FinFET precursor undergoing processes according to an embodiment of the present disclosure.
  • FIG. 9 is a diagrammatic perspective view of a FinFET precursor undergoing processes according to an embodiment of the present disclosure.
  • FIG. 10 is a diagrammatic cross-sectional view of a FinFET precursor undergoing processes according to an embodiment of the present disclosure.
  • the present disclosure relates generally to IC device manufacturing, and more particularly, to a procedure for performing a stress memorization technique (SMT) on a FinFET and to the resulting device.
  • SMT stress memorization technique
  • first and second features are formed in direct contact
  • additional features may be formed between the first and second features, such that the first and second features may not be in direct contact
  • present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • spatially relative terms such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures.
  • the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. For example, if the device in the figures is turned over, elements described as being “below” or “beneath” other elements or features would then be oriented “above” the other elements or features.
  • the exemplary term “below” can encompass both an orientation of above and below.
  • the apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
  • FIG. 1 is a flowchart of a method for performing a stress-memorization technique on a FinFET according to aspects of the present disclosure.
  • FIGS. 2 a , 2 b , 5 a , 6 a , 7 a , 8 , and 9 are diagrammatic perspective views of a FinFET precursor according to embodiments of the present disclosure.
  • FIGS. 3 a , 3 b , 4 , 5 b , 6 b , 7 b , and 10 are sectional views of a FinFET precursor according to embodiments of the present disclosure.
  • the method 100 and the FinFET precursor 200 are collectively described with reference to FIG. 1 through FIG. 10 . It is understood that additional steps can be provided before, during, and after the method 100 , and some of the steps described can be replaced or eliminated for other embodiments of the method.
  • the method 100 begins at block 102 where a FinFET precursor 200 suitable for a stress-memorization technique (SMT) is received.
  • the precursor 200 includes a substrate 202 .
  • the substrate 202 may be a bulk silicon substrate.
  • the substrate 202 may comprise an elementary semiconductor, such as silicon or germanium in a crystalline structure; a compound semiconductor, such as silicon germanium, silicon carbide, gallium arsenic, gallium phosphide, indium phosphide, indium arsenide, and/or indium antimonide; or combinations thereof.
  • Possible substrates 202 also include a silicon-on-insulator (SOI) substrate. SOI substrates are fabricated using separation by implantation of oxygen (SIMOX), wafer bonding, and/or other suitable methods.
  • SIMOX separation by implantation of oxygen
  • Some exemplary substrates 202 include an insulator layer.
  • the insulator layer comprises any suitable material, including silicon oxide, sapphire, other suitable insulating materials, and/or combinations thereof.
  • An exemplary insulator layer may be a buried oxide layer (BOX).
  • the insulator is formed by any suitable process, such as implantation (e.g., SIMOX), oxidation, deposition, and/or other suitable process.
  • the insulator layer is a component (e.g., layer) of a silicon-on-insulator substrate.
  • the substrate 202 may include various doped regions depending on design requirements as known in the art (e.g., p-type wells or n-type wells).
  • the doped regions are doped with p-type dopants, such as boron or BF 2 ; n-type dopants, such as phosphorus or arsenic; or combinations thereof.
  • the doped regions may be formed directly on the substrate 202 , in a P-well structure, in an N-well structure, in a dual-well structure, or using a raised structure.
  • the semiconductor substrate 202 may further include various active regions, such as regions configured for an N-type metal-oxide-semiconductor transistor device and regions configured for a P-type metal-oxide-semiconductor transistor device.
  • a fin structure 204 is formed on the substrate 202 .
  • the precursor 200 comprises more than one fin structures 204 .
  • the fin structure 204 is formed by any suitable process including various deposition, photolithography, and/or etching processes.
  • An exemplary photolithography process includes forming a photoresist layer (resist) overlying the substrate (e.g., on a silicon layer), exposing the resist to a pattern, performing a post-exposure bake process, and developing the resist to form a masking element including the resist. The masking element is then used to etch the fin structure into the silicon layer. Area not protected by the masking element is etched using reactive ion etching (RIE) processes and/or other suitable processes.
  • RIE reactive ion etching
  • the silicon fin 204 is formed by patterning and etching a portion of the silicon substrate 202 .
  • the fin structure 204 is formed by patterning and etching a silicon layer deposited overlying an insulator layer (for example, an upper silicon layer of a silicon-insulator-silicon stack of an SOI substrate).
  • the fin structure 204 can be formed by a double-patterning lithography (DPL) process.
  • DPL is a method of constructing a pattern on a substrate by dividing the pattern into two interleaved patterns. DPL allows enhanced feature (e.g., fin) density.
  • DPL methodologies include double exposure (e.g., using two mask sets), forming spacers adjacent features and removing the features to provide a pattern of spacers, resist freezing, and/or other suitable processes. It is understood that multiple parallel fin structures 204 may be formed in a similar manner.
  • Suitable materials for forming the fin structure 204 include silicon and silicon germanium.
  • the fin structure 204 includes a capping layer disposed on the fins, such as a silicon capping layer.
  • the fin structure 204 may also include various doped regions.
  • various doped regions can comprise lightly doped source/drain (LDD) regions and source/drain (S/D) regions (also referred to as heavily doped S/D regions).
  • An implantation process i.e., a junction implant
  • the implantation process utilizes any suitable doping species.
  • the doping species may depend on the type of device being fabricated, such as an NMOS or PMOS device.
  • the S/D regions are doped with p-type dopants, such as boron or BF 2 ; n-type dopants, such as phosphorus or arsenic; and/or combinations thereof.
  • the S/D regions may comprise various doping profiles.
  • One or more annealing processes may be performed to activate the S/D regions.
  • the annealing processes comprise rapid thermal annealing (RTA) and/or laser annealing processes.
  • Exemplary isolation regions 206 are formed on the substrate 202 to isolate active regions of the substrate 202 .
  • the isolation region 206 utilizes isolation technology, such as shallow trench isolation (STI), to define and electrically isolate the various regions.
  • the isolation region 206 comprises silicon oxide, silicon nitride, silicon oxynitride, an air gap, other suitable materials, or combinations thereof.
  • the isolation region 206 is formed by any suitable process.
  • the formation of an STI includes a photolithography process, etching a trench in the substrate (for example, by using a dry etching and/or wet etching), and filling the trench (for example, by using a chemical vapor deposition process) with one or more dielectric materials.
  • the trenches may be partially filled, as in the present embodiment, where the substrate remaining between trenches forms a fin structure.
  • the filled trench may have a multi-layer structure such as a thermal oxide liner layer filled with silicon nitride or silicon oxide.
  • the gate structure 208 comprises a gate stack and may include a sealing layer and other suitable structures.
  • the gate stack has an interfacial layer 210 , a gate dielectric layer 212 , a gate electrode layer 214 , and a hard mask layer 216 . It is understood that the gate stack may comprise additional layers such as interfacial layers, capping layers, diffusion/barrier layers, dielectric layers, conductive layers, other suitable layers, and/or combinations thereof.
  • the interfacial layer 210 of the gate structure 208 is formed over the substrate 202 and fin structure 204 .
  • the interfacial layer 210 is formed by any suitable process to any suitable thickness.
  • An exemplary interfacial layer 210 includes silicon oxide (e.g., thermal oxide or chemical oxide) and/or silicon oxynitride (SiON).
  • the gate dielectric layer 212 is formed over the interfacial layer 210 by any suitable process.
  • the gate dielectric layer 212 comprises a dielectric material, such as silicon oxide, silicon nitride, silicon oxynitride, high-k dielectric material, other suitable dielectric material, and/or combinations thereof.
  • high-k dielectric material includes HfO 2 , HfSiO, HfSiON, HfTaO, HfTiO, HfZrO, zirconium oxide, aluminum oxide, hafnium dioxide-alumina (HfO 2 —Al 2 O 3 ) alloy, other suitable high-k dielectric materials, and/or combinations thereof.
  • the gate electrode layer 214 is formed over the gate dielectric layer 212 by any suitable process.
  • the gate electrode layer 214 includes any suitable material, such as polysilicon, aluminum, copper, titanium, tantulum, tungsten, molybdenum, tantalum nitride, nickel silicide, cobalt silicide, TiN, WN, TiAl, TiAlN, TaCN, TaC, TaSiN, metal alloys, other suitable materials, and/or combinations thereof.
  • the hard mask layer 216 is formed over the gate electrode layer 214 by any suitable process.
  • the hard mask layer 216 comprises any suitable material, for example, silicon nitride, SiON, SiC, SiOC, spin-on glass (SOG), a low-k film, tetraethylorthosilicate (TEOS), plasma enhanced CVD oxide (PE-oxide), high-aspect-ratio-process (HARP) formed oxide, and/or other suitable material.
  • the gate stack of the gate structure 208 is formed by any suitable process or processes.
  • the gate stack can be formed by a procedure including deposition, photolithography patterning, and etching processes.
  • the deposition processes include chemical vapor deposition (CVD), physical vapor deposition (PVD), atomic layer deposition (ALD), high density plasma CVD (HDPCVD), metal organic CVD (MOCVD), remote plasma CVD (RPCVD), plasma enhanced CVD (PECVD), plating, other suitable methods, and/or combinations thereof.
  • the photolithography patterning processes include photoresist coating (e.g., spin-on coating), soft baking, mask aligning, exposure, post-exposure baking, developing the photoresist, rinsing, drying (e.g., hard baking), other suitable processes, and/or combinations thereof.
  • the photolithography exposing process is implemented or replaced by other proper methods such as maskless photolithography, electron-beam writing, and ion-beam writing.
  • the etching processes include dry etching, wet etching, and/or other etching methods (e.g., reactive ion etching).
  • the gate structure 208 may further include a gate spacer 218 .
  • the gate spacers 218 which are positioned on each side of the gate stack (on the sidewalls of the gate stack), may comprise a dielectric material, such as silicon nitride, silicon carbide, silicon oxynitride, other suitable materials, and/or combinations thereof.
  • the gate spacers 218 are used to offset subsequently formed doped regions, such as source/drain regions.
  • the gate spacers 218 may further be used for designing or modifying the source/drain region (junction) profile.
  • the FinFET precursor 200 includes a substrate 202 with an elevated device body 220 formed over the surface of the substrate.
  • the elevated device body has source/drain regions 222 .
  • a source/drain region 222 is a source region
  • another source/drain region 222 is a drain region.
  • a gate region 224 is located between the source/drain regions 222 .
  • a gate structure 208 is formed over the gate region 224 of the elevated device body 220 . In FIG. 2 b , one gate structure 208 is not illustrated to better show the underlying gate region 224 .
  • Isolation regions 206 separate the elevated device bodies 220 from one another.
  • the FinFET precursor 200 undergoes a stress-memorization technique (SMT) in blocks 104 - 110 .
  • SMT stress-memorization technique
  • the SMT increases charge mobility through the channel. This leads to dramatic improvements in device performance. FinFETs having undergone SMT demonstrate higher drive strength for a given channel size and supply voltage.
  • the method involves forming an SMT capping layer on the FinFET precursor 200 .
  • the FinFET 200 undergoes a pre-amorphization implantation (PAI) which injects atoms into the fin structures 204 and disrupts the semiconductor lattice within the fin structures 204 .
  • a thermal annealing is performed for re-crystallization.
  • the SMT capping layer is removed, yet the fin structures 204 retain the stress effects caused by the SMT. These retained effects may be referred to as stress-memorization, thus giving SMT its name.
  • further FinFET manufacturing steps may be performed.
  • an SMT capping layer 300 is formed on the FinFET precursor 200 covering the fin structure 204 , the gate structure 208 , and, in some embodiments, an exposed portion of the isolation region 206 .
  • the capping layer 300 includes silicon nitride or other suitable materials such as silicon oxide.
  • the capping layer 300 may include a silicon nitride formed by a low pressure CVD (LPCVD), a silicon nitride formed by a plasma enhanced CVD (PECVD), tetraethyl orthosilicate formed by a CVD process, a silicon oxide formed by a high aspect ratio process (HARP), or another suitable material.
  • the capping layer 300 includes a thickness of about 230 angstroms. In another embodiment, the capping layer 300 has a thickness ranging between about 200 angstroms and about 2000 angstroms.
  • a pre- amorphization implantation is performed.
  • the PAI injects atoms into the fin structure 204 in the source/drain (S/D) regions.
  • energetic doping species such as Si, Ge, Ar, Xe, BF 2 , As, and/or In into the S/D regions.
  • the implantation damages the molecular lattice.
  • This creates an amorphous region 400 within the semiconductor material of the fin structure 204 down to a depth 402 .
  • the depth 402 is determined according to design specifications and can be controlled by the PAI process implant energy, implant species, implantation angle and/or implant dosage.
  • the fin structure 204 may undergo multiple implantations utilizing a variety of energies, species, angles and dosages.
  • germanium (Ge) is the species implanted, and the implantation energy ranges between about 25 KeV and about 30 KeV.
  • a patterned photoresist layer may be utilized to define where the amorphous region 400 is formed and to protect other regions of the FinFET 200 from implantation damage.
  • the patterned photoresist layer exposes the fin structures 204 , such that the source/drain regions are exposed to the PAI process (forming amorphous region 400 ) while the gate structure 208 (and other portions of the FinFET 200 ) are protected from the PAI process.
  • a patterned hard mask layer such as a SiN or SiON layer, is utilized to define the amorphous region 400 .
  • the patterned photoresist layer or the patterned hard mask layer may be the hard mask layer 216 . Reusing the hard mask layer 216 already in place may reduce cost and manufacturing time.
  • an annealing process is performed on the FinFET precursor 200 .
  • the annealing process retains the channel stress effects caused by the capping layer 300 even in the absence of the layer 300 .
  • the annealing process re-crystallizes the amorphous regions created during the PAI.
  • the stress during annealing prevents uniform crystal formation.
  • the re-crystallized region will contain irregularities such as regions that are locally uniform but exhibit misalignments with other regions. This misalignment may result in imperfections known as dislocations.
  • the annealing process may be a rapid thermal anneal (RTA) or a millisecond thermal anneal (MSA), such as a millisecond laser thermal anneal.
  • RTA rapid thermal annealing
  • MSA millisecond thermal anneal
  • the annealing process is implemented in a rapid thermal annealing (RTA) tool.
  • the annealing process is applied to the FinFET 200 with an annealing temperature ranging between about 2000° C. and about 1050° C.
  • the annealing process is applied to the semiconductor structure 200 with an annealing duration ranging between about 5 seconds and about 30 seconds.
  • the annealing process may include a long range pre-heat, which minimizes or even eliminates end of range (EOR) defects.
  • Suitable ranges for the long range pre-heat range from about 200° C. to about 700° C., and include other appropriate temperatures and ranges.
  • the long range pre-heat may be performed for about 50 to about 300 seconds.
  • the long range pre-heat has a temperature of about 550° C. for about 180 seconds.
  • the capping layer 300 is removed from the FinFET 200 .
  • the removal process may include a wet etching or a dry etching process.
  • the capping layer 300 is removed by an etching process involving phosphoric acid.
  • the silicon oxide is etched away by a hydrofluoric acid (HF) or buffered HF.
  • the silicon oxide capping layer 300 is removed by a CMP process. In some embodiments, it is beneficial to remove other layers, such as a mask layer, simultaneously while removing the capping layer 300 .
  • the fin structures 204 may contain dislocations in the semiconductor lattice. Dislocations begin at a pinchoff point 500 .
  • the depth and location of the pinchoff point 500 is set according to design specifications and is a function of the pre-amorphization implantation and the annealing process. From the pinchoff point, the dislocation propagates along one or more planes. For clarity in illustrating the planes, the capping layer 300 is not shown in FIGS. 5 a - 7 b.
  • plane 506 runs parallel to the longitudinal axis 502 of the fin structure 204 but is directed towards the surface of the substrate 202 .
  • plane 506 corresponds to a 111 Miller index.
  • plane 508 is similarly parallel to the longitudinal axis 502 of the fin structure 204 and directed towards the surface of the substrate 202 .
  • plane 508 intersects the surface of the substrate 202 at about a 55-degree angle.
  • a further exemplary plane is plane 600 , which is parallel to the surface of the substrate 202 and parallel to both the longitudinal axis 502 and transverse axis 504 .
  • Plane 700 lies parallel to the transverse axis 504 of the fin structure 204 but angles towards the surface of the substrate 202 .
  • These exemplary planes are not intended to be limiting, and a fin structure 204 may possess dislocations along any one or more of these planes.
  • secondary source/drain (S/D) regions may be formed.
  • the fin structure 204 may be partially removed as illustrated in FIG. 8 . Any suitable amount of material may be removed. However, the amount removed has an effect on the presence of memorized stress in the secondary source/drain regions that will be formed later. Thus, the depth can be tailored to create or remove desired stress effects and dislocations within the secondary S/D regions and to control other characteristics of the device channel.
  • Removing a portion of the fin structure 204 may include forming a photoresist layer or a capping layer (such as an oxide capping layer) over the FinFET precursor 200 , patterning the photoresist or capping layer to have openings that expose the S/D regions of the fin structure 204 , and etching back material from the fin structure 204 .
  • the fin structure 204 is etched by a dry etching process.
  • the etching process is a wet etching process, or combination dry and wet etching process. Removal may include a lithography process to facilitate the etching process.
  • the lithography process may include photoresist coating (e.g., spin-on coating), soft baking, mask aligning, exposure, post-exposure baking, developing the photoresist, rinsing, drying (e.g., hard baking), other suitable processes, or combinations thereof.
  • photoresist coating e.g., spin-on coating
  • soft baking mask aligning
  • exposure post-exposure baking
  • developing the photoresist rinsing
  • drying e.g., hard baking
  • the lithography process is implemented or replaced by other methods, such as maskless photolithography, electron-beam writing, and ion-beam writing.
  • the lithography process could implement nanoimprint technology.
  • secondary source/drain (S/D) regions 900 are formed over the S/D regions of the fin structure 204 .
  • the secondary S/D regions 900 may be formed by one or more epitaxy or epitaxial (epi) processes, such that Si features, SiGe features, and/or other suitable features can be formed in a crystalline state on the fin structure 204 .
  • the epitaxy processes include CVD deposition techniques (e.g., vapor-phase epitaxy (VPE) and/or ultra-high vacuum CVD (UHV-CVD)), molecular beam epitaxy, and/or other suitable processes.
  • the epitaxy process may use gaseous and/or liquid precursors, which interact with the composition of the fin structure 204 (e.g., silicon).
  • a strained channel can be achieved to increase carrier mobility and enhance device performance.
  • the secondary S/D regions 900 may be in-situ doped.
  • the doping species include p-type dopants, such as boron or BF 2 ; n-type dopants, such as phosphorus or arsenic; and/or other suitable dopants including combinations thereof.
  • a second implantation process i.e., a junction implant process
  • One or more annealing processes may be performed to activate the S/D regions 900 .
  • the annealing processes comprise rapid thermal annealing (RTA) and/or laser annealing processes.
  • the changes to the semiconductor lattice of the fin structure 204 caused by the stress-memory technique may propagate to the secondary S/D regions 900 which are formed on the fin structure 204 .
  • the S/D regions 900 may possess stress effects including dislocations along one or more planes as illustrated in FIG. 10 .
  • One exemplary plane is illustrated by plane 506 .
  • Plane 506 runs parallel to the longitudinal axis 502 of the fin structure 204 but is directed towards the surface of the substrate 202 .
  • plane 506 corresponds to a 111 Miller index. Such a plane 506 intersects the surface of the substrate 202 at about a 55-degree angle.
  • plane 508 is similarly parallel to the longitudinal axis 502 of the fin structure 204 and directed towards the surface of the substrate 202 . In some embodiments, plane 508 intersects the surface of the substrate 202 at about a 55-degree angle.
  • plane 600 is aligned parallel to the surface of the substrate 202 and parallel to both the longitudinal axis 502 and transverse axis 504 of the fin structure 204 .
  • Plane 700 lies parallel to the transverse axis 504 of the fin structure 204 but angles towards the surface of the substrate 202 .
  • These exemplary planes are not intended to be limiting, and a secondary S/D region 900 may possess dislocations along any one or more of these planes.
  • the FinFET 200 may undergo further CMOS or MOS technology processing to form various features known in the art.
  • a cleaning process may be performed to prepare the surface for S/D contact formation (e.g., S/D silicide formation).
  • Subsequent processing may form various contacts/vias/lines and multilayer interconnect features (e.g., metal layers and interlayer dielectrics) on the substrate 202 , configured to connect the various features or structures of the FinFET 200 .
  • the additional features may provide electrical interconnection to the device including the formed gate structures.
  • a multilayer interconnection includes vertical interconnects, such as conventional vias or contacts, and horizontal interconnects, such as metal lines.
  • the various interconnection features may implement various conductive materials including copper, tungsten, and/or silicide.
  • a damascene and/or dual damascene process is used to form a copper related multilayer interconnection structure.
  • the present invention provides a method for performing a stress memorization technique (SMT) on a FinFET and provides a FinFET with stress effects including multi-planar dislocations.
  • the method includes: receiving a FinFET precursor comprising: a substrate; a fin structure formed on the substrate; an isolation region formed on the substrate and isolating the fin structure; and a gate stack formed over a portion of the fin structure, thereby separating a source region of the fin structure from a drain region of the fin structure and creating a gate region therebetween; forming a stress-memorization technique (SMT) capping layer over at least a portion of each of the fin structures, the isolation region, and the gate stack; performing a pre-amorphization implant on the FinFET precursor by implanting an energetic doping species; performing an annealing process on the FinFET precursor; and removing the SMT capping layer.
  • SMT stress-memorization technique
  • the semiconductor device comprises: a substrate having a surface; a fin structure formed over the surface of the substrate, the fin structure having an elongated body, a longitudinal axis, and a transverse axis parallel to the surface of the substrate, wherein the fin structure has a dislocation; an isolation region formed on the surface of the substrate and isolating the fin structure; and a gate stack formed over a portion of the fin structure, thereby separating a source region of the fin structure and a drain region of the fin structure and creating gate region of the fin structure therebetween.
  • the semiconductor device comprises: a substrate having a surface; an elevated device body formed over the surface of the substrate, the elevated device body comprising a drain region, a source region, and a gate region located between the drain and source regions, wherein the elevated device body has a longitudinal axis and a transverse axis parallel to the surface of the substrate; a dislocation formed within the elevated device body; an isolation region formed on the surface of the substrate and isolating the elevated device body; and a gate stack formed over a portion of the gate region of the elevated device body.

Abstract

A method for performing a stress memorization technique (SMT) a FinFET and a FinFET having memorized stress effects including multi-planar dislocations are disclosed. An exemplary embodiment includes receiving a FinFET precursor with a substrate, a fin structure on the substrate, an isolation region between the fin structures, and a gate stack over a portion of the fin structure. The gate stack separates a source region of the fin structure from a drain region of the fin structure and creates a gate region between the two. The embodiment also includes forming a stress-memorization technique (SMT) capping layer over at least a portion of each of the fin structures, isolation regions, and the gate stack, performing a pre-amorphization implant on the FinFET precursor by implanting an energetic doping species, performing an annealing process on the FinFET precursor, and removing the SMT capping layer.

Description

    BACKGROUND
  • As the semiconductor industry has progressed into nanometer technology process nodes in pursuit of higher device density, higher performance, and lower costs, challenges from both fabrication and design issues have resulted in the development of three dimensional designs, such as a fin-like field effect transistor (FinFET). A typical FinFET is fabricated with a thin “fin” (or fin structure) extending from a substrate, for example, etched into a silicon layer of the substrate. The channel of the FET is formed in this vertical fin. A gate is provided over (e.g., wrapping) the fin. It is beneficial to have a gate on both sides of the channel allowing gate control of the channel from both sides. Advantages of FinFET devices include reducing the short channel effect and higher current flow.
  • Because of the complexity inherent in nonplanar devices, such as FinFETs, a number of techniques used in manufacturing planar transistors are not available in manufacturing nonplanar devices. For example, stress-memorization techniques (SMTs) are applied in high-performance environments to improve nMOS devices. By carefully controlling the amorphization and re-crystallization of a planar device channel, the effects of a stress force applied to the device will remain even after the stressor is removed. The stress effects improve charge mobility through the channel, thereby improving device performance. What is needed is a method of applying SMTs to three-dimensional devices to obtain similar improvements in device performance.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The present disclosure is best understood from the following detailed description when read with the accompanying figures. It is emphasized that, in accordance with the standard practice in the industry, various features are not drawn to scale and are used for illustration purposes only. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
  • FIG. 1 is a flowchart of a method for performing a stress-memorization technique on a FinFET precursor according to aspects of the present disclosure.
  • FIGS. 2 a and 2 b are diagrammatic perspective views of a FinFET precursor undergoing processes according to an embodiment of the present disclosure.
  • FIGS. 3 a and 3 b are diagrammatic cross-sectional views of a FinFET precursor undergoing processes according to an embodiment of the present disclosure.
  • FIG. 4 is a diagrammatic cross-sectional view of a FinFET precursor undergoing processes according to an embodiment of the present disclosure.
  • FIGS. 5 a and 5 b are a diagrammatic perspective view and a diagrammatic cross-sectional view, respectively, of a FinFET precursor undergoing processes according to an embodiment of the present disclosure.
  • FIGS. 6 a and 6 b are a diagrammatic perspective view and a diagrammatic cross-sectional view, respectively, of a FinFET precursor undergoing processes according to an embodiment of the present disclosure.
  • FIGS. 7 a and 7 b are a diagrammatic perspective view and a diagrammatic cross-sectional view, respectively, of a FinFET precursor undergoing processes according to an embodiment of the present disclosure.
  • FIG. 8 is a diagrammatic perspective view of a FinFET precursor undergoing processes according to an embodiment of the present disclosure.
  • FIG. 9 is a diagrammatic perspective view of a FinFET precursor undergoing processes according to an embodiment of the present disclosure.
  • FIG. 10 is a diagrammatic cross-sectional view of a FinFET precursor undergoing processes according to an embodiment of the present disclosure.
  • DETAILED DESCRIPTION
  • The present disclosure relates generally to IC device manufacturing, and more particularly, to a procedure for performing a stress memorization technique (SMT) on a FinFET and to the resulting device.
  • The following disclosure provides many different embodiments, or examples, for implementing different features of the invention. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • Further, spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. For example, if the device in the figures is turned over, elements described as being “below” or “beneath” other elements or features would then be oriented “above” the other elements or features. Thus, the exemplary term “below” can encompass both an orientation of above and below. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
  • FIG. 1 is a flowchart of a method for performing a stress-memorization technique on a FinFET according to aspects of the present disclosure. FIGS. 2 a, 2 b, 5 a, 6 a, 7 a, 8, and 9 are diagrammatic perspective views of a FinFET precursor according to embodiments of the present disclosure. FIGS. 3 a, 3 b, 4, 5 b, 6 b, 7 b, and 10 are sectional views of a FinFET precursor according to embodiments of the present disclosure. The method 100 and the FinFET precursor 200 are collectively described with reference to FIG. 1 through FIG. 10. It is understood that additional steps can be provided before, during, and after the method 100, and some of the steps described can be replaced or eliminated for other embodiments of the method.
  • The method 100 begins at block 102 where a FinFET precursor 200 suitable for a stress-memorization technique (SMT) is received. As illustrated in FIG. 2 a, the precursor 200 includes a substrate 202. The substrate 202 may be a bulk silicon substrate. Alternatively, the substrate 202 may comprise an elementary semiconductor, such as silicon or germanium in a crystalline structure; a compound semiconductor, such as silicon germanium, silicon carbide, gallium arsenic, gallium phosphide, indium phosphide, indium arsenide, and/or indium antimonide; or combinations thereof. Possible substrates 202 also include a silicon-on-insulator (SOI) substrate. SOI substrates are fabricated using separation by implantation of oxygen (SIMOX), wafer bonding, and/or other suitable methods.
  • Some exemplary substrates 202 include an insulator layer. The insulator layer comprises any suitable material, including silicon oxide, sapphire, other suitable insulating materials, and/or combinations thereof. An exemplary insulator layer may be a buried oxide layer (BOX). The insulator is formed by any suitable process, such as implantation (e.g., SIMOX), oxidation, deposition, and/or other suitable process. In some exemplary FinFET precursors 200, the insulator layer is a component (e.g., layer) of a silicon-on-insulator substrate.
  • The substrate 202 may include various doped regions depending on design requirements as known in the art (e.g., p-type wells or n-type wells). The doped regions are doped with p-type dopants, such as boron or BF2; n-type dopants, such as phosphorus or arsenic; or combinations thereof. The doped regions may be formed directly on the substrate 202, in a P-well structure, in an N-well structure, in a dual-well structure, or using a raised structure. The semiconductor substrate 202 may further include various active regions, such as regions configured for an N-type metal-oxide-semiconductor transistor device and regions configured for a P-type metal-oxide-semiconductor transistor device.
  • A fin structure 204 is formed on the substrate 202. In some embodiments, the precursor 200 comprises more than one fin structures 204. The fin structure 204 is formed by any suitable process including various deposition, photolithography, and/or etching processes. An exemplary photolithography process includes forming a photoresist layer (resist) overlying the substrate (e.g., on a silicon layer), exposing the resist to a pattern, performing a post-exposure bake process, and developing the resist to form a masking element including the resist. The masking element is then used to etch the fin structure into the silicon layer. Area not protected by the masking element is etched using reactive ion etching (RIE) processes and/or other suitable processes. In an example, the silicon fin 204 is formed by patterning and etching a portion of the silicon substrate 202. In another example, the fin structure 204 is formed by patterning and etching a silicon layer deposited overlying an insulator layer (for example, an upper silicon layer of a silicon-insulator-silicon stack of an SOI substrate). As an alternative to traditional photolithography, the fin structure 204 can be formed by a double-patterning lithography (DPL) process. DPL is a method of constructing a pattern on a substrate by dividing the pattern into two interleaved patterns. DPL allows enhanced feature (e.g., fin) density. Various DPL methodologies include double exposure (e.g., using two mask sets), forming spacers adjacent features and removing the features to provide a pattern of spacers, resist freezing, and/or other suitable processes. It is understood that multiple parallel fin structures 204 may be formed in a similar manner.
  • Suitable materials for forming the fin structure 204 include silicon and silicon germanium. In some embodiments, the fin structure 204 includes a capping layer disposed on the fins, such as a silicon capping layer. The fin structure 204 may also include various doped regions. For example, various doped regions can comprise lightly doped source/drain (LDD) regions and source/drain (S/D) regions (also referred to as heavily doped S/D regions). An implantation process (i.e., a junction implant) is performed to form S/D regions. The implantation process utilizes any suitable doping species. The doping species may depend on the type of device being fabricated, such as an NMOS or PMOS device. For example, the S/D regions are doped with p-type dopants, such as boron or BF2; n-type dopants, such as phosphorus or arsenic; and/or combinations thereof. The S/D regions may comprise various doping profiles. One or more annealing processes may be performed to activate the S/D regions. The annealing processes comprise rapid thermal annealing (RTA) and/or laser annealing processes.
  • Exemplary isolation regions 206 are formed on the substrate 202 to isolate active regions of the substrate 202. The isolation region 206 utilizes isolation technology, such as shallow trench isolation (STI), to define and electrically isolate the various regions. The isolation region 206 comprises silicon oxide, silicon nitride, silicon oxynitride, an air gap, other suitable materials, or combinations thereof. The isolation region 206 is formed by any suitable process. As one example, the formation of an STI includes a photolithography process, etching a trench in the substrate (for example, by using a dry etching and/or wet etching), and filling the trench (for example, by using a chemical vapor deposition process) with one or more dielectric materials. The trenches may be partially filled, as in the present embodiment, where the substrate remaining between trenches forms a fin structure. In some examples, the filled trench may have a multi-layer structure such as a thermal oxide liner layer filled with silicon nitride or silicon oxide.
  • One or more gate structures 208 are formed over the substrate 202, including over a portion of the fin structure 204. The gate structure 208 comprises a gate stack and may include a sealing layer and other suitable structures. The gate stack has an interfacial layer 210, a gate dielectric layer 212, a gate electrode layer 214, and a hard mask layer 216. It is understood that the gate stack may comprise additional layers such as interfacial layers, capping layers, diffusion/barrier layers, dielectric layers, conductive layers, other suitable layers, and/or combinations thereof. The interfacial layer 210 of the gate structure 208 is formed over the substrate 202 and fin structure 204. The interfacial layer 210 is formed by any suitable process to any suitable thickness. An exemplary interfacial layer 210 includes silicon oxide (e.g., thermal oxide or chemical oxide) and/or silicon oxynitride (SiON).
  • The gate dielectric layer 212 is formed over the interfacial layer 210 by any suitable process. The gate dielectric layer 212 comprises a dielectric material, such as silicon oxide, silicon nitride, silicon oxynitride, high-k dielectric material, other suitable dielectric material, and/or combinations thereof. Examples of high-k dielectric material includes HfO2, HfSiO, HfSiON, HfTaO, HfTiO, HfZrO, zirconium oxide, aluminum oxide, hafnium dioxide-alumina (HfO2—Al2O3) alloy, other suitable high-k dielectric materials, and/or combinations thereof.
  • The gate electrode layer 214 is formed over the gate dielectric layer 212 by any suitable process. The gate electrode layer 214 includes any suitable material, such as polysilicon, aluminum, copper, titanium, tantulum, tungsten, molybdenum, tantalum nitride, nickel silicide, cobalt silicide, TiN, WN, TiAl, TiAlN, TaCN, TaC, TaSiN, metal alloys, other suitable materials, and/or combinations thereof.
  • The hard mask layer 216 is formed over the gate electrode layer 214 by any suitable process. The hard mask layer 216 comprises any suitable material, for example, silicon nitride, SiON, SiC, SiOC, spin-on glass (SOG), a low-k film, tetraethylorthosilicate (TEOS), plasma enhanced CVD oxide (PE-oxide), high-aspect-ratio-process (HARP) formed oxide, and/or other suitable material.
  • The gate stack of the gate structure 208 is formed by any suitable process or processes. For example, the gate stack can be formed by a procedure including deposition, photolithography patterning, and etching processes. The deposition processes include chemical vapor deposition (CVD), physical vapor deposition (PVD), atomic layer deposition (ALD), high density plasma CVD (HDPCVD), metal organic CVD (MOCVD), remote plasma CVD (RPCVD), plasma enhanced CVD (PECVD), plating, other suitable methods, and/or combinations thereof. The photolithography patterning processes include photoresist coating (e.g., spin-on coating), soft baking, mask aligning, exposure, post-exposure baking, developing the photoresist, rinsing, drying (e.g., hard baking), other suitable processes, and/or combinations thereof. Alternatively, the photolithography exposing process is implemented or replaced by other proper methods such as maskless photolithography, electron-beam writing, and ion-beam writing. The etching processes include dry etching, wet etching, and/or other etching methods (e.g., reactive ion etching).
  • The gate structure 208 may further include a gate spacer 218. The gate spacers 218, which are positioned on each side of the gate stack (on the sidewalls of the gate stack), may comprise a dielectric material, such as silicon nitride, silicon carbide, silicon oxynitride, other suitable materials, and/or combinations thereof. In some embodiments, the gate spacers 218 are used to offset subsequently formed doped regions, such as source/drain regions. The gate spacers 218 may further be used for designing or modifying the source/drain region (junction) profile.
  • Referring now to FIG. 2 b, in some embodiments, the FinFET precursor 200 includes a substrate 202 with an elevated device body 220 formed over the surface of the substrate. The elevated device body has source/drain regions 222. In some embodiments, a source/drain region 222 is a source region, and another source/drain region 222 is a drain region. A gate region 224 is located between the source/drain regions 222. A gate structure 208 is formed over the gate region 224 of the elevated device body 220. In FIG. 2 b, one gate structure 208 is not illustrated to better show the underlying gate region 224. Isolation regions 206 separate the elevated device bodies 220 from one another.
  • Once the FinFET precursor 200 is received, it undergoes a stress-memorization technique (SMT) in blocks 104-110. The SMT increases charge mobility through the channel. This leads to dramatic improvements in device performance. FinFETs having undergone SMT demonstrate higher drive strength for a given channel size and supply voltage. In brief, the method involves forming an SMT capping layer on the FinFET precursor 200. The FinFET 200 undergoes a pre-amorphization implantation (PAI) which injects atoms into the fin structures 204 and disrupts the semiconductor lattice within the fin structures 204. A thermal annealing is performed for re-crystallization. The SMT capping layer is removed, yet the fin structures 204 retain the stress effects caused by the SMT. These retained effects may be referred to as stress-memorization, thus giving SMT its name. After the SMT is completed, further FinFET manufacturing steps may be performed.
  • Examining the SMT technique in more detail and referring to block 104 and FIGS. 3 a and 3 b, an SMT capping layer 300 is formed on the FinFET precursor 200 covering the fin structure 204, the gate structure 208, and, in some embodiments, an exposed portion of the isolation region 206. The capping layer 300 includes silicon nitride or other suitable materials such as silicon oxide. The capping layer 300 may include a silicon nitride formed by a low pressure CVD (LPCVD), a silicon nitride formed by a plasma enhanced CVD (PECVD), tetraethyl orthosilicate formed by a CVD process, a silicon oxide formed by a high aspect ratio process (HARP), or another suitable material. In an embodiment, the capping layer 300 includes a thickness of about 230 angstroms. In another embodiment, the capping layer 300 has a thickness ranging between about 200 angstroms and about 2000 angstroms.
  • Referring to block 106 and FIG. 4, once the capping layer 300 is applied, a pre- amorphization implantation (PAI) is performed. The PAI injects atoms into the fin structure 204 in the source/drain (S/D) regions. By introducing energetic doping species, such as Si, Ge, Ar, Xe, BF2, As, and/or In into the S/D regions, the implantation damages the molecular lattice. This creates an amorphous region 400 within the semiconductor material of the fin structure 204 down to a depth 402. The depth 402 is determined according to design specifications and can be controlled by the PAI process implant energy, implant species, implantation angle and/or implant dosage. The fin structure 204 may undergo multiple implantations utilizing a variety of energies, species, angles and dosages. In one given embodiment, germanium (Ge) is the species implanted, and the implantation energy ranges between about 25 KeV and about 30 KeV.
  • In some embodiments, a patterned photoresist layer may be utilized to define where the amorphous region 400 is formed and to protect other regions of the FinFET 200 from implantation damage. For example, the patterned photoresist layer exposes the fin structures 204, such that the source/drain regions are exposed to the PAI process (forming amorphous region 400) while the gate structure 208 (and other portions of the FinFET 200) are protected from the PAI process. Alternatively, a patterned hard mask layer, such as a SiN or SiON layer, is utilized to define the amorphous region 400. The patterned photoresist layer or the patterned hard mask layer may be the hard mask layer 216. Reusing the hard mask layer 216 already in place may reduce cost and manufacturing time.
  • At block 108, an annealing process is performed on the FinFET precursor 200. When properly performed, the annealing process retains the channel stress effects caused by the capping layer 300 even in the absence of the layer 300. The annealing process re-crystallizes the amorphous regions created during the PAI. However, the stress during annealing prevents uniform crystal formation. The re-crystallized region will contain irregularities such as regions that are locally uniform but exhibit misalignments with other regions. This misalignment may result in imperfections known as dislocations.
  • The annealing process may be a rapid thermal anneal (RTA) or a millisecond thermal anneal (MSA), such as a millisecond laser thermal anneal. In one embodiment, the annealing process is implemented in a rapid thermal annealing (RTA) tool. In another embodiment, the annealing process is applied to the FinFET 200 with an annealing temperature ranging between about 2000° C. and about 1050° C. In another embodiment, the annealing process is applied to the semiconductor structure 200 with an annealing duration ranging between about 5 seconds and about 30 seconds. The annealing process may include a long range pre-heat, which minimizes or even eliminates end of range (EOR) defects. Suitable ranges for the long range pre-heat range from about 200° C. to about 700° C., and include other appropriate temperatures and ranges. The long range pre-heat may be performed for about 50 to about 300 seconds. In a particular embodiment, the long range pre-heat has a temperature of about 550° C. for about 180 seconds.
  • At block 110, the capping layer 300 is removed from the FinFET 200. The removal process may include a wet etching or a dry etching process. In one example of the FinFET precursor 200 with a silicon nitride capping layer 300, the capping layer 300 is removed by an etching process involving phosphoric acid. In another example with a silicon oxide capping layer 300, the silicon oxide is etched away by a hydrofluoric acid (HF) or buffered HF. In another example, the silicon oxide capping layer 300 is removed by a CMP process. In some embodiments, it is beneficial to remove other layers, such as a mask layer, simultaneously while removing the capping layer 300.
  • Referring to FIGS. 5 a-7 b, due to the stress applied during SMT steps such as SMT layer deposition, implantation, and annealing, the fin structures 204 may contain dislocations in the semiconductor lattice. Dislocations begin at a pinchoff point 500. The depth and location of the pinchoff point 500 is set according to design specifications and is a function of the pre-amorphization implantation and the annealing process. From the pinchoff point, the dislocation propagates along one or more planes. For clarity in illustrating the planes, the capping layer 300 is not shown in FIGS. 5 a-7 b. The planes are described with reference to the longitudinal axis 502 and transverse axis 504 axis of the fin structure 204. One exemplary plane is illustrated by plane 506. Plane 506 runs parallel to the longitudinal axis 502 of the fin structure 204 but is directed towards the surface of the substrate 202. In some embodiments, plane 506 corresponds to a 111 Miller index. Such a plane 506 intersects the surface of the substrate 202 at about a 55-degree angle. Likewise, plane 508 is similarly parallel to the longitudinal axis 502 of the fin structure 204 and directed towards the surface of the substrate 202. In some embodiments, plane 508 intersects the surface of the substrate 202 at about a 55-degree angle. A further exemplary plane is plane 600, which is parallel to the surface of the substrate 202 and parallel to both the longitudinal axis 502 and transverse axis 504. Plane 700 lies parallel to the transverse axis 504 of the fin structure 204 but angles towards the surface of the substrate 202. These exemplary planes are not intended to be limiting, and a fin structure 204 may possess dislocations along any one or more of these planes.
  • In some embodiments, after the FinFET precursor 200 undergoes a stress-memorization technique, secondary source/drain (S/D) regions may be formed. To do so, in block 112, the fin structure 204 may be partially removed as illustrated in FIG. 8. Any suitable amount of material may be removed. However, the amount removed has an effect on the presence of memorized stress in the secondary source/drain regions that will be formed later. Thus, the depth can be tailored to create or remove desired stress effects and dislocations within the secondary S/D regions and to control other characteristics of the device channel.
  • Removing a portion of the fin structure 204 may include forming a photoresist layer or a capping layer (such as an oxide capping layer) over the FinFET precursor 200, patterning the photoresist or capping layer to have openings that expose the S/D regions of the fin structure 204, and etching back material from the fin structure 204. In the depicted embodiment, the fin structure 204 is etched by a dry etching process. Alternatively, the etching process is a wet etching process, or combination dry and wet etching process. Removal may include a lithography process to facilitate the etching process. The lithography process may include photoresist coating (e.g., spin-on coating), soft baking, mask aligning, exposure, post-exposure baking, developing the photoresist, rinsing, drying (e.g., hard baking), other suitable processes, or combinations thereof. Alternatively, the lithography process is implemented or replaced by other methods, such as maskless photolithography, electron-beam writing, and ion-beam writing. In yet another alternative, the lithography process could implement nanoimprint technology.
  • Referring to block 114 and FIG. 9, secondary source/drain (S/D) regions 900 are formed over the S/D regions of the fin structure 204. The secondary S/D regions 900 may be formed by one or more epitaxy or epitaxial (epi) processes, such that Si features, SiGe features, and/or other suitable features can be formed in a crystalline state on the fin structure 204. The epitaxy processes include CVD deposition techniques (e.g., vapor-phase epitaxy (VPE) and/or ultra-high vacuum CVD (UHV-CVD)), molecular beam epitaxy, and/or other suitable processes. The epitaxy process may use gaseous and/or liquid precursors, which interact with the composition of the fin structure 204 (e.g., silicon). Thus, a strained channel can be achieved to increase carrier mobility and enhance device performance. The secondary S/D regions 900 may be in-situ doped. The doping species include p-type dopants, such as boron or BF2; n-type dopants, such as phosphorus or arsenic; and/or other suitable dopants including combinations thereof. If the secondary S/D regions 900 are not in-situ doped, a second implantation process (i.e., a junction implant process) is performed to dope the secondary S/D regions 900. One or more annealing processes may be performed to activate the S/D regions 900. The annealing processes comprise rapid thermal annealing (RTA) and/or laser annealing processes.
  • The changes to the semiconductor lattice of the fin structure 204 caused by the stress-memory technique may propagate to the secondary S/D regions 900 which are formed on the fin structure 204. Thus, the S/D regions 900 may possess stress effects including dislocations along one or more planes as illustrated in FIG. 10. One exemplary plane is illustrated by plane 506. Plane 506 runs parallel to the longitudinal axis 502 of the fin structure 204 but is directed towards the surface of the substrate 202. In some embodiments, plane 506 corresponds to a 111 Miller index. Such a plane 506 intersects the surface of the substrate 202 at about a 55-degree angle. Likewise, plane 508 is similarly parallel to the longitudinal axis 502 of the fin structure 204 and directed towards the surface of the substrate 202. In some embodiments, plane 508 intersects the surface of the substrate 202 at about a 55-degree angle. A further exemplary plane, plane 600 is aligned parallel to the surface of the substrate 202 and parallel to both the longitudinal axis 502 and transverse axis 504 of the fin structure 204. Plane 700 lies parallel to the transverse axis 504 of the fin structure 204 but angles towards the surface of the substrate 202. These exemplary planes are not intended to be limiting, and a secondary S/D region 900 may possess dislocations along any one or more of these planes.
  • Referring to block 116, the FinFET 200 may undergo further CMOS or MOS technology processing to form various features known in the art. For example, a cleaning process may be performed to prepare the surface for S/D contact formation (e.g., S/D silicide formation). Subsequent processing may form various contacts/vias/lines and multilayer interconnect features (e.g., metal layers and interlayer dielectrics) on the substrate 202, configured to connect the various features or structures of the FinFET 200. The additional features may provide electrical interconnection to the device including the formed gate structures. For example, a multilayer interconnection includes vertical interconnects, such as conventional vias or contacts, and horizontal interconnects, such as metal lines. The various interconnection features may implement various conductive materials including copper, tungsten, and/or silicide. In one example, a damascene and/or dual damascene process is used to form a copper related multilayer interconnection structure.
  • Thus, the present invention provides a method for performing a stress memorization technique (SMT) on a FinFET and provides a FinFET with stress effects including multi-planar dislocations. In one embodiment, the method includes: receiving a FinFET precursor comprising: a substrate; a fin structure formed on the substrate; an isolation region formed on the substrate and isolating the fin structure; and a gate stack formed over a portion of the fin structure, thereby separating a source region of the fin structure from a drain region of the fin structure and creating a gate region therebetween; forming a stress-memorization technique (SMT) capping layer over at least a portion of each of the fin structures, the isolation region, and the gate stack; performing a pre-amorphization implant on the FinFET precursor by implanting an energetic doping species; performing an annealing process on the FinFET precursor; and removing the SMT capping layer.
  • In a further embodiment, the semiconductor device comprises: a substrate having a surface; a fin structure formed over the surface of the substrate, the fin structure having an elongated body, a longitudinal axis, and a transverse axis parallel to the surface of the substrate, wherein the fin structure has a dislocation; an isolation region formed on the surface of the substrate and isolating the fin structure; and a gate stack formed over a portion of the fin structure, thereby separating a source region of the fin structure and a drain region of the fin structure and creating gate region of the fin structure therebetween.
  • In yet another embodiment, the semiconductor device comprises: a substrate having a surface; an elevated device body formed over the surface of the substrate, the elevated device body comprising a drain region, a source region, and a gate region located between the drain and source regions, wherein the elevated device body has a longitudinal axis and a transverse axis parallel to the surface of the substrate; a dislocation formed within the elevated device body; an isolation region formed on the surface of the substrate and isolating the elevated device body; and a gate stack formed over a portion of the gate region of the elevated device body.
  • The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.

Claims (20)

What is claimed is:
1. A method of manufacturing a semiconductor device comprising:
receiving a FinFET precursor comprising:
a substrate;
a fin structure formed on the substrate;
an isolation region formed on the substrate and isolating the fin structure; and
a gate stack formed over a portion of the fin structure, thereby separating a source region of the fin structure from a drain region of the fin structure and creating a gate region of the fin structure therebetween;
forming a stress-memorization technique (SMT) capping layer over at least a portion of each of the fin structure, the isolation region, and the gate stack;
performing a pre-amorphization implant on the FinFET precursor by implanting an energetic doping species;
performing an annealing process on the FinFET precursor; and
removing the SMT capping layer.
2. The method of claim 1, the method further comprising:
removing a portion of the fin structure; and
thereafter forming a secondary source/drain region on top of the fin structure.
3. The method of claim 2, wherein the removing the portion of the fin structure is performed to a specific depth, and wherein the specific depth is selected to control the presence of stress effects in the secondary source/drain region.
4. The method of claim 1, the method further comprising performing a manufacturing process on the FinFET precursor following removing the SMT capping layer.
5. A semiconductor device comprising:
a substrate having a surface;
a fin structure formed over the surface of the substrate, the fin structure having an elongated body, a longitudinal axis, and a transverse axis parallel to the surface of the substrate, wherein the fin structure has a dislocation;
an isolation region formed on the surface of the substrate and isolating the fin structure; and
a gate stack formed over a portion of the fin structure, thereby separating a source region of the fin structure and a drain region of the fin structure and creating gate region of the fin structure therebetween.
6. The semiconductor device of claim 5, wherein:
the dislocation is a first dislocation;
the semiconductor device further comprises a second dislocation formed within the fin structure; and
the first dislocation and the second dislocation are not coplanar.
7. The semiconductor device of claim 5, wherein the dislocation is parallel to the surface of the substrate.
8. The semiconductor device of claim 5, wherein the dislocation is parallel to the longitudinal axis of the corresponding fin structure and extends in the direction of the substrate.
9. The semiconductor device of claim 5, wherein the dislocation is parallel to the transverse axis of the corresponding fin structure and extends in the direction of the substrate.
10. The semiconductor device of claim 5, wherein the fin structure comprises a first fin portion and a second fin portion and wherein the second fin portion is a secondary source/drain region.
11. The semiconductor device of claim 10, wherein the dislocation is formed entirely within the second fin portion.
12. The semiconductor device of claim 10, wherein the dislocation is formed within the first fin portion and the second fin portion.
13. A semiconductor device comprising:
a substrate having a surface;
an elevated device body formed over the surface of the substrate, the elevated device body comprising a drain region, a source region, and a gate region located between the drain and source regions, wherein the elevated device body has a longitudinal axis and a transverse axis parallel to the surface of the substrate;
a dislocation formed within the elevated device body;
an isolation region formed on the surface of the substrate and isolating the elevated device body; and
a gate stack formed over a portion of the gate region of the elevated device body.
14. The semiconductor device of claim 13, wherein:
the dislocation is a first dislocation;
the semiconductor device further comprises a second dislocation formed within the elevated device body;
the first dislocation and the second dislocation are formed within the same of the drain region, the source region, and the gate region of the elevated device body; and
the first dislocation and the second dislocation are not coplanar.
15. The semiconductor device of claim 13, wherein the dislocation is parallel to the surface of the substrate.
16. The semiconductor device of claim 13, wherein the dislocation is parallel to the longitudinal axis of the corresponding elevated device body and extends in the direction of the substrate.
17. The semiconductor device of claim 13, wherein the dislocation is parallel to the transverse axis of the corresponding elevated device body and extends in the direction of the substrate.
18. The semiconductor device of claim 13, wherein the dislocation is formed entirely within the source region of the elevated device body.
19. The semiconductor device of claim 13, wherein the dislocation is formed entirely within the drain region of the elevated device body.
20. The semiconductor device of claim 13, wherein the dislocation is formed within the gate region and one of the source region and the drain region of the elevated device body.
US13/369,116 2012-02-08 2012-02-08 Dislocation smt for finfet device Abandoned US20130200455A1 (en)

Priority Applications (7)

Application Number Priority Date Filing Date Title
US13/369,116 US20130200455A1 (en) 2012-02-08 2012-02-08 Dislocation smt for finfet device
KR1020120081251A KR101393134B1 (en) 2012-02-08 2012-07-25 Dislocation smt for finfet device
CN201210270537.0A CN103247535B (en) 2012-02-08 2012-07-31 FINFET device and forming method thereof
TW102102789A TWI498950B (en) 2012-02-08 2013-01-25 Semiconductor device and method thereof
US14/632,489 US9570587B2 (en) 2012-02-08 2015-02-26 Dislocation stress memorization technique for FinFET device
US15/430,063 US10727319B2 (en) 2012-02-08 2017-02-10 Dislocation SMT for FinFET device
US16/939,609 US11532730B2 (en) 2012-02-08 2020-07-27 Method of forming a FinFET device by implantation through capping layer

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/369,116 US20130200455A1 (en) 2012-02-08 2012-02-08 Dislocation smt for finfet device

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US14/632,489 Division US9570587B2 (en) 2012-02-08 2015-02-26 Dislocation stress memorization technique for FinFET device

Publications (1)

Publication Number Publication Date
US20130200455A1 true US20130200455A1 (en) 2013-08-08

Family

ID=48902173

Family Applications (4)

Application Number Title Priority Date Filing Date
US13/369,116 Abandoned US20130200455A1 (en) 2012-02-08 2012-02-08 Dislocation smt for finfet device
US14/632,489 Active US9570587B2 (en) 2012-02-08 2015-02-26 Dislocation stress memorization technique for FinFET device
US15/430,063 Active 2032-10-26 US10727319B2 (en) 2012-02-08 2017-02-10 Dislocation SMT for FinFET device
US16/939,609 Active 2032-05-31 US11532730B2 (en) 2012-02-08 2020-07-27 Method of forming a FinFET device by implantation through capping layer

Family Applications After (3)

Application Number Title Priority Date Filing Date
US14/632,489 Active US9570587B2 (en) 2012-02-08 2015-02-26 Dislocation stress memorization technique for FinFET device
US15/430,063 Active 2032-10-26 US10727319B2 (en) 2012-02-08 2017-02-10 Dislocation SMT for FinFET device
US16/939,609 Active 2032-05-31 US11532730B2 (en) 2012-02-08 2020-07-27 Method of forming a FinFET device by implantation through capping layer

Country Status (4)

Country Link
US (4) US20130200455A1 (en)
KR (1) KR101393134B1 (en)
CN (1) CN103247535B (en)
TW (1) TWI498950B (en)

Cited By (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130237026A1 (en) * 2012-03-09 2013-09-12 Taiwan Semiconductor Manufacturing Company, Ltd., ("Tsmc") Finfet device having a strained region
US20130285141A1 (en) * 2012-01-24 2013-10-31 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-Gate Devices with Replaced-Channels and Methods for Forming the Same
US8610201B1 (en) * 2012-08-16 2013-12-17 Kabushiki Kaisha Toshiba FinFET comprising a punch-through stopper
US20140151806A1 (en) * 2012-11-30 2014-06-05 International Business Machines Corporation Semiconductor Device Having SSOI Substrate
US20140282332A1 (en) * 2013-03-15 2014-09-18 Taiwan Semiconductor Manufacturing Company, Ltd. Fault injection of finfet devices
US20140308782A1 (en) * 2013-04-15 2014-10-16 International Business Machines Corporation Self-limiting selective epitaxy process for preventing merger of semiconductor fins
US20140332863A1 (en) * 2013-05-10 2014-11-13 Samsung Electronics Co., Ltd. Semiconductor device and method of manufacturing the same
US20140332888A1 (en) * 2013-05-08 2014-11-13 International Business Machines Corporation Semiconductor device including finfet structures with varied epitaxial regions, related method and design structure
US20150137256A1 (en) * 2011-07-29 2015-05-21 Synopsys, Inc. Finfet cell architecture with power traces
US20150145066A1 (en) * 2013-11-27 2015-05-28 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and method of making
US20150340501A1 (en) * 2014-05-22 2015-11-26 Globalfoundries Inc. Forming independent-gate finfet with tilted pre-amorphization implantation and resulting device
CN105280639A (en) * 2014-06-12 2016-01-27 台湾积体电路制造股份有限公司 Structure and formation method of fin-like field effect transistor
US20160049511A1 (en) * 2014-08-14 2016-02-18 Jin-Bum Kim Semiconductor devices
US9281378B2 (en) 2012-01-24 2016-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Fin recess last process for FinFET fabrication
US9287180B2 (en) * 2013-07-30 2016-03-15 GlobalFoundries, Inc. Integrated circuits having finFETs with improved doped channel regions and methods for fabricating same
US9293534B2 (en) * 2014-03-21 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of dislocations in source and drain regions of FinFET devices
US9299587B2 (en) 2014-04-10 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Microwave anneal (MWA) for defect recovery
US20160111536A1 (en) * 2014-10-15 2016-04-21 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device structure and manufacturing method thereof
US9349837B2 (en) 2012-11-09 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Recessing STI to increase Fin height in Fin-first process
US9379242B1 (en) 2015-05-28 2016-06-28 United Microelectronics Corp. Method of fabricating fin field effect transistor
US20160211379A1 (en) * 2015-01-15 2016-07-21 Jung-Gun You Semiconductor device having asymmetric fin-shaped pattern
US20160211338A1 (en) * 2014-04-21 2016-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Devices, FinFET Devices, and Manufacturing Methods Thereof
US20160211371A1 (en) * 2015-01-15 2016-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
EP3050106A1 (en) * 2013-09-27 2016-08-03 Intel Corporation Low leakage non-planar access transistor for embedded dynamic random access memeory (edram)
US9419136B2 (en) 2014-04-14 2016-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Dislocation stress memorization technique (DSMT) on epitaxial channel devices
US9425099B2 (en) 2014-01-16 2016-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial channel with a counter-halo implant to improve analog gain
US9431521B1 (en) 2015-09-18 2016-08-30 International Business Machines Corporation Stress memorization technique for strain coupling enhancement in bulk finFET device
US9443962B2 (en) 2012-11-09 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Recessing STI to increase fin height in fin-first process
US9466696B2 (en) 2012-01-24 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US9490365B2 (en) 2014-06-12 2016-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of fin-like field effect transistor
US9490346B2 (en) 2014-06-12 2016-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of fin-like field effect transistor
US9515172B2 (en) 2014-01-28 2016-12-06 Samsung Electronics Co., Ltd. Semiconductor devices having isolation insulating layers and methods of manufacturing the same
US9525031B2 (en) 2014-03-13 2016-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial channel
US9536989B1 (en) 2016-02-15 2017-01-03 Globalfoundries Inc. Field-effect transistors with source/drain regions of reduced topography
US20170040452A1 (en) * 2014-02-25 2017-02-09 International Business Machines Corporation Dielectric isolated fin with improved fin profile
US9570587B2 (en) 2012-02-08 2017-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Dislocation stress memorization technique for FinFET device
US9620503B1 (en) * 2015-11-16 2017-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor and method for fabricating the same
US9627278B2 (en) 2015-06-16 2017-04-18 International Business Machines Corporation Method of source/drain height control in dual epi finFET formation
US9653605B2 (en) 2014-10-17 2017-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor (FinFET) device and method for forming the same
US9666581B2 (en) * 2015-08-21 2017-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with source/drain structure and method of fabrication thereof
EP3190611A1 (en) * 2016-01-07 2017-07-12 Semiconductor Manufacturing International Corporation (Shanghai) Semiconductor device and fabrication method thereof
US9711504B2 (en) * 2015-08-11 2017-07-18 Samsung Electronics Co., Ltd. Semiconductor device
US9768297B2 (en) 2014-01-16 2017-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Process design to improve transistor variations and performance
US9773871B2 (en) * 2015-11-16 2017-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor and method for fabricating the same
CN107346782A (en) * 2016-05-04 2017-11-14 台湾积体电路制造股份有限公司 Fin FET and its manufacture method
US9847480B2 (en) 2012-09-28 2017-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Resistance variable memory structure and method of forming the same
US9899523B2 (en) 2014-12-03 2018-02-20 United Microelectronics Corp. Semiconductor structure
US9935011B2 (en) 2013-01-14 2018-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. Fin spacer protected source and drain regions in FinFETs
US10157924B2 (en) 2015-02-11 2018-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for FinFET devices
US10312334B2 (en) * 2016-04-29 2019-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid doping profile
US10396176B2 (en) 2014-09-26 2019-08-27 Intel Corporation Selective gate spacers for semiconductor devices
US10586852B2 (en) * 2016-11-09 2020-03-10 Samsung Electronics Co., Ltd. Semiconductor device
DE102014119221B4 (en) * 2014-01-08 2020-08-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of making it
USRE48304E1 (en) * 2012-11-09 2020-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Source and drain dislocation fabrication in FinFETs
US10892263B2 (en) 2018-06-15 2021-01-12 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor device
US10990722B2 (en) 2011-07-29 2021-04-27 Synopsys, Inc. FinFET cell architecture with insulator structure

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8901607B2 (en) * 2013-01-14 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabricating the same
CN104241366B (en) * 2013-06-07 2017-06-13 台湾积体电路制造股份有限公司 Dislocation in the source area and drain region of FinFET is formed
WO2015094239A1 (en) * 2013-12-18 2015-06-25 Intel Corporation Heterogeneous layer device
US9443729B1 (en) * 2015-03-31 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming FinFET devices
CN104900528B (en) * 2015-04-13 2018-06-22 上海华力微电子有限公司 A kind of method using stress memory technique manufacture FinFET structure
CN106252391B (en) * 2015-06-09 2021-02-19 联华电子股份有限公司 Semiconductor structure and manufacturing method thereof
TWI550716B (en) * 2015-07-08 2016-09-21 力晶科技股份有限公司 Method of fabricating semiconductor device
KR102476356B1 (en) 2015-10-07 2022-12-09 삼성전자주식회사 Integrated circuit device and method of manufacturing the same
US9653604B1 (en) 2015-12-30 2017-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9634084B1 (en) * 2016-02-10 2017-04-25 Globalfoundries Inc. Conformal buffer layer in source and drain regions of fin-type transistors
US10796924B2 (en) 2016-02-18 2020-10-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof by forming thin uniform silicide on epitaxial source/drain structure
US9780218B1 (en) * 2016-05-02 2017-10-03 United Microelectronics Corp. Bottom-up epitaxy growth on air-gap buffer
US10529861B2 (en) * 2016-11-18 2020-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
CN108630533B (en) * 2017-03-17 2021-09-14 中芯国际集成电路制造(上海)有限公司 Method for manufacturing semiconductor device
TWI697121B (en) * 2018-01-23 2020-06-21 國立交通大學 Tri-gate field effect transistor
KR102573408B1 (en) * 2018-09-11 2023-08-30 삼성전자주식회사 Semiconductor device and method for fabricating the same

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090325358A1 (en) * 2003-11-19 2009-12-31 International Business Machines Corporation Method of reducing dislocation-induced leakage in a strained-layer field-effect transistor
US20100301391A1 (en) * 2006-09-27 2010-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Tri-Gate Field-Effect Transistors Formed By Aspect Ratio Trapping

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7307273B2 (en) * 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
US7319252B2 (en) * 2004-06-28 2008-01-15 Intel Corporation Methods for forming semiconductor wires and resulting devices
KR100618852B1 (en) 2004-07-27 2006-09-01 삼성전자주식회사 Semiconductor device having high operating current
US8138544B2 (en) * 2004-09-13 2012-03-20 John James Seliskar Castellated gate MOSFET tetrode capable of fully-depleted operation
US7564081B2 (en) * 2005-11-30 2009-07-21 International Business Machines Corporation finFET structure with multiply stressed gate electrode
US7829407B2 (en) * 2006-11-20 2010-11-09 International Business Machines Corporation Method of fabricating a stressed MOSFET by bending SOI region
JP2009054705A (en) * 2007-08-24 2009-03-12 Toshiba Corp Semiconductor substrate, semiconductor device, and manufacturing method thereof
JP5193583B2 (en) 2007-12-17 2013-05-08 株式会社東芝 Fin type transistor
US7919388B2 (en) * 2008-05-30 2011-04-05 Freescale Semiconductor, Inc. Methods for fabricating semiconductor devices having reduced gate-drain capacitance
US8729627B2 (en) * 2010-05-14 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel integrated circuit devices
US9461169B2 (en) * 2010-05-28 2016-10-04 Globalfoundries Inc. Device and method for fabricating thin semiconductor channel and buried strain memorization layer
US8394684B2 (en) * 2010-07-22 2013-03-12 International Business Machines Corporation Structure and method for stress latching in non-planar semiconductor devices
KR101815527B1 (en) * 2010-10-07 2018-01-05 삼성전자주식회사 Semiconductor device and method for manufacturing the same
CN102468164B (en) * 2010-10-29 2014-10-08 中国科学院微电子研究所 Transistor and manufacture method thereof
US8492235B2 (en) * 2010-12-29 2013-07-23 Globalfoundries Singapore Pte. Ltd. FinFET with stressors
US8658505B2 (en) * 2011-12-14 2014-02-25 International Business Machines Corporation Embedded stressors for multigate transistor devices
US20130200455A1 (en) * 2012-02-08 2013-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Dislocation smt for finfet device

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090325358A1 (en) * 2003-11-19 2009-12-31 International Business Machines Corporation Method of reducing dislocation-induced leakage in a strained-layer field-effect transistor
US20100301391A1 (en) * 2006-09-27 2010-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Tri-Gate Field-Effect Transistors Formed By Aspect Ratio Trapping

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Semiconductor Manufacturing Technology, Quirk and Serda, Prentice Hall, pp. 78-80, 2001. *

Cited By (148)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150137256A1 (en) * 2011-07-29 2015-05-21 Synopsys, Inc. Finfet cell architecture with power traces
US9076673B2 (en) * 2011-07-29 2015-07-07 Synopsys, Inc. FinFET cell architecture with power traces
US10990722B2 (en) 2011-07-29 2021-04-27 Synopsys, Inc. FinFET cell architecture with insulator structure
US9691764B2 (en) 2011-07-29 2017-06-27 Synopsys, Inc. FinFET cell architecture with power traces
US10014223B2 (en) 2012-01-24 2018-07-03 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate devices with replaced-channels and methods for forming the same
US20130285141A1 (en) * 2012-01-24 2013-10-31 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-Gate Devices with Replaced-Channels and Methods for Forming the Same
US9171925B2 (en) * 2012-01-24 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate devices with replaced-channels and methods for forming the same
US9281378B2 (en) 2012-01-24 2016-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Fin recess last process for FinFET fabrication
US9466696B2 (en) 2012-01-24 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US10121851B2 (en) 2012-01-24 2018-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Fin recess last process for FinFET fabrication
US11532730B2 (en) 2012-02-08 2022-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a FinFET device by implantation through capping layer
US10727319B2 (en) 2012-02-08 2020-07-28 Taiwan Semiconductor Manufacturing Company, Ltd Dislocation SMT for FinFET device
US9570587B2 (en) 2012-02-08 2017-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Dislocation stress memorization technique for FinFET device
US20130237026A1 (en) * 2012-03-09 2013-09-12 Taiwan Semiconductor Manufacturing Company, Ltd., ("Tsmc") Finfet device having a strained region
US9177801B2 (en) 2012-03-09 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device having a strained region
US10978355B2 (en) 2012-04-26 2021-04-13 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate devices with replaced-channels and methods for forming the same
US8610201B1 (en) * 2012-08-16 2013-12-17 Kabushiki Kaisha Toshiba FinFET comprising a punch-through stopper
US9847480B2 (en) 2012-09-28 2017-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Resistance variable memory structure and method of forming the same
US11114550B2 (en) 2012-11-09 2021-09-07 Taiwan Semiconductor Manufacturing Company, Ltd. Recessing STI to increase FIN height in FIN-first process
US9349837B2 (en) 2012-11-09 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Recessing STI to increase Fin height in Fin-first process
USRE48304E1 (en) * 2012-11-09 2020-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Source and drain dislocation fabrication in FinFETs
US9443962B2 (en) 2012-11-09 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Recessing STI to increase fin height in fin-first process
US11121213B2 (en) 2012-11-09 2021-09-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fin recess last process for FinFET fabrication
US11682697B2 (en) 2012-11-09 2023-06-20 Taiwan Semiconductor Manufacturing Company, Ltd. Fin recess last process for FinFET fabrication
US10269933B2 (en) 2012-11-09 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Recessing STI to increase Fin height in Fin-first process
US8963248B2 (en) * 2012-11-30 2015-02-24 International Business Machines Corporation Semiconductor device having SSOI substrate with relaxed tensile stress
US20140151806A1 (en) * 2012-11-30 2014-06-05 International Business Machines Corporation Semiconductor Device Having SSOI Substrate
US9935011B2 (en) 2013-01-14 2018-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. Fin spacer protected source and drain regions in FinFETs
US11205594B2 (en) 2013-01-14 2021-12-21 Taiwan Semiconductor Manufacturing Company, Ltd. Fin spacer protected source and drain regions in FinFETs
US10679900B2 (en) 2013-01-14 2020-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Fin spacer protected source and drain regions in FinFETs
US8959468B2 (en) * 2013-03-15 2015-02-17 Taiwan Semiconductor Manufacturing Company, Ltd. Fault injection of finFET devices
US20140282332A1 (en) * 2013-03-15 2014-09-18 Taiwan Semiconductor Manufacturing Company, Ltd. Fault injection of finfet devices
US9367662B2 (en) 2013-03-15 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fault injection of finFET devices
US20140308782A1 (en) * 2013-04-15 2014-10-16 International Business Machines Corporation Self-limiting selective epitaxy process for preventing merger of semiconductor fins
US9752251B2 (en) * 2013-04-15 2017-09-05 International Business Machines Corporation Self-limiting selective epitaxy process for preventing merger of semiconductor fins
US8916932B2 (en) * 2013-05-08 2014-12-23 International Business Machines Corporation Semiconductor device including FINFET structures with varied epitaxial regions, related method and design structure
US20140332888A1 (en) * 2013-05-08 2014-11-13 International Business Machines Corporation Semiconductor device including finfet structures with varied epitaxial regions, related method and design structure
US20140332863A1 (en) * 2013-05-10 2014-11-13 Samsung Electronics Co., Ltd. Semiconductor device and method of manufacturing the same
US9130040B2 (en) * 2013-05-10 2015-09-08 Samsung Electronics Co., Ltd. FinFET semiconductor device and method of manufacturing the same
US9287180B2 (en) * 2013-07-30 2016-03-15 GlobalFoundries, Inc. Integrated circuits having finFETs with improved doped channel regions and methods for fabricating same
EP3050106A1 (en) * 2013-09-27 2016-08-03 Intel Corporation Low leakage non-planar access transistor for embedded dynamic random access memeory (edram)
EP3050106A4 (en) * 2013-09-27 2017-05-10 Intel Corporation Low leakage non-planar access transistor for embedded dynamic random access memeory (edram)
EP3767672A1 (en) * 2013-09-27 2021-01-20 Intel Corporation Low leakage non-planar access transistor for embedded dynamic random access memeory (edram)
US9741721B2 (en) 2013-09-27 2017-08-22 Intel Corporation Low leakage non-planar access transistor for embedded dynamic random access memory (eDRAM)
EP4044249A1 (en) * 2013-09-27 2022-08-17 Intel Corporation Low leakage non-planar access transistor for embedded dynamic random access memeory (edram)
US20160141394A1 (en) * 2013-11-27 2016-05-19 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and method of making
US9653581B2 (en) * 2013-11-27 2017-05-16 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and method of making
US20150145066A1 (en) * 2013-11-27 2015-05-28 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and method of making
KR20150061607A (en) * 2013-11-27 2015-06-04 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Semiconductor device and method of making
KR101630080B1 (en) * 2013-11-27 2016-06-13 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Semiconductor device and method of making
US9252271B2 (en) * 2013-11-27 2016-02-02 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and method of making
DE102014119221B4 (en) * 2014-01-08 2020-08-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of making it
US9425099B2 (en) 2014-01-16 2016-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial channel with a counter-halo implant to improve analog gain
US9768297B2 (en) 2014-01-16 2017-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Process design to improve transistor variations and performance
US9899475B2 (en) 2014-01-16 2018-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial channel with a counter-halo implant to improve analog gain
US10204821B2 (en) 2014-01-28 2019-02-12 Samsung Electronics Co., Ltd. Semiconductor devices having isolation insulating layers and methods of manufacturing the same
US9515172B2 (en) 2014-01-28 2016-12-06 Samsung Electronics Co., Ltd. Semiconductor devices having isolation insulating layers and methods of manufacturing the same
US10497608B2 (en) 2014-01-28 2019-12-03 Samsung Electronics Co., Ltd. Semiconductor devices having isolation insulating layers and methods of manufacturing the same
US9917188B2 (en) * 2014-02-25 2018-03-13 International Business Machines Corporation Dielectric isolated fin with improved fin profile
US10546955B2 (en) 2014-02-25 2020-01-28 International Business Machines Corporation Dielectric isolated fin with improved fin profile
US11728428B2 (en) 2014-02-25 2023-08-15 International Business Machines Corporation Dielectric isolated fin with improved fin profile
US20170040452A1 (en) * 2014-02-25 2017-02-09 International Business Machines Corporation Dielectric isolated fin with improved fin profile
US9525031B2 (en) 2014-03-13 2016-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial channel
US11211455B2 (en) 2014-03-21 2021-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of dislocations in source and drain regions of FinFET devices
US9293534B2 (en) * 2014-03-21 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of dislocations in source and drain regions of FinFET devices
US20160204229A1 (en) * 2014-03-21 2016-07-14 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of Dislocations in Source and Drain Regions of FinFET Devices
US10741642B2 (en) 2014-03-21 2020-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of dislocations in source and drain regions of finFET devices
US20180006117A1 (en) * 2014-03-21 2018-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of Dislocations in Source and Drain Regions of FinFET Devices
US9768256B2 (en) * 2014-03-21 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of dislocations in source and drain regions of FinFET devices
US10153344B2 (en) * 2014-03-21 2018-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of dislocations in source and drain regions of FinFET devices
US9299587B2 (en) 2014-04-10 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Microwave anneal (MWA) for defect recovery
US9419136B2 (en) 2014-04-14 2016-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Dislocation stress memorization technique (DSMT) on epitaxial channel devices
US9899517B2 (en) 2014-04-14 2018-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Dislocation stress memorization technique (DSMT) on epitaxial channel devices
US9502559B2 (en) 2014-04-14 2016-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Dislocation stress memorization technique (DSMT) on epitaxial channel devices
US11362000B2 (en) 2014-04-21 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact on FinFET
US10049938B2 (en) * 2014-04-21 2018-08-14 Taiwan Semiconductor Manufacturing Company Semiconductor devices, FinFET devices, and manufacturing methods thereof
US10651091B2 (en) 2014-04-21 2020-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact on FinFET
US11854898B2 (en) 2014-04-21 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Wrap-around contact on FinFET
US11251086B2 (en) 2014-04-21 2022-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices, FinFET devices, and manufacturing methods thereof
US10269649B2 (en) 2014-04-21 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact on FinFET
US9941367B2 (en) 2014-04-21 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact on FinFET
US20160211338A1 (en) * 2014-04-21 2016-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Devices, FinFET Devices, and Manufacturing Methods Thereof
US20150340501A1 (en) * 2014-05-22 2015-11-26 Globalfoundries Inc. Forming independent-gate finfet with tilted pre-amorphization implantation and resulting device
US9490346B2 (en) 2014-06-12 2016-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of fin-like field effect transistor
US10014224B2 (en) 2014-06-12 2018-07-03 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of fin-like field effect transistor
US10727137B2 (en) 2014-06-12 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of fin-like field effect transistor
US9502538B2 (en) 2014-06-12 2016-11-22 Taiwan Semiconductor Manufacturing Co., Ltd Structure and formation method of fin-like field effect transistor
US10037921B2 (en) 2014-06-12 2018-07-31 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of fin-like field effect transistor
US9490365B2 (en) 2014-06-12 2016-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of fin-like field effect transistor
US11393727B2 (en) 2014-06-12 2022-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of fin-like field effect transistor
CN105280639A (en) * 2014-06-12 2016-01-27 台湾积体电路制造股份有限公司 Structure and formation method of fin-like field effect transistor
US9972716B2 (en) * 2014-08-14 2018-05-15 Samsung Electronics Co., Ltd. Semiconductor devices
US20160049511A1 (en) * 2014-08-14 2016-02-18 Jin-Bum Kim Semiconductor devices
US10396176B2 (en) 2014-09-26 2019-08-27 Intel Corporation Selective gate spacers for semiconductor devices
US11532724B2 (en) 2014-09-26 2022-12-20 Intel Corporation Selective gate spacers for semiconductor devices
US10971600B2 (en) 2014-09-26 2021-04-06 Intel Corporation Selective gate spacers for semiconductor devices
US10361287B2 (en) * 2014-10-15 2019-07-23 Taiwan Semiconductor Manufacturing Company Ltd. Method for manufacturing semiconductor device structure
US9450093B2 (en) * 2014-10-15 2016-09-20 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device structure and manufacturing method thereof
US20160111536A1 (en) * 2014-10-15 2016-04-21 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device structure and manufacturing method thereof
US10686077B2 (en) * 2014-10-17 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device and method for forming the same
US9653605B2 (en) 2014-10-17 2017-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor (FinFET) device and method for forming the same
US11158744B2 (en) 2014-10-17 2021-10-26 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device and method for forming the same
US10964819B2 (en) 2014-10-17 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device and method for forming the same
US10164109B2 (en) 2014-10-17 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device and method for forming the same
US20170250286A1 (en) * 2014-10-17 2017-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Fin Field Effect Transistor (FINFET) Device and Method for Forming the Same
US10840378B2 (en) 2014-10-17 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device and method for forming the same
US10546956B2 (en) 2014-10-17 2020-01-28 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device and method for forming the same
US11721762B2 (en) 2014-10-17 2023-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device and method for forming the same
US9899523B2 (en) 2014-12-03 2018-02-20 United Microelectronics Corp. Semiconductor structure
US20160211379A1 (en) * 2015-01-15 2016-07-21 Jung-Gun You Semiconductor device having asymmetric fin-shaped pattern
US10910373B2 (en) 2015-01-15 2021-02-02 Samsung Electronics Co., Ltd. Semiconductor device having asymmetric fin-shaped pattern
US9601628B2 (en) * 2015-01-15 2017-03-21 Samsung Electronics Co., Ltd. Semiconductor device having asymmetric fin-shaped pattern
US10692864B2 (en) 2015-01-15 2020-06-23 Samsung Electronics Co., Ltd. Semiconductor device having asymmetric fin-shaped pattern
US10937906B2 (en) 2015-01-15 2021-03-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
CN105810736A (en) * 2015-01-15 2016-07-27 台湾积体电路制造股份有限公司 Semiconductor device including fin structures and manufacturing method thereof
US20160211371A1 (en) * 2015-01-15 2016-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
US10199377B2 (en) 2015-01-15 2019-02-05 Samsung Electronics Co., Ltd. Semiconductor device having asymmetric fin-shaped pattern
US9991384B2 (en) * 2015-01-15 2018-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
US10157924B2 (en) 2015-02-11 2018-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for FinFET devices
US10797052B2 (en) 2015-02-11 2020-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for FinFET devices
US11855089B2 (en) 2015-02-11 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for FinFET devices
US11569230B2 (en) 2015-02-11 2023-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for FinFET devices
US9379242B1 (en) 2015-05-28 2016-06-28 United Microelectronics Corp. Method of fabricating fin field effect transistor
US9627278B2 (en) 2015-06-16 2017-04-18 International Business Machines Corporation Method of source/drain height control in dual epi finFET formation
US9711504B2 (en) * 2015-08-11 2017-07-18 Samsung Electronics Co., Ltd. Semiconductor device
US9666581B2 (en) * 2015-08-21 2017-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with source/drain structure and method of fabrication thereof
US9892973B2 (en) 2015-09-18 2018-02-13 International Business Machines Corporation Stress memorization technique for strain coupling enhancement in bulk finFET device
US20170084743A1 (en) * 2015-09-18 2017-03-23 International Business Machines Corporation Stress memorization technique for strain coupling enhancement in bulk finfet device
US9431521B1 (en) 2015-09-18 2016-08-30 International Business Machines Corporation Stress memorization technique for strain coupling enhancement in bulk finFET device
US9660077B2 (en) 2015-09-18 2017-05-23 International Business Machines Corporation Stress memorization technique for strain coupling enhancement in bulk finFET device
US10242916B2 (en) 2015-09-18 2019-03-26 International Business Machines Corporation Stress memorization technique for strain coupling enhancement in bulk FINFET device
US9761717B2 (en) * 2015-09-18 2017-09-12 International Business Machines Corporation Stress memorization technique for strain coupling enhancement in bulk finFET device
US10020227B2 (en) 2015-09-18 2018-07-10 International Business Machines Corporation Stress memorization technique for strain coupling enhancement in bulk finFET device
US10170364B2 (en) 2015-09-18 2019-01-01 International Business Machines Corporation Stress memorization technique for strain coupling enhancement in bulk finFET device
US9620503B1 (en) * 2015-11-16 2017-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor and method for fabricating the same
US9773871B2 (en) * 2015-11-16 2017-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor and method for fabricating the same
US10325818B2 (en) 2016-01-07 2019-06-18 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor device and fabrication method thereof
US11004752B2 (en) 2016-01-07 2021-05-11 Semiconductor Manufacturing International (Shanghai) Corporation Fin field-effect transistor
EP3190611A1 (en) * 2016-01-07 2017-07-12 Semiconductor Manufacturing International Corporation (Shanghai) Semiconductor device and fabrication method thereof
US9536989B1 (en) 2016-02-15 2017-01-03 Globalfoundries Inc. Field-effect transistors with source/drain regions of reduced topography
US10312334B2 (en) * 2016-04-29 2019-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid doping profile
US10707315B2 (en) 2016-04-29 2020-07-07 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid doping profile
CN107346782A (en) * 2016-05-04 2017-11-14 台湾积体电路制造股份有限公司 Fin FET and its manufacture method
US10079291B2 (en) * 2016-05-04 2018-09-18 Taiwan Semiconductor Manufacturing Co., Ltd. Fin-type field effect transistor structure and manufacturing method thereof
US20190027579A1 (en) * 2016-05-04 2019-01-24 Taiwan Semiconductor Manufacturing Co., Ltd. Fin-type field effect transistor
US10950710B2 (en) * 2016-05-04 2021-03-16 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-type field effect transistor
US10586852B2 (en) * 2016-11-09 2020-03-10 Samsung Electronics Co., Ltd. Semiconductor device
US10892263B2 (en) 2018-06-15 2021-01-12 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor device

Also Published As

Publication number Publication date
US20200357900A1 (en) 2020-11-12
US20170154979A1 (en) 2017-06-01
US9570587B2 (en) 2017-02-14
CN103247535B (en) 2016-06-08
US11532730B2 (en) 2022-12-20
KR101393134B1 (en) 2014-05-08
CN103247535A (en) 2013-08-14
TWI498950B (en) 2015-09-01
US20150179771A1 (en) 2015-06-25
US10727319B2 (en) 2020-07-28
TW201334045A (en) 2013-08-16
KR20130091620A (en) 2013-08-19

Similar Documents

Publication Publication Date Title
US11532730B2 (en) Method of forming a FinFET device by implantation through capping layer
US11574907B2 (en) Enhanced channel strain to reduce contact resistance in NMOS FET devices
US11211455B2 (en) Formation of dislocations in source and drain regions of FinFET devices
US8445340B2 (en) Sacrificial offset protection film for a FinFET device
US9911735B2 (en) Fin-like field effect transistor (FinFET) device and method of manufacturing same
US10090300B2 (en) Fin-like field effect transistor (FinFET) device and method of manufacturing same
US9653581B2 (en) Semiconductor device and method of making
US20120012932A1 (en) Fin-like field effect transistor (finfet) device and method of manufacturing same
US20130017660A1 (en) Self-aligned source and drain structures and method of manufacturing same
US9412838B2 (en) Ion implantation methods and structures thereof
KR20160110908A (en) A semiconductor device, a finfet device, and a method for fabricating the same

Legal Events

Date Code Title Description
AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.,

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:LO, WEN-CHENG;CHANG, SUN-JAY;REEL/FRAME:027674/0038

Effective date: 20120206

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION