KR20170091007A - 반도체 디바이스 및 그 제조 방법 - Google Patents

반도체 디바이스 및 그 제조 방법 Download PDF

Info

Publication number
KR20170091007A
KR20170091007A KR1020170006010A KR20170006010A KR20170091007A KR 20170091007 A KR20170091007 A KR 20170091007A KR 1020170006010 A KR1020170006010 A KR 1020170006010A KR 20170006010 A KR20170006010 A KR 20170006010A KR 20170091007 A KR20170091007 A KR 20170091007A
Authority
KR
South Korea
Prior art keywords
layer
silicide
metal
metal layer
source
Prior art date
Application number
KR1020170006010A
Other languages
English (en)
Inventor
치아밍 수
페이유 추
치에핀 사오
광유안 수
지휴에이 첸
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20170091007A publication Critical patent/KR20170091007A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28052Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a silicide layer formed by the silicidation reaction of silicon with a metal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28061Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a metal or metal silicide formed by deposition, e.g. sputter deposition, i.e. without a silicidation reaction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • H01L21/32053Deposition of metallic or metal-silicide layers of metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76867Barrier, adhesion or liner layers characterized by methods of formation other than PVD, CVD or deposition from a liquids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • H01L21/76889Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances by forming silicides of refractory metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/408Electrodes ; Multistep manufacturing processes therefor with an insulating layer with a particular dielectric or electrostatic property, e.g. with static charges or for controlling trapped charges or moving ions, or with a plate acting on the insulator potential or the insulator charges, e.g. for controlling charges effect or potential distribution in the insulating layer, or with a semi-insulating layer contacting directly the semiconductor surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

반도체 디바이스를 제조하는 방법에 있어서, 소스/드레인 영역 또는 게이트 전극 위에 배치되는 하나 이상의 유전체층에 제1 컨택홀이 형성된다. 접착층이 제1 컨택홀에 형성된다. 제1 금속층이 제1 컨택홀 내의 접착층 상에 형성된다. 실리사이드층이 제1 금속층의 상면 상에 형성된다. 실리사이드층은 제1 금속층과 동일한 금속 원소를 포함한다.

Description

반도체 디바이스 및 그 제조 방법{A SEMICONDUCTOR DEVICE AND A METHOD FOR FABRICATING THE SAME}
<관련 출원과의 상호 참조>
본 출원은 2016년 1월 29일에 출원한 미국 특허 가출원 번호 제62/289,148호에 대해 우선권을 주장하며, 이 우선권 출원의 전체 개시내용은 참조에 의해 본 명세서에 포함된다.
<기술 분야>
본 개시내용은 반도체 디바이스를 제조하는 방법에 관한 것이며, 보다 구체적으로는 소스/드레인 영역 위에 전도성 층이 있는 구조 및 그 전도성 층을 제조하는 방법에 관한 것이다.
반도체 디바이스의 치수가 감소함에 따라, 알루미늄 및 구리 이외의 다양한 금속이 사용되고 있다. 예를 들어, 코발트(Co)가 비아나 컨택 구조용 전도성 금속으로서 사용되고 있다. Co가 활성 물질이라서 산소, 습기 또는 산과 쉽게 반응하기 때문에, 여러 방면에서 Co를 적합한 방법으로 사용하기가 곤란하다.
본 개시내용은 첨부 도면을 참조한 이하의 상세한 설명으로부터 가장 잘 이해된다. 해당 산업계의 표준적 기법에 따라, 다양한 피쳐들이 실측으로 도시되지 않으며, 예시적인 용도로만 이용됨을 강조한다. 사실상, 다양한 피쳐의 치수는 설명의 편의상 임의대로 확대 또는 축소될 수 있다.
도 1a는 본 개시내용의 일 실시형태에 따른 반도체 디바이스의 순차적 제조 공정의 다양한 스테이지 중 하나를 도시하는 (위에서 바라본) 예시적인 평면도를 보여준다. 도 1b는 도 1a의 X1-X1 선을 따른 예시적인 단면도를 보여준다. 도 1c는 게이트 구조의 확대도이다. 도 1d는 본 개시내용의 일 실시형태에 따른 반도체 디바이스의 순차적 제조 공정의 다양한 스테이지 중 하나를 도시하는 예시적인 평면도를 보여준다.
도 2 내지 도 9는 본 개시내용의 일 실시형태에 따른 반도체 디바이스의 순차적 제조 공정의 다양한 스테이지를 도시하는, 도 1a의 X1-X1 선에 대응하는 예시적인 단면도를 보여준다.
도 10a 내지 도 10c는 본 개시내용의 일부 실시형태에 따른 예시적인 단면도를 보여준다.
도 11a 내지 도 11c는 본 개시내용의 일부 실시형태에 따른 예시적인 단면도를 보여준다.
도 12는 본 개시내용의 다른 실시형태에 따른 예시적인 단면도를 보여준다.
도 13은 본 개시내용의 다른 실시형태에 따른 예시적인 단면도를 보여준다.
도 14 내지 도 17은 본 개시내용의 다른 실시형태에 따른 반도체 디바이스의 순차적 제조 공정의 다양한 스테이지를 도시하는, 도 1a의 X1-X1 선에 대응하는 예시적인 단면도를 보여준다.
도 18 내지 도 21은 본 개시내용의 다른 실시형태에 따른 반도체 디바이스의 순차적 제조 공정의 다양한 스테이지를 도시하는, 도 1a의 X1-X1 선에 대응하는 예시적인 단면도를 보여준다.
이하의 개시내용에서는 본 발명의 상이한 특징을 구현하기 위해 다수의 상이한 실시형태 또는 실시예를 제공한다. 본 개시내용을 단순화하기 위해 구성요소 및 구성의 특정 실시형태 또는 실시예에 대해 후술한다. 물론 이들은 예시일뿐이며, 한정되는 것을 목적으로 하지 않는다. 예를 들어, 요소들의 치수는 개시하는 범위 또는 수치에 한정되지는 않지만, 디바이스의 공정 조건 및/또는 바람직한 특성에 종속될 수는 있다. 또한, 이어지는 설명에 있어서 제2 피쳐 위(over) 또는 상(on)의 제1 피쳐의 형성은 제1 및 제2 피쳐가 직접 접촉으로 형성되는 실시형태를 포함할 수 있고, 또한 제1 및 제2 피쳐가 직접 접촉하지 않도록 제1 및 제2 피쳐 사이에 추가 피쳐가 형성될 수 있는 실시형태도 또한 포함할 수 있다. 단순화와 명확화를 위해 다양한 피쳐가 상이한 스케일로 임의대로 도시될 수 있다.
또한, "아래(beneath)", "밑(below)", "하위(lower)", "위(above)", "상위(upper)" 등의 공간 관련 용어는 도면에 나타내는 바와 같이 한 요소 또는 피쳐와 다른 요소(들) 또는 피쳐(들)와의 관계를 설명함에 있어서 설명의 용이성을 위해 본 명세서에 이용될 수 있다. 공간 관련 용어는 도면에 나타내는 방위와 함께, 사용 또는 동작 시의 디바이스의 상이한 방위를 포함하는 것을 의도한다. 장치는 다른 식으로 지향(90도 또는 다른 방위로 회전)될 수 있으며 본 명세서에 사용한 공간 관련 기술자(descriptor)는 그에 따라 마찬가지로 해석될 수 있다. 또한, "~로 제조되는(made of)"이란 기재는 "포함하는(comprising)" 또는 "~로 구성되는(consisting of)" 중 하나를 의미할 수 있다.
도 1a와 도 1b는 본 개시내용의 일 실시형태에 따른 반도체 디바이스의 순차적 제조 공정의 스테이지 중 하나를 보여준다. 도 1a는 평면(상면)도를 보여주고, 도 1b는 도 1a의 X1-X1 선을 따른 단면도를 보여준다.
도 1a와 도 1b는 금속 게이트 구조가 형성된 후의 반도체 디바이스의 구조를 보여준다. 도 1a와 도 1b에서는, 금속 게이트 구조(10)가 채널층 위에 형성되는데, 예컨대 핀 구조(fin structure)(5)의 일부와 캡 절연층(20)이 금속 게이트 구조(10) 위에 배치된다. 핀 구조(5)는 기판(1) 위에 배치되며, 격리 절연층 영역(3)으로부터 돌출한다. 도 2와 그 다음의 도면에서는, 기판(1)과 격리 절연층(3)이 생략된다. 금속 게이트 구조(10)의 두께는 일부 실시형태에 있어서 15 nm 내지 약 50 nm의 범위에 있다. 캡 절연층(20)의 두께는 일부 실시형태에서는 약 10 nm 내지 약 30 nm의 범위 내에 있고, 다른 실시형태에서는 약 15 nm 내지 약 20 nm의 범위 내에 있다. 금속 게이트 구조(10)와 캡 절연층(20)의 측벽 상에는 측벽 스페이서(30)가 제공된다. 측벽 스페이서의 바닥부에서의 측벽 스페이서(30)의 막두께는 일부 실시형태에서는 약 3 nm 내지 약 15 nm의 범위 내에 있고, 다른 실시형태에서는 약 4 nm 내지 약 10 nm의 범위 내에 있다. 금속 게이트 구조(10), 캡 절연층(20) 및 측벽 스페이서(30)의 조합을 총칭해서 게이트 구조라고 할 수 있다. 또한, 소스/드레인(S/D) 영역(50)이 게이트 구조에 인접해 형성되고, 컨택 에칭 정지층(CESL, contact etch stop layer)(33)이 게이트 구조 및 S/D 영역(50) 위에 형성된다. 일부 실시형태에 있어서, CESL(33)의 막두께는 약 1 nm 내지 약 20 nm의 범위 내에 있다. 게이트 구조 사이의 공간은 제1 ILD(interlayer dielectric)층(40)으로 충전된다. 실리사이드층(55)이 S/D 영역(50) 상에 또한 형성된다. 본 개시내용에서는, 소스와 드레인이 상호교환적으로 사용되는데, 실질적으로 구조적인 차이는 없다. 용어 "소스/드레인(S/D)"은 소스와 드레인 중 하나를 가리킨다.
실리사이드층(55)은 코발트 실리사이드(예, CoSi, CoSi2, Co2Si, Co2Si, Co3Si; 총칭하여 "Co 실리사이드"), 티탄 실리사이드(예, Ti5Si3, TiSi, TiSi2, TiSi3, Ti6Si4; 총칭하여 "Ti 실리사이드"), 니켈 실리사이드(예, Ni3Si, Ni31Si12, Ni2Si, Ni3Si2, NiSi, NiSi2; 총칭하여 "Ni 실리사이드"), 구리 실리사이드(예, Cu17Si3, Cu56Si11,Cu5Si, Cu33Si7, Cu4Si, Cu19Si6, Cu3Si, Cu87Si13; 총칭하여 "Cu 실리사이드"), 텅스텐 실리사이드(W5Si3, WSi2; 총칭하여 "W 실리사이드"), 및 몰리브덴 실리사이드(Mo3Si, Mo5Si3, MoSi2; 총칭하여 "Mo 실리사이드") 중 하나 이상을 포함한다.
도 1c는 게이트 구조의 확대도이다. 금속 게이트 구조(10)는 Al, Cu, W, Ti, Ta, TiN, TiAl, TiAlC, TiAlN, TaN, NiSi, CoSi, 기타 전도성 재료 등의 금속 재료의 하나 이상의 층(16)을 포함한다. 채널층(5)과 금속 게이트 사이에 배치되는 게이트 유전체층(12)은 하이k(high-k) 금속 산화물 등의 금속 산화물의 하나 이상의 층을 포함한다. 하이k 유전체에 이용되는 금속 산화물의 예는 Li, Be, Mg, Ca, Sr, Sc, Y, Zr, Hf, Al, La, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Lu, 및/또는 이들의 혼합물의 산화물을 포함한다. 일부 실시형태에 있어서, 1-3 nm 두께를 가진 SiO2로 제조된 계면층이 채널층(5)과 하이k 게이트 유전체층(12) 사이에 형성된다.
일부 실시형태에 있어서, 게이트 유전체층(12)과 금속 재료(16) 사이에는 하나 이상의 일함수 조절층(14)이 개재된다 일함수 조절층(14)은 TiN, TaN, TaAlC, TiC, TaC, Co, Al, TiAl, HfTi, TiSi, TaSi 또는 TiAlC로 된 단일층, 또는 이들 재료 중 2개 이상의 재료로 된 다층과 같은 전도성 재료로 제조된다. n채널 FET의 경우, TaN, TaAlC, TiN, TiC, Co, TiAl, HfTi, TiSi 및 TaSi 중 하나 이상이 일함수 조절층으로서 이용되고, p채널 FET의 경우, TiAlC, Al, TiAl, TaN, TaAlC, TiN, TiC 및 Co 중 하나 이상이 일함수 조절층으로서 이용된다.
캡 절연층(20)은 SiN, SiCN 및 SiOCN을 비롯한 실리콘 질화물계 재료와 같은 절연 재료의 하나 이상의 층을 포함한다. 측벽 스페이서(30)는 캡 절연층(20)과는 상이한 재료로 제조되며, SiN, SiCN 및 SiOCN을 비롯한 실리콘 질화물계 재료 등의 절연 재료의 하나 이상의 층을 포함한다. CESL(33)은 캡 절연층(20)과는 상이한 재료로 제조되며, SiN, SiON, SiCN 및 SiOCN을 비롯한 실리콘 질화물계 재료 등의 절연 재료의 하나 이상의 층을 포함한다. 제1 ILD층(40)은 실리콘 산화물, SiOC, SiOCN나 SiCN 또는 기타 로우k(low-k) 재료, 또는 다공성 재료의 하나 이상의 층을 포함한다. 제1 ILD층(40)은 LPCVD(low pressure chemical vapor deposition), 플라즈마-CVD 또는 기타 적절한 성막 방법에 의해 형성될 수 있다.
CESL(33), 측벽 스페이서(30)의 재료, 캡 절연층(20)의 재료, 및 제1 ILD층(40)의 재료가 서로 상이할 수도 있기 때문에, 이들 층의 각각은 선택적으로 에칭될 수 있다. 일 실시형태에 있어서, CESL층(33)은 SiN로 제조되고, 측벽 스페이서(30)는 SiOCN, SiCN 또는 SiON로 제조되며, 캡 절연층(20)은 SiN 또는 SiON로 제조되고, 제1 ILD(40)은 SiO2로 제조된다.
본 실시형태에서는, 게이트 대체 공정에 의해 제조된 FIN FET(fin field effect transistor)이 채택된다.
도 1d는 Fin(핀) FET 구조의 예시적인 투시도이다. 핀 FET 구조는 다음의 단계들에 의해 제조될 수 있다.
먼저, 핀 구조(310)가 기판(300) 위에 제조된다. 핀 구조는 바닥 영역과, 채널 영역(315)인 상부 영역을 포함한다. 기판은 예컨대 약 1x1015 cm-3 내지 약 1x1018 cm-3의 범위 내에 불순물 농도를 가진 p타입 실리콘 기판이다. 다른 실시형태에 있어서, 기판은 약 1x1015 cm-3 내지 약 1x1018 cm-3의 범위 내에 불순물 농도를 가진 n타입 실리콘 기판이다. 한편, 기판은 게르마늄 등의 다른 원소 반도체와, SiC 및 SiGe 등의 IV-IV족 화합물 반도체와, GaAs, GaP, GaN, InP, InAs, InSb, GaAsP, AlGaN, AlInAs, AlGaAs, GaInAs, GaInP, 및/또는 GaInAsP 등의 III-V족 화합물 반도체를 포함하는 화합물 반도체, 또는 이들의 조합을 포함할 수도 있다. 일 실시형태에 있어서, 기판은 SOI(실리콘 온 절연체, silicon-on-insulator) 기판의 실리콘층이다.
핀 구조(310)를 형성한 후에, 그 핀 구조(310) 위에 격리 절연층(320)이 형성된다. 격리 절연층(320)은 LPCVD, 플라즈마 CVD 또는 유동성 CVD(flowable CVD)에 의해 형성된, 실리콘 산화물, 실리콘 산질화물 또는 실리콘 질화물 등의 절연 재료의 하나 이상의 층을 포함한다. 격리 절연층은 SOG(spin-on-glass), SiO, SiON, SiOCN 및/또는 FSG(fluoride-doped silicate glass)의 하나 이상의 층에 의해 형성될 수 있다.
핀 구조 위에 격리 절연층(320)을 형성한 후에, 그 격리 절연층(320)의 부분을 제거하기 위해 평탄화 단계가 행해진다. 평탄화 단계는 화학적 기계 연마(chemical mechanical polishing, CMP) 및/또는 에치백(etch-back) 공정을 포함할 수 있다. 그런 다음, 격리 절연층(320)은 핀 구조의 상위 영역이 노출되도록 추가 제거된다(리세싱된다).
노출된 핀 구조 위에 더미 게이트 구조가 형성된다. 더미 게이트 구조는 폴리실리콘으로 제조된 더미 게이트 전극층 및 더미 게이트 유전체층을 포함한다. 절연 재료의 하나 이상의 층을 포함하는 측벽 스페이서(350)도 더미 게이트 전극층의 측벽 상에 형성된다. 더미 게이트 구조가 형성된 후에, 더미 게이트 구조로 덮이지 않는 핀 구조(310)가 격리 절연층(320)의 상면 밑에서 리세싱된다. 그런 다음, 에피택셜 성장 방법을 이용해, 리세싱된 핀 구조 위에 소스/드레인 영역(360)이 형성된다. 소스/드레인 영역은 응력을 채널 영역(315)을 가하는 응력 재료를 포함할 수 있다.
그런 다음, 더미 게이트 구조와 소스/드레인 영역 위에 층간 유전체층(ILD)(370)이 형성된다. ILD층(370)은 실리콘 산화물, SiOC, SiOCN이나 SiCN 또는 기타 로우k(low-k) 재료, 또는 다공성 재료의 하나 이상의 층을 포함한다. 평탄화 단계 후에, 더미 게이트 구조는 게이트 스페이스를 만들기 위해 제거된다. 그런 다음, 게이트 스페이스에는, 하이k 유전체층 등의 게이트 유전체층 및 금속 게이트 전극을 포함하는 금속 게이트 구조(330)가 형성된다. 또한, 도 1d에 도시하는 Fin FET 구조를 얻기 위하여, 금속 게이트 구조(330) 위에 캡 절연층(340)이 형성된다. 도 1d에서, 하위 구조를 보여주기 위해 금속 게이트 구조(330), 캡 절연층(340), 측벽(330) 및 ILD(370)의 부분이 잘려져 있다.
도 1d의 금속 게이트 구조(330), 캡 절연층(340), 측벽(330), 소스/드레인(360) 및 ILD(370)은 실질적으로 도 1a와 도 1b의 금속 게이트 구조(10), 캡 절연층(20), 측벽 스페이서(30), 소스/드레인(50) 및 제1 ILD(40)에 상응한다.
도 2 내지 도 9는 본 개시내용의 일 실시형태에 따른 반도체 디바이스의 순차적 제조 공정의 다양한 스테이지를 도시하는, 도 1a의 X1-X1 선에 대응하는 예시적인 단면도를 보여준다. 도 2 내지 도 9에 나타내는 공정의 이전, 동안, 이후에 추가 단계들이 제공될 수 있으며, 후술하는 단계들의 일부는 방법의 추가 실시형태를 위해 대체 또는 삭제될 수 있는 것은 물론이다. 단계/공정의 순서는 교체될 수도 있다.
도 2에 나타내는 바와 같이, 도 1b의 구조 위에 제2 ILD층(60)이 형성된다. 재료 및 제조 공정은 전술한 제1 ILD층(40)의 것과 같다. 일부 실시형태에 있어서, 예컨대, SiN, SiC 또는 SiCN로 제조된 CESL(contact etch stop layer)(도시 생략)이 제1 ILD층(40)과 제2 ILD층(60) 사이에 형성된다.
그런 다음, 도 3에 도시하는 바와 같이, 게이트 구조의 금속 게이트(10)와 S/D 영역의 실리사이드층(55)의 상면을 일부 노출시키기 위해 제1 및 제2 ILD층에 컨택홀(65)이 형성된다. 일부 실시형태에서는, 게이트 실리사이드층도 금속 게이트 구조(10) 상에 형성되고, 게이트 실리사이드층은 컨택홀을 형성함으로써 노출된다.
컨택홀(65)이 형성된 후에, 접착(글루)층(70)의 블랭킷층이 형성된 다음, 도 4에 도시하는 바와 같이, 상면 전체를 덮기 위해 제1 금속층(75)이 형성된다.
접착층(adhesive layer)(70)은 전도성 재료의 하나 이상의 층을 포함한다. 일부 실시형태에 있어서, 접착층(70)은 Ti층 상에 형성되는 TiN층을 포함한다. TiN층과 Ti층의 각각의 두께는 일부 실시형태에서 약 1 nm 내지 약 5 nm의 범위 내에 있다. 접착층(70)은 CVD, 스퍼터링을 비롯한 PVD(physical vapor deposition), ALD(atomic layer deposition), 전기 도금 또는 이들의 조합, 또는 기타 적절한 성막 방법으로 형성될 수 있다. 접착층(70)은 제1 금속층(75)이 박리되는 것을 막는데 이용된다. 일부 실시형태에서는, 접착층(70)이 이용되지 않고, 제1 금속층(75)이 컨택홀 안에 직접 형성된다. 이러한 경우에, 제1 금속층(75)은 실리사이드층(55)과 접촉한다.
제1 금속층(75)은 Co, W, Mo 및 Cu 중 하나이다. 일 실시형태에서는, Co가 금속층(75)으로서 이용된다. 제1 금속층(75)은 CVD, PVD, ALD, 전기 도금 또는 이들의 조합, 또는 기타 적절한 성막 방법으로 형성될 수 있다.
"두꺼운" 제1 금속층이 형성된 후에, 도 5에 도시하는 바와 같이, 제2 ILD층(60)의 상면 상에 퇴적된 제1 금속층 및 접착층을 제거하기 위해 CMP(chemical mechanical polishing) 또는 에치백 단계(etch-back operation) 등의 평탄화 단계가 수행된다.
후속하여, 도 6에 도시하는 바와 같이, 제1 금속층(75)의 상면 위에 상부 실리사이드층(80)이 형성된다. 일부 실시형태에 있어서, 실리사이드층(80)은 제1 금속층(75)과 동일한 금속 원소를 함유한다. 예를 들면, 제1 금속층(75)이 Co로 제조되면, 실리사이드층(80)은 Co 실리사이드이다. 제1 금속층(75)이 W로 제조되면, 실리사이드층(80)은 W 실리사이드이다. 제1 금속층(75)이 Mo로 제조되면, 실리사이드층(80)은 Mo 실리사이드이다. 제1 금속층(75)이 Cu로 제조되면, 실리사이드층(80)은 Cu 실리사이드이다.
제1 금속층(75)이 Co로 제조되면, 하나 이상의 희석 가스(예, He, H2)와 함께 SiH4 및/또는 Si2H6 가스(실란 소스 가스)가, 도 5의 구조를 구비한 기판이 배치되어 있는 진공 챔버 내에 도입된다. 실란 소스 가스를 공급하기에 앞서 H2 가스를 공급함으로써, Co층(75)의 표면 상의 산화물층(예컨대, CoOx)이 감소할 수 있고, 깨끗하고 순수한 Co 표면이 얻어질 수 있다. 실란 소스 가스에는 He 및/또는 H2 희석 가스가 공급된다. N2 또는 기타 질소 소스 가스를 이용하지 않고 He 및/또는 H2의 희석 가스를 이용함으로써, Co 및/또는 CoSi 층의 질화를 막는 것이 가능하다.
기판은 일부 실시형태에 있어서 약 300℃ 내지 약 800℃에서 가열된다. 이 조건 하에서, 제1 금속층(75)의 표면에 있는 코발트 원자가 실란 소스 가스로부터의 실리콘 원자와 반응하여, Co 실리사이드층(80)을 형성한다. 일부 실시형태에서는, Co 실리사이드층(80)이 형성된 후에 추가 어닐링 단계가 수행된다. 추가 어닐링은 H2, NH3, He 및 Ar 중 하나 이상의 환경에서 약 300℃ 내지 약 800℃의 범위 내의 온도로 행해진다. 일부 실시형태에서는, NH3가 어닐링 가스로서 이용된다. 전술한 단계에 의해, 일부 실시형태에 있어서, 약 0.1 nm 내지 약 5 nm의 표면 두께를 갖는 힐록(hillock) 없는 CoSi층을 취득할 수 있다.
온도가 고온, 예컨대 약 700-800℃일 경우, CoSi2가 주로 형성된다. 온도가 저온, 예컨대 약 300-400℃일 경우, Co2Si가 주로 형성된다. 온도가 약 400-600℃일 경우, CoSi가 주로 형성된다. CoSi2가 Co2Si 또는 CoSi보다는 저항성이 낮은 것을 알아야 한다. 추가 열 단계들이 행해질 수도 있다.
마찬가지로, 제1 금속층(75)이 Cu 또는 Ti로 제조될 경우, 실리사이드층(80)은 실란 소스 가스를 이용해 형성될 수 있다.
다른 실시형태에 있어서, 얇은 실리콘층, 예컨대 폴리실리콘층이나 비정질층이 도 5의 구조 위에 형성된 다음, 제1 금속층(75) 상에 실리사이드층(80)을 형성하기 위해 어닐링 단계가 행해진다. 이러한 경우에, 제2 ILD층(60) 상에 형성된 실리콘층은 습식 에칭을 이용하여, 실리사이드층의 형성 후에 제거된다.
일부 실시형태에 있어서, 실리사이드층(80)의 두께는 약 3 nm 내지 약 5 nm의 범위 내에 있다.
후속하여, 도 7에 도시하는 바와 같이, 실리사이드층(80)과 제2 ILD층(60) 위에 ESL(etch stop layer)(90)이 형성된다. ESL(90)은 SiN, SiC, SiCN 또는 SiON의 하나 이상의 층을 포함한다. 일부 실시형태에 있어서, ESL(90)의 두께는 약 10 nm 내지 약 30 nm의 범위 내에 있다.
ESL(90)은 N2 또는 NH3 등의 질소 소스 가스, CH4 등의 탄소 소스 가스 및/또는 O2 등의 산소 소스 가스를 가진 SiH4 및/또는 Si2H6 가스를 이용해 플라즈마 강화 CVD로 형성될 수 있다. 같은 실란기가 이용될 수 있기 때문에, Co 실리사이드층(80)의 형성에 사용된 동일한 진공 챔버 또는 동일한 성막 툴에서, 소스 가스 및 온도 또는 압력 등의 다른 조건을 간단히 변경함으로써, ESL(90)의 퇴적이 행해질 수 있다. 일 실시형태에 있어서, ESL 퇴적에 앞서 NH3 등의 질소 소스 가스가 공급되어, ESL층의 형성 시에 유전체 재료(예, SiN)에, 있다면, 제2 ILD층(60)의 표면 상의 잔여 Si가 형성될 수 있다.
다음으로, 도 8에 나타내는 바와 같이, ESL(90) 위에 제3 ILD층(100)이 형성된다. 제3 ILD(100)의 재료와 제조 공정은 전술한 제1 ILD층(40) 및/또는 제2 ILD층(60)의 것과 같다. 또한, 컨택 개구(109)가 제3 ILD층 및 ESL(90)에 형성된다. 일부 실시형태에 있어서, 컨택 개구(109)를 형성하기 위한 에칭 단계는 실리사이드층(80)에서 정지한다. 다시 말해, 실리사이드층(80)은 에칭 정지층으로서 기능할 수 있다. 다른 실시형태에 있어서, 컨택 에칭 동안, 컨택 개구의 바닥부에 있는 실리사이드층(80)은 에칭되어 제거된다.
또한, 도 9에 도시하는 바와 같이, 제1 금속층(75)에 전기적으로 접속되기 위해 컨택 개구(109) 내에 비아 플러그(110)가 형성된다. 비아 플러그(110)는 TiN, Ti, Cu, Al, W 또는 이들의 합금 또는 기타 적절한 재료 등의 도전성 재료의 하나 이상의 층을 포함한다.
도 9에 도시하는 디바이스에 대해, 배선 금속층, 유전체층, 패시베이션층 등과 같은 다양한 피쳐를 형성하기 위해 추가 CMOS 공정이 행해질 수 있는 것은 물론이다.
도 10a 내지 도 10c는 본 개시내용의 일부 실시형태에 따른 예시적인 단면도를 보여준다. 도 10a 내지 도 10c는 구조의 관련 부분만 도시한다.
도 10a에서는, 실리사이드층(80)이 제1 금속층(75)의 상면을 전체적으로 덮는다. 도 10b와 도 10c에서는, 도 10a와는 달리, 실리사이드층(80)이 제1 금속층(75)의 상면을 일부만 덮는다. 도 10b에서는, 실리사이드층(80)이 비아 플러그(110) 아래에만 형성된다. 도 10c에서는, 비아 플러그(110)가 형성되는 영역을 제외한, 제1 금속층(75)의 상면 위에 실리사이드층(80)이 형성된다. 일부 실시형태에 있어서, 도 10c의 구조를 제조하기 위해, 컨택 개구(109)가 형성되기 전에, 플라즈마 처리 또는 이온 충격 처리를 이용하여 실리사이드층(80)이 제거된 다음, 실리사이드가 제거된 오목부를 충전하기 위해 금속 재료(예, Co)가 선택적으로 성장한다.
도 11a 내지 도 11c는 본 개시내용의 일부 실시형태에 따른 예시적인 단면도를 보여준다. 도 11a 내지 도 11c는 구조의 관련 부분만 도시한다.
컨택 개구(109)를 형성하기 위한 (예컨대, 에칭 조건을 넘는) 컨택 에칭 조건에 종속하여, 비아 플러그의 바닥부의 위치가 달라진다. 도 11b에서는, 비아 플러그(110)의 바닥부가 실리사이드층(80)의 상면에 위치한다. 도 11b에서는, 비아 플러그(110)의 바닥부가 실리사이드층(80)의 중간부에 Z 방향으로 위치한다. 다시 말해, 비아 플러그(110)가 실리사이드층(80) 내에 부분적으로 매립된다. 도 10a에서는, 비아 플러그(110)의 바닥부가 제1 금속층(75)의 상면과 접촉한다. 다시 말해, 비아 플러그(110)가 실리사이드층(80)을 관통한다.
도 12는 본 개시내용의 다른 실시형태에 따른 예시적인 단면도를 보여준다. 도 12는 구조의 관련 부분만 도시한다.
도 12에서는, 상대적으로 두꺼운 실리사이드층(80)이 형성된다. 일부 실시형태에 있어서, 실리사이드층(80)의 두께는 약 5 nm 내지 약 10 nm의 범위 내에 있다. 도 12에 도시하는 바와 같이, 실리사이드층(80)은 제2 ILD층(60)의 상면 위에 돌출한다. 따라서, CESL(90)은 융기된 단차를 갖는다. 제2 ILD층 위의 CESL(90)의 상면의 높이와 실리사이층(80) 위의 CESL(90)의 상면의 높이 차(H1)는 일부 실시형태에서는 약 0.5 nm 내지 약 4 nm의 범위 내에 있다.
도 13은 본 개시내용의 다른 실시형태에 따른 예시적인 단면도를 보여준다. 일부 실시형태에 있어서, 제1 금속층은 예컨대 평면도에서의 Y 방향으로 긴 실질적으로 직사각형 형상을 갖는다. 이러한 경우에, 2개(110A 및 110B) 이상의 비아 플러그가, 도 13에 도시하는 바와 같이, 제1 금속층(75) 위에 배치된다.
도 14 내지 도 17은 본 개시내용의 다른 실시형태에 따른 반도체 디바이스의 순차적 제조 공정의 다양한 스테이지를 도시하는, 도 1a의 X1-X1 선에 대응하는 예시적인 단면도를 보여준다. 도 14 내지 도 17에 나타내는 공정의 이전, 동안, 이후에 추가 단계들이 제공될 수 있으며, 후술하는 단계들의 일부는 방법의 추가 실시형태를 위해 대체 또는 삭제될 수 있는 것은 물론이다. 단계/공정의 순서는 교체될 수도 있다. 전술한 실시형태와 유사하거나 동일한 구조, 구성, 재료 및/또는 공정이 이어지는 실시형태에서 채택될 수도 있으며, 상세한 설명은 생략될 수도 있다.
도 6과 도 7의 구조 및 공정과는 달리, CESL(90)와 제3 ILD층(100)은 제1 금속층(75) 위에 실리사이드층을 형성하지 않고서, 도 14에 도시하는 바와 같이, 도 5의 구조 위에 형성된다. 또한, 도 15에 도시하는 바와 같이, 제1 금속층(75)의 상면의 일부를 노출시키기 위해, 제3 ILD층(100) 및 CESL(90)에 컨택 개구(109)가 형성된다.
그런 다음, 제1 금속층(75)의 상면 상에서 컨택 개구(109)의 바닥부에 실리사이드층(80)이 형성된다. 전술한 바와 유사한 실리사이드 형성 단계가 이용될 수 있다.
후속해서, 도 17에 도시하는 바와 같이, 컨택 개구(109)에 비아 플러그(110)가 형성된다. 도 17에서는, 도 10b와 마찬가지로, 실리사이드층(80)이 비아 플러그(110) 아래에만 형성된다. 일부 실시형태에서는, CESL(90)의 두께가 비아 플러그(110)의 절반만큼 두껍거나 그보다 더 두껍다.
도 18 내지 도 21은 본 개시내용의 다른 실시형태에 따른 반도체 디바이스의 순차적 제조 공정의 다양한 스테이지를 도시하는, 도 1a의 X1-X1 선에 대응하는 예시적인 단면도를 보여준다. 도 18 내지 도 21에 나타내는 공정의 이전, 동안, 이후에 추가 단계들이 제공될 수 있으며, 후술하는 단계들의 일부는 방법의 추가 실시형태를 위해 대체 또는 삭제될 수 있는 것은 물론이다. 단계/공정의 순서는 교체될 수도 있다. 전술한 실시형태와 유사하거나 동일한 구조, 구성, 재료 및/또는 공정이 이어지는 실시형태에서 채택될 수도 있으며, 상세한 설명은 생략될 수도 있다.
전술한 실시형태에 있어서, 도 2와 도 3에 도시하는 바와 같이, CESL(33)이 형성되고 컨택홀(65)이 형성되기 전에, 실리사이드층(55)이 형성된다. 이어지는 실시형태에서는, 도 18에 도시하는 바와 같이, 소스/드레인(S/D) 영역(50) 위에 실리사이드층을 형성하지 않고서 CESL(33)이 형성된다. 그리고, 도 19에 도시하는 바와 같이, S/D 영역(50)의 부분을 노출시키기 위해 컨택홀(65)이 형성된다.
후속해서, 도 20에 도시하는 바와 같이, S/D 영역(50) 위에 실리사이드층(55)이 형성된다. 도 4 및 도 5에서와 유사한 단계를 이용하여, 선택적인 접착층(70)과 제1 금속층(75)이 도 21에 도시하는 바와 같이 형성된다. 도 21에 도시하는 바와 같이, 실리사이드층(55)은 S/D 영역(50) 사이의 계면에만 형성되고, CESL(33)은 S/D 영역(50)과 직접 접촉한다.
도 20에 도시하는 바와 같이 금속 게이트 구조(10) 위의 컨택홀의 바닥부에도 실리사이드층(50)이 형성된다.
도 21에 도시한 구조가 형성된 후에, 비아 플러그(110)를 형성하기 위한, 앞의 실시형태에서 설명한 단계들이 행해진다.
여기에서 설명하는 다양한 실시형태 또는 예는 종래기술을 능가한 여러가지 효과를 제공한다. 예를 들어, 본 개시내용에 있어서, 실리사이드층(예, Co 실리사이드)이 제1 금속층(예, Co)의 표면 상에 형성되기 때문에, 실리사이드층은 하부의 금속층(예, Co)이 공기 중에서 또는 후속 제조 단계 중에 산화 또는 손상되는 것을 보호할 수 있는 패시베이션층으로서 기능한다. 또한, 실리사이드층은 비아 플러그용 컨택 개구가 형성될 때에 에칭 정지층으로서 기능하여, 비아가 하부층을 관통하는 것을 막을 수 있다. 또한, 실리사이드층은 제1 금속층의 표면 상에 선택적으로 형성될 수 있고, 실리사이드층과 CESL은 동일한 진공 챔버 또는 동일한 성막 툴에서 형성될 수 있다. 이들 특징으로, 전류 누설을 막을 수 있다. Si층이 전체 웨이퍼 상에 퇴적되어 실리사이드층으로 개질되면, 잔여 Si가 제1 금속층 사이에서 누설을 일으킬 수도 있다.
본 명세서에서 모든 효과에 대해 반드시 논의하지 않고, 특정 효과가 모든 실시형태 또는 실시예에 필요하지는 않으며, 다른 실시형태 또는 실시예는 상이한 효과를 제공할 수 있는 것은 물론이다.
본 개시내용의 일 양태에 따르면, 반도체 디바이스를 제조하는 방법에 있어서, 소스/드레인 영역 또는 게이트 전극 위에 배치되는 하나 이상의 유전체층에 제1 컨택홀이 형성된다. 접착층이 제1 컨택홀에 형성된다. 제1 금속층이 제1 컨택홀 내 접착층 상에 형성된다. 실리사이드층이 제1 금속층의 상면 상에 형성된다. 실리사이드층은 제1 금속층과 동일한 금속 원소를 포함한다.
본 개시내용의 다른 양태에 따르면, 반도체 디바이스를 제조하는 방법에 있어서, 소스/드레인 영역 또는 게이트 전극 위에 배치되는 하나 이상의 유전체층에 제1 컨택홀이 형성된다. 제1 금속층이 제1 컨택홀에 형성된다. 상부 실리사이드층이 제1 금속층의 상면 상에 형성된다. 소스/드레인 영역 및 게이트 전극 중 적어도 하나는 하부 실리사이드층을 포함한다. 제1 금속층은 하부 실리사이드층과 접촉한다. 상부 실리사이드층은 제1 금속층의 상면을 적어도 부분적으로 덮는다. 상부 실리사이드층은 제1 금속층과 동일한 금속 원소를 포함한다.
본 개시내용의 또 다른 양태에 따르면, 전계 효과 트랜지스터를 포함하는 반도체 디바이스가, 소스/드레인 영역과, 소스/드레인 영역 상에 형성된 소스/드레인 실리사이드층과, 소스/드레인 실리사이드층에 접속된 제1 컨택을 포함한다. 제1 컨택은 제1 금속층을 포함한다. 제1 금속층의 상면은 적어도 실리사이드층에 의해 덮인다. 실리사이드층은 제1 금속층과 동일한 금속 원소를 포함한다.
이상은 당업자가 본 개시내용의 양태를 더 잘 이해할 수 있도록 여러 실시형태 또는 실시예의 특징을 개관한 것이다. 당업자는 동일한 목적을 달성하기 위한 다른 공정 및 구조를 설계 또는 변형하고/하거나 본 명세서에 소개하는 실시형태들 또는 실시예들의 동일한 효과를 달성하기 위한 기본으로서 본 개시내용을 용이하게 이용할 수 있다고 이해할 것이다. 또한 당업자라면 그러한 등가의 구조가 본 개시내용의 사상 및 범주에서 벗어나지 않는다는 것과, 본 개시내용의 사상 및 범주에서 일탈하는 일없이 다양한 변화, 대체 및 변형이 이루어질 수 있다는 것을 인식할 것이다.
<부기>
1. 반도체 디바이스를 제조하는 방법에 있어서,
소스/드레인 영역 또는 게이트 전극 위에 배치되는 하나 이상의 유전체층에 제1 컨택홀을 형성하는 단계와,
상기 제1 컨택홀에 접착층(adhesive layer)을 형성하는 단계와,
상기 제1 컨택홀 내의 상기 접착층 상에 제1 금속층을 형성하는 단계와,
상기 제1 금속층의 상면 상에 실리사이드층을 형성하는 단계를 포함하고,
상기 실리사이드층은 상기 제1 금속층과 동일한 금속 원소를 포함하는 것인 반도체 디바이스의 제조 방법.
2. 제1항에 있어서, 상기 실리사이드층 및 상기 하나 이상의 유전체층의 최상층 위에 절연층을 형성하는 단계를 더 포함하고,
상기 절연층은 상기 하나 이상의 유전체층의 상기 최상층과는 상이한 재료로 제조되는 것인 반도체 디바이스의 제조 방법.
3. 제2항에 있어서, 상기 절연층은 SiN, SiC, SiCN 및 SiON 중 적어도 하나로 제조되는 것인 반도체 디바이스의 제조 방법.
4. 제2항에 있어서,
상기 절연층 위에 추가 유전체층을 형성하는 단계와,
상기 추가 절연층과 상기 절연층에 제2 컨택홀을 형성하는 단계와,
상기 제1 금속층 위에, 상기 제1 금속층에 전기적으로 접속되는 제2 금속층을 형성하는 단계를 더 포함하는 반도체 디바이스의 제조 방법.
5. 제4항에 있어서, 상기 제2 금속층은 상기 실리사이드층의 상면과 직접 접촉하는 것인 반도체 디바이스의 제조 방법.
6. 제4항에 있어서, 상기 제2 금속층은 상기 실리사이드층을 관통하고 상기 제1 금속층과 직접 접촉하는 것인 반도체 디바이스의 제조 방법.
7. 제1항에 있어서,
상기 소스/드레인 영역은 소스/드레인 실리사이드층을 포함하고,
상기 접착층은 상기 소스/드레인 실리사이드층과 접촉하는 것인 반도체 디바이스의 제조 방법.
8. 제1항에 있어서, 상기 실리사이드층은 상기 제1 금속층의 상면을 전체적으로 덮는 것인 반도체 디바이스의 제조 방법.
9. 제1항에 있어서, 상기 실리사이드층은 상기 제1 금속층의 상면을 부분적으로 덮는 것인 반도체 디바이스의 제조 방법.
10. 제9항에 있어서,
상기 절연층 위에 추가 유전체층을 형성하는 단계와,
상기 추가 절연층과 상기 절연층에 제2 컨택홀을 형성하는 단계와,
상기 제1 금속층 위에, 상기 제1 금속층에 전기적으로 접속되는 제2 금속층을 형성하는 단계를 더 포함하는 반도체 디바이스의 제조 방법.
11. 제10항에 있어서, 상기 제2 금속층은 상기 제1 금속층과 직접 접촉하는 것인 반도체 디바이스의 제조 방법.
12. 제10항에 있어서, 상기 제2 금속층은 상기 실리사이드층과 직접 접촉하는 것인 반도체 디바이스의 제조 방법.
13. 반도체 디바이스를 제조하는 방법에 있어서,
소스/드레인 영역 또는 게이트 전극 위에 배치되는 하나 이상의 유전체층에 제1 컨택홀을 형성하는 단계와,
상기 제1 컨택홀에 제1 금속층을 형성하는 단계와,
상기 제1 금속층의 상면 상에 상부 실리사이드층을 형성하는 단계를 포함하고,
상기 소스/드레인 영역 및 상기 게이트 전극 중 적어도 하나는 하부 실리사이드층을 포함하며,
상기 제1 금속층은 상기 하부 실리사이드층과 접촉하고,
상기 상부 실리사이드층은 상기 제1 금속층의 상면을 적어도 부분적으로 덮으며,
상기 상부 실리사이드층은 상기 제1 금속층과 동일한 금속 원소를 포함하는 것인 반도체 디바이스의 제조 방법.
14. 제13항에 있어서, 상기 상부 실리사이드층 및 상기 하나 이상의 유전체층의 최상층 위에 절연층을 형성하는 단계를 더 포함하고,
상기 절연층은 상기 하나 이상의 유전체층의 상기 최상층과는 상이한 재료로 제조되는 것인 반도체 디바이스의 제조 방법.
15. 제14항에 있어서,
상기 절연층 위에 추가 유전체층을 형성하는 단계와,
상기 추가 절연층과 상기 절연층에 제2 컨택홀을 형성하는 단계와,
상기 제1 금속층 위에, 상기 제1 금속층에 전기적으로 접속되는 제2 금속층을 형성하는 단계를 더 포함하는 반도체 디바이스의 제조 방법.
16. 제13항에 있어서, 상기 상부 실리사이드층은 상기 하나 이상의 유전체층의 최상층의 상면 위에 돌출하는 것인 반도체 디바이스의 제조 방법.
17. 전계 효과 트랜지스터를 포함하는 반도체 디바이스에 있어서,
소스/드레인 영역과,
상기 소스/드레인 영역 상에 형성되는 소스/드레인 실리사이드층과,
상기 소스/드레인 실리사이드층에 접속되는 제1 컨택을 포함하고,
상기 제1 컨택은 제1 금속층을 포함하며,
상기 제1 금속층의 상면은 적어도 실리사이드층에 의해 덮이고,
상기 실리사이드층은 상기 제1 금속층과 동일한 금속 원소를 포함하는 것인 반도체 디바이스.
18. 제17항에 있어서,
상기 제1 컨택은 접착층을 더 포함하고,
상기 접착층은 상기 제1 금속층과 상기 소스/드레인 실리사이드층 사이에 배치되는 것인 반도체 디바이스.
19. 제17항에 있어서, 상기 제1 컨택이 매립되어 있는 유전체층을 더 포함하고,
상기 실리사이드층은 상기 유전체층의 상면 위에 돌출하는 것인 반도체 디바이스.
20. 제17항에 있어서, 상기 실리사이드층은 Co 실리사이드로 제조되고, 상기 제1 금속층은 Co로 제조되는 것인 반도체 디바이스.

Claims (10)

  1. 반도체 디바이스를 제조하는 방법에 있어서,
    소스/드레인 영역 또는 게이트 전극 위에 배치되는 하나 이상의 유전체층에 제1 컨택홀을 형성하는 단계와,
    상기 제1 컨택홀에 접착층(adhesive layer)을 형성하는 단계와,
    상기 제1 컨택홀 내의 상기 접착층 상에 제1 금속층을 형성하는 단계와,
    상기 제1 금속층의 상면 상에 실리사이드층을 형성하는 단계
    를 포함하고,
    상기 실리사이드층은 상기 제1 금속층과 동일한 금속 원소를 포함하는 것인 반도체 디바이스의 제조 방법.
  2. 제1항에 있어서, 상기 실리사이드층 및 상기 하나 이상의 유전체층의 최상층 위에 절연층을 형성하는 단계를 더 포함하고,
    상기 절연층은 상기 하나 이상의 유전체층의 상기 최상층과는 상이한 재료로 제조되는 것인 반도체 디바이스의 제조 방법.
  3. 제2항에 있어서,
    상기 절연층 위에 추가 유전체층을 형성하는 단계와,
    상기 추가 절연층과 상기 절연층에 제2 컨택홀을 형성하는 단계와,
    상기 제1 금속층 위에, 상기 제1 금속층에 전기적으로 접속되는 제2 금속층을 형성하는 단계
    를 더 포함하는 반도체 디바이스의 제조 방법.
  4. 제1항에 있어서,
    상기 소스/드레인 영역은 소스/드레인 실리사이드층을 포함하고,
    상기 접착층은 상기 소스/드레인 실리사이드층과 접촉하는 것인 반도체 디바이스의 제조 방법.
  5. 제1항에 있어서, 상기 실리사이드층은 상기 제1 금속층의 상면을 전체적으로 또는 부분적으로 덮는 것인 반도체 디바이스의 제조 방법.
  6. 반도체 디바이스를 제조하는 방법에 있어서,
    소스/드레인 영역 또는 게이트 전극 위에 배치되는 하나 이상의 유전체층에 제1 컨택홀을 형성하는 단계와,
    상기 제1 컨택홀에 제1 금속층을 형성하는 단계와,
    상기 제1 금속층의 상면 상에 상부 실리사이드층을 형성하는 단계
    를 포함하고,
    상기 소스/드레인 영역 및 상기 게이트 전극 중 적어도 하나는 하부 실리사이드층을 포함하며,
    상기 제1 금속층은 상기 하부 실리사이드층과 접촉하고,
    상기 상부 실리사이드층은 상기 제1 금속층의 상면을 적어도 부분적으로 덮으며,
    상기 상부 실리사이드층은 상기 제1 금속층과 동일한 금속 원소를 포함하는 것인 반도체 디바이스의 제조 방법.
  7. 전계 효과 트랜지스터를 포함하는 반도체 디바이스에 있어서,
    소스/드레인 영역과,
    상기 소스/드레인 영역 상에 형성되는 소스/드레인 실리사이드층과,
    상기 소스/드레인 실리사이드층에 접속되는 제1 컨택
    을 포함하고,
    상기 제1 컨택은 제1 금속층을 포함하며,
    상기 제1 금속층의 상면은 적어도 실리사이드층에 의해 덮이고,
    상기 실리사이드층은 상기 제1 금속층과 동일한 금속 원소를 포함하는 것인 반도체 디바이스.
  8. 제7항에 있어서,
    상기 제1 컨택은 접착층을 더 포함하고,
    상기 접착층은 상기 제1 금속층과 상기 소스/드레인 실리사이드층 사이에 배치되는 것인 반도체 디바이스.
  9. 제7항에 있어서, 상기 제1 컨택이 매립되어 있는 유전체층을 더 포함하고,
    상기 실리사이드층은 상기 유전체층의 상면 위에 돌출하는 것인 반도체 디바이스.
  10. 제7항에 있어서, 상기 실리사이드층은 Co 실리사이드로 제조되고, 상기 제1 금속층은 Co로 제조되는 것인 반도체 디바이스.
KR1020170006010A 2016-01-29 2017-01-13 반도체 디바이스 및 그 제조 방법 KR20170091007A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662289148P 2016-01-29 2016-01-29
US62/289,148 2016-01-29
US15/378,574 2016-12-14
US15/378,574 US10153351B2 (en) 2016-01-29 2016-12-14 Semiconductor device and a method for fabricating the same

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020180073307A Division KR101971403B1 (ko) 2016-01-29 2018-06-26 반도체 디바이스 및 그 제조 방법

Publications (1)

Publication Number Publication Date
KR20170091007A true KR20170091007A (ko) 2017-08-08

Family

ID=59387652

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020170006010A KR20170091007A (ko) 2016-01-29 2017-01-13 반도체 디바이스 및 그 제조 방법
KR1020180073307A KR101971403B1 (ko) 2016-01-29 2018-06-26 반도체 디바이스 및 그 제조 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020180073307A KR101971403B1 (ko) 2016-01-29 2018-06-26 반도체 디바이스 및 그 제조 방법

Country Status (4)

Country Link
US (3) US10153351B2 (ko)
KR (2) KR20170091007A (ko)
CN (1) CN107026195B (ko)
TW (1) TWI666704B (ko)

Families Citing this family (218)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10153351B2 (en) * 2016-01-29 2018-12-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9711402B1 (en) 2016-03-08 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming contact metal
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102593707B1 (ko) * 2016-10-05 2023-10-25 삼성전자주식회사 반도체 장치
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10079290B2 (en) * 2016-12-30 2018-09-18 United Microelectronics Corp. Semiconductor device having asymmetric spacer structures
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10600687B2 (en) * 2017-04-19 2020-03-24 Tokyo Electron Limited Process integration techniques using a carbon layer to form self-aligned structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10468529B2 (en) * 2017-07-11 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure with etch stop layer
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10483372B2 (en) * 2017-09-29 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Spacer structure with high plasma resistance for semiconductor devices
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10177038B1 (en) 2017-11-30 2019-01-08 Taiwan Semiconductor Manufacturing Co., Ltd. Prevention of contact bottom void in semiconductor fabrication
US10790362B2 (en) * 2017-11-30 2020-09-29 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method of the same
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
JP7242999B2 (ja) * 2018-03-16 2023-03-22 三菱マテリアル株式会社 熱電変換素子
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10497571B2 (en) * 2018-04-27 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Device having work function metal stack and method of forming the same
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US10685872B2 (en) * 2018-05-30 2020-06-16 International Business Machines Corporation Electrically isolated contacts in an active region of a semiconductor device
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10818543B2 (en) 2018-07-30 2020-10-27 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain contact spacers and methods of forming same
US11600530B2 (en) 2018-07-31 2023-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10693004B2 (en) * 2018-08-14 2020-06-23 Taiwan Semiconductor Manufactruing Co., Ltd. Via structure with low resistivity and method for forming the same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US10943983B2 (en) * 2018-10-29 2021-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits having protruding interconnect conductors
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11069784B2 (en) * 2019-05-17 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
JP6946374B2 (ja) 2019-06-20 2021-10-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042222A (ko) * 2019-10-08 2021-04-19 삼성전자주식회사 반도체 소자
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11251305B2 (en) * 2019-10-25 2022-02-15 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor device structure and method for forming the same
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11380781B2 (en) * 2019-12-17 2022-07-05 Taiwan Semiconductor Manufacturing Co., Ltd. Contact and via structures for semiconductor devices
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11227794B2 (en) * 2019-12-19 2022-01-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for making self-aligned barrier for metal vias In-Situ during a metal halide pre-clean and associated interconnect structure
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11888064B2 (en) 2020-06-01 2024-01-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
US11742210B2 (en) * 2020-06-29 2023-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Deposition window enlargement
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11264481B2 (en) * 2020-07-01 2022-03-01 International Business Machines Corporation Self-aligned source and drain contacts
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230155004A1 (en) * 2021-11-12 2023-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor source/drain contacts and methods of forming the same

Family Cites Families (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4822642A (en) * 1985-12-11 1989-04-18 Air Products And Chemicals, Inc. Method of producing silicon diffusion coatings on metal articles
JP3004827B2 (ja) 1992-10-14 2000-01-31 三菱電機株式会社 半導体装置の製造方法
US6750091B1 (en) * 1996-03-01 2004-06-15 Micron Technology Diode formation method
US6153517A (en) * 1999-03-12 2000-11-28 Taiwan Semiconductor Manufacturing Company Low resistance poly landing pad
JP4807894B2 (ja) * 1999-05-31 2011-11-02 ルネサスエレクトロニクス株式会社 半導体装置
JP4150154B2 (ja) * 2000-08-21 2008-09-17 株式会社ルネサステクノロジ 半導体集積回路装置
US7687917B2 (en) * 2002-05-08 2010-03-30 Nec Electronics Corporation Single damascene structure semiconductor device having silicon-diffused metal wiring layer
US7214579B2 (en) * 2002-10-24 2007-05-08 Nxp Bv. Self-aligned 2-bit “double poly CMP” flash memory cell
JP4647184B2 (ja) * 2002-12-27 2011-03-09 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2004349609A (ja) * 2003-05-26 2004-12-09 Renesas Technology Corp 半導体装置およびその製造方法
JP4449374B2 (ja) 2003-09-04 2010-04-14 株式会社日立製作所 半導体装置
JP4832807B2 (ja) * 2004-06-10 2011-12-07 ルネサスエレクトロニクス株式会社 半導体装置
JP2006165454A (ja) * 2004-12-10 2006-06-22 Sony Corp 半導体装置の製造方法および半導体装置
JP4963349B2 (ja) * 2005-01-14 2012-06-27 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2007109736A (ja) * 2005-10-11 2007-04-26 Nec Electronics Corp 半導体装置およびその製造方法
US7790617B2 (en) * 2005-11-12 2010-09-07 Chartered Semiconductor Manufacturing, Ltd. Formation of metal silicide layer over copper interconnect for reliability enhancement
US7432197B2 (en) * 2006-01-27 2008-10-07 Micron Technology, Inc. Methods of patterning photoresist, and methods of forming semiconductor constructions
US7557447B2 (en) * 2006-02-06 2009-07-07 Nec Electronics Corporation Semiconductor device and method for manufacturing same
KR100746226B1 (ko) * 2006-05-30 2007-08-03 삼성전자주식회사 콘택 스페이서를 구비하는 콘택 구조체를 갖는 반도체 소자및 그 제조방법
KR100791345B1 (ko) 2006-10-02 2008-01-03 삼성전자주식회사 리세스된 구형 실리사이드 접촉부를 포함하는 반도체 소자및 그 제조 방법
JP5277552B2 (ja) * 2007-03-19 2013-08-28 富士通セミコンダクター株式会社 半導体装置の製造方法
US7834457B2 (en) * 2008-02-28 2010-11-16 International Business Machines Corporation Bilayer metal capping layer for interconnect applications
JP5396837B2 (ja) 2008-06-27 2014-01-22 富士通セミコンダクター株式会社 半導体装置の製造方法
JP5358165B2 (ja) * 2008-11-26 2013-12-04 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
US8288276B2 (en) * 2008-12-30 2012-10-16 International Business Machines Corporation Method of forming an interconnect structure including a metallic interfacial layer located at a bottom via portion
DE102008063417B4 (de) * 2008-12-31 2016-08-11 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Lokale Silizidierung an Kontaktlochunterseiten in Metallisierungssystemen von Halbleiterbauelementen
US8951907B2 (en) * 2010-12-14 2015-02-10 GlobalFoundries, Inc. Semiconductor devices having through-contacts and related fabrication methods
CN102938415B (zh) * 2011-08-16 2015-07-08 中国科学院微电子研究所 半导体器件及其制造方法
US9252019B2 (en) * 2011-08-31 2016-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method for forming the same
KR20130076979A (ko) * 2011-12-29 2013-07-09 삼성전자주식회사 반도체 소자 및 이의 제조방법
US8791014B2 (en) * 2012-03-16 2014-07-29 Globalfoundries Inc. Methods of forming copper-based conductive structures on semiconductor devices
US20130307032A1 (en) * 2012-05-16 2013-11-21 Globalfoundries Inc. Methods of forming conductive contacts for a semiconductor device
KR101952119B1 (ko) * 2012-05-24 2019-02-28 삼성전자 주식회사 메탈 실리사이드를 포함하는 반도체 장치 및 이의 제조 방법
WO2013190759A1 (ja) * 2012-06-21 2013-12-27 パナソニック株式会社 固体撮像素子及びその製造方法
US9659869B2 (en) * 2012-09-28 2017-05-23 Intel Corporation Forming barrier walls, capping, or alloys /compounds within metal lines
KR101876305B1 (ko) 2013-01-04 2018-07-11 삼성전자주식회사 반도체 장치 및 그의 형성방법
US8932911B2 (en) * 2013-02-27 2015-01-13 GlobalFoundries, Inc. Integrated circuits and methods for fabricating integrated circuits with capping layers between metal contacts and interconnects
US20140306290A1 (en) * 2013-04-11 2014-10-16 International Business Machines Corporation Dual Silicide Process Compatible with Replacement-Metal-Gate
US9040421B2 (en) * 2013-05-03 2015-05-26 GlobalFoundries, Inc. Methods for fabricating integrated circuits with improved contact structures
US8940635B1 (en) * 2013-08-30 2015-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for forming interconnect structure
US8962490B1 (en) * 2013-10-08 2015-02-24 United Microelectronics Corp. Method for fabricating semiconductor device
US20150171206A1 (en) * 2013-12-18 2015-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxially Growing III-V Contact Plugs for MOSFETs
US9379058B2 (en) * 2014-02-14 2016-06-28 Qualcomm Incorporated Grounding dummy gate in scaled layout design
US9343357B2 (en) * 2014-02-28 2016-05-17 Qualcomm Incorporated Selective conductive barrier layer formation
US9293365B2 (en) * 2014-03-27 2016-03-22 Globalfoundries Inc. Hardmask removal for copper interconnects with tungsten contacts by chemical mechanical polishing
US9831183B2 (en) * 2014-08-07 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure and method of forming
US9559059B2 (en) * 2014-10-29 2017-01-31 Globalfoundries Inc. Methods of forming an improved via to contact interface by selective formation of a conductive capping layer
US9466530B2 (en) * 2014-10-29 2016-10-11 Globalfoundries Inc. Methods of forming an improved via to contact interface by selective formation of a metal silicide capping layer
US9673091B2 (en) * 2015-06-25 2017-06-06 Globalfoundries Inc. Structure for BEOL metal levels with multiple dielectric layers for improved dielectric to metal adhesion
US9679807B1 (en) * 2015-11-20 2017-06-13 Globalfoundries Inc. Method, apparatus, and system for MOL interconnects without titanium liner
US10256296B2 (en) * 2015-11-24 2019-04-09 International Business Machines Corporation Middle-of-line (MOL) capacitance reduction for self-aligned contact in gate stack
US9905463B2 (en) * 2015-12-15 2018-02-27 International Business Machines Corporation Self-aligned low dielectric constant gate cap and a method of forming the same
US9793216B2 (en) * 2016-01-26 2017-10-17 Globalfoundries Inc. Fabrication of IC structure with metal plug
US10153351B2 (en) * 2016-01-29 2018-12-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US9548366B1 (en) * 2016-04-04 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self aligned contact scheme

Also Published As

Publication number Publication date
US20200350416A1 (en) 2020-11-05
TWI666704B (zh) 2019-07-21
KR20180079255A (ko) 2018-07-10
US20170222008A1 (en) 2017-08-03
US10153351B2 (en) 2018-12-11
CN107026195B (zh) 2020-10-16
TW201737346A (zh) 2017-10-16
KR101971403B1 (ko) 2019-08-13
US10714586B2 (en) 2020-07-14
US20180337244A1 (en) 2018-11-22
CN107026195A (zh) 2017-08-08
US11569362B2 (en) 2023-01-31

Similar Documents

Publication Publication Date Title
KR101971403B1 (ko) 반도체 디바이스 및 그 제조 방법
KR102097623B1 (ko) 반도체 디바이스와 그 제조 방법
KR101960574B1 (ko) 반도체 소자 및 그 제조 방법
CN111106065B (zh) 具有界面层的栅极结构和集成电路的制造方法
KR20190024625A (ko) 반도체 디바이스 및 방법
US20180254246A1 (en) Integrated circuit device
US20130240990A1 (en) Semiconductor structure and method for manufacturing the same
US20220130730A1 (en) Semiconductor Device and Method
US10283617B1 (en) Hybrid spacer integration for field-effect transistors
KR20180060940A (ko) FinFET 및 FinFET의 형성 방법
US10461174B1 (en) Vertical field effect transistors with self aligned gate and source/drain contacts
US11271103B2 (en) Semiconductor device and manufacturing process thereof
TW201732897A (zh) 半導體裝置的製造方法
US20230170397A1 (en) Semiconductor device and a method for fabricating the same
TWI806103B (zh) 形成半導體裝置的方法
TWI808828B (zh) 形成半導體裝置結構的方法
TWI814212B (zh) 半導體裝置的製造方法
CN113130655B (zh) 半导体器件及其制造方法
TWI808729B (zh) 半導體裝置的形成方法
TWI782402B (zh) 半導體裝置及其製造方法
US20230047598A1 (en) Semiconductor devices and methods of manufacture
US11217480B2 (en) Semiconductor structure with a laminated layer
US20230044771A1 (en) Self-Aligned Contact Hard Mask Structure of Semiconductor Device and Method of Forming Same
CN114551400A (zh) FinFET器件及方法
TW202201629A (zh) 半導體元件及形成半導體元件之方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
E601 Decision to refuse application
E801 Decision on dismissal of amendment