TW202117855A - 半導體裝置的形成方法 - Google Patents

半導體裝置的形成方法 Download PDF

Info

Publication number
TW202117855A
TW202117855A TW109132498A TW109132498A TW202117855A TW 202117855 A TW202117855 A TW 202117855A TW 109132498 A TW109132498 A TW 109132498A TW 109132498 A TW109132498 A TW 109132498A TW 202117855 A TW202117855 A TW 202117855A
Authority
TW
Taiwan
Prior art keywords
layer
gate
spacer
forming
substrate
Prior art date
Application number
TW109132498A
Other languages
English (en)
Inventor
林志昌
陳仕承
張羅衡
張榮宏
江國誠
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202117855A publication Critical patent/TW202117855A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

此處說明採用介電結構的半導體裝置與其製造方法。半導體裝置關於全繞式閘極裝置,其形成於基板上並彼此隔有介電結構。介電結構形成於兩個全繞式閘極裝置之間的鰭狀物上,並將形成於鰭狀物上的閘極切割成兩個分開的閘極。兩個全繞式閘極裝置亦具有底間隔物於全繞式閘極裝置的源極/汲極區之下。底間隔物隔離源極/汲極區與基板。介電結構具有淺底部,其高於底間隔物的底部。

Description

半導體裝置的形成方法
本發明實施例關於半導體裝置,更特別關於連續多晶矽跨擴散邊緣結構。
半導體裝置已用於多種電子應用,比如個人電腦、手機、數位相機、與其他電子設備。半導體裝置的製作方法通常為依序沉積絕緣或介電層、導電層、與半導體層的材料於半導體基板上,並採用微影圖案化多種材料層以形成電路構件與單元於半導體基板上。
半導體產業持續縮小最小結構尺寸以改善多種電子構件(如電晶體、二極體、電阻、電容、或類似物)的積體密度,使更多構件可整合至給定面積中。然而隨著最小結構尺寸縮小,產生需解決的額外問題。
在一實施例中,半導體裝置的形成方法包括:形成鰭狀物於多層堆疊中,且鰭狀物包括基板與基板上的至少一第一層;形成閘極於鰭狀物上;蝕刻開口於與閘極相鄰的鰭狀物中;沿著開口的側壁形成凹陷,且凹陷形成於至少一第一層中;沉積間隔物材料於凹陷中;形成底間隔物於開口中的底部,且底間隔物與間隔物材料具有第一界面;形成源極/汲極區於底間隔物上;以及形成介電結構穿過閘極至鰭狀物的基板中,且介電結構的底部高於底間隔物的底部。
在另一實施例中,半導體裝置的形成方法包括:蝕刻第一開口穿過閘極,且第一開口中露出閘極介電層;移除第一開口中的閘極介電層,其中移除閘極介電層之後露出基板的一部分、奈米線的堆疊、與隔離區;進行蝕刻製程以移除第一開口中的奈米線的堆疊,並使基板的部分凹陷至隔離區的上表面與隔離區的下表面之間的第一水平;以及沉積介電材料於第一開口中,且介電材料隔離閘極的第一部份與閘極的第二部分。
在一實施例中,半導體裝置包括:奈米結構的堆疊,位於第一半導體鰭狀物上,且第一半導體鰭狀物位於基板上;隔離區,延伸於基板上的第一半導體鰭狀物與第二半導體鰭狀物之間,隔離區具有遠離基板的第一表面,且第一表面與基板隔有第一距離;閘極,圍繞奈米結構的堆疊中的每一奈米結構;以及介電材料,與閘極相鄰,介電材料具有與第二半導體鰭狀物相鄰的第一部分,第一部分與基板隔有第二距離,且第二距離小於第一距離。
下述內容提供的不同實施例或實例可實施本發明的不同結構。特定構件與配置的實施例用以簡化本發明內容而非侷限本發明。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸的實施例,或兩者之間隔有其他額外構件而非直接接觸的實施例。此外,本發明之多個實例可重複採用相同標號以求簡潔,但多種實施例及/或設置中具有相同標號的元件並不必然具有相同的對應關係。
此外,空間性的相對用語如「下方」、「其下」、「較下方」、「上方」、「較上方」、或類似用語可用於簡化說明某一元件與另一元件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。元件亦可轉動90°或其他角度,因此方向性用語僅用以說明圖示中的方向。
圖1係一些實施例中,形成全繞式閘極半導體裝置100之中間結構的上視圖。具體而言,圖1顯示的多層結構103包含多個奈米片形成於半導體基板101上(圖示於後續圖式)、鰭狀物105形成於多層結構103中、以及多個閘極107位於鰭狀物105上。圖1更顯示分開兩個閘極107的多個切割金屬閘極結構109,以及將鰭狀物105分成兩個部分並與切割金屬閘極結構109交界的連續多晶矽跨擴散邊緣結構111。
雖然圖1與後續圖式顯示兩個鰭狀物105,但應理解可形成任何合適數目的鰭狀物105於多層結構103中以形成所需的全繞式閘極半導體裝置100,端視全繞式閘極半導體裝置100的數目與所需設計而定。此外,可形成任何合適數目的閘極107、連續多晶矽跨擴散邊緣結構111、與切割金屬閘極結構109,以形成所需的全繞式閘極半導體裝置100。
圖1亦顯示中間結構的第一切線(X切線)與第二切線(Y切線)。第一切線(X切線)穿過將鰭狀物105分為兩者的長度方向,並穿過連續多晶矽跨擴散邊緣結構111。第二切線(Y切線)穿過被兩個切割金屬閘極結構109分開的一個閘極107的長度方向,穿過兩個切割金屬閘極結構109,並穿過與兩個切割金屬閘極結構109交界的連續多晶矽跨擴散邊緣結構111。
圖2A與2B係一些實施例形成全繞式閘極半導體裝置100的中間步驟中,形成多層結構103與形成鰭狀物105於多層結構103中的剖視圖。具體而言,可佈植摻質至圖1所示的基板201中以形成井區。在一實施例中,基板201為半導體基板如矽基板、矽鍺基板、鍺基板、III-V族材料基板(比如砷化鎵、磷化鎵、磷砷化鎵、砷化鋁銦、砷化鋁鎵、砷化鎵銦、砷化銦、磷化鎵銦、磷化銦、銻化銦、磷砷化鎵銦、或上述之組合)、或其他半導體材料(比如高能帶至能帶穿隧材料)所形成的基板。基板201可或可不摻雜。在一些實施例中,基板201可為基體半導體基板如基體矽基板(如晶圓)、絕緣層上半導體基板、多層或漸變基板、或類似物。
如圖2A與2B所示的一些實施例,製造全繞式閘極半導體裝置100的中間階段沉積形成多層結構103。具體而言,圖2A亦顯示一系列的沉積步驟所形成的第一層205與第二層207,其交錯而成的多層堆疊203位於基板201上。
在一些實施例中,第一層205可採用第一晶格常數的第一半導體材料,比如矽鍺、鍺、矽、砷化鎵、銻化銦、砷化銦鋁、砷化銦鎵、磷化鎵銻、砷化鎵銻、上述之組合、或類似物。在一些實施例中,第一半導體材料(如矽鍺)的第一層205磊晶成長於基板201上的方法,可採用沉積技術如磊晶成長、氣相磊晶、或分子束磊晶,但亦可採用其他沉積製程如化學氣相沉積、低壓化學氣相沉積、原子層化學氣相沉積、超高真空化學氣相沉積、遠端電漿化學氣相沉積、上述之組合、或類似製程。在一些實施例中,第一層205的第一厚度Th1介於約3 nm至約10 nm之間。然而任何合適的厚度屬於實施例的範疇。
一旦形成第一層205於基板201上,即可形成第二層207於第一層205上。在一些實施例中,第二層207可採用第二晶格常數的第二半導體材料如矽、矽鍺、鍺、砷化鎵、銻化銦、銻化鎵、砷化銦鋁、砷化銦鎵、磷化鎵銻、砷化鎵銻、上述之組合、或類似物,且第二晶格常數不同於第一層205的第一晶格常數。在具體實施例中,第一層205為矽鍺,而第二層207為矽。然而可採用任何合適的材料組成以用於第一層205與第二層207。
在一些實施例中,第二層207磊晶成長於第一層205上的沉積技術,可與形成第一層205的沉積技術類似。然而第二層207可採用適於形成第一層205的任何上述沉積技術或其他合適技術。在一些實施例中,第二層207的厚度可與第一層205的厚度類似。然而第二層207的厚度亦可與第一層205的厚度不同。在一些實施例中,第二層207的第二厚度Th2介於約5 nm至約15 nm之間。然而可採用任何合適厚度。
一旦形成第二層207於第一層205上,即可重複沉積製程以形成第一層205與第二層207之交錯系列的其餘材料層,直到形成多層堆疊203所需的最頂層。在此實施例中,第一層205可具有相同或類似的第一厚度,而第二層207可具有相同或類似的第二厚度。然而第一層205可具有彼此不同的厚度,及/或第二層207可具有彼此不同的厚度,且第一層205與第二層207可採用任何厚度的組合。在此實施例中,多層堆疊203的最頂層為第二層207。然而在其他實施例中,多層堆疊203的最頂層可為第一層205。此外,雖然此處所述的實施例包含三個第一層205與三個第二層207,多層堆疊203可具有任何合適數目的層狀物(如奈米片)。舉例來說,多層堆疊203可包含多個奈米片,比如介於2至10個之間的奈米片。在一些實施例中,多層堆疊203可包含相同數目的第一層205與第二層207,然而其他實施例的第一層205與第二層207的數目可不同。在一些實施例中,多層堆疊203的第一高度H1可介於約12 nm至約100 nm之間。然而可採用任何合適高度。
在圖2A與2B所示的一些實施例中,製造全繞式閘極半導體裝置100的中間階段中可進行多層結構103的圖案化製程並形成隔離區209。採用圖案化製程以形成鰭狀物105於多層結構103中,並形成溝槽於鰭狀物105之間,以準備形成隔離區209。在一些實施例中,形成鰭狀物105的圖案化製程包含施加光阻於多層堆疊203上,並圖案化與顯影光阻以形成遮罩於多層堆疊203上。一旦形成遮罩,接著在蝕刻製程如非等向蝕刻製程時採用遮罩,可將遮罩圖案轉移至下方層,並形成溝槽穿過多層堆疊203至基板201中以定義鰭狀物105,其中溝槽分開鰭狀物105。
此外,雖然以單一遮罩製程進行說明,但此為例示性而非侷限實施例。可採用任何合適方法圖案化全繞式閘極裝置結構。舉例來說,可採用一或多道光微影製程圖案化結構,包括雙重圖案化或多重圖案化製程。一般而言,雙重圖案化或多重圖案化製程結合光微影與自對準製程,其產生的圖案間距小於採用單一直接的光微影製程所得的圖案間距。舉例來說,一實施例形成犧牲層於基板上,並採用光微影製程圖案化犧牲層。採用自對準製程以沿著圖案化的犧牲層側部形成間隔物。接著移除犧牲層,再採用保留的間隔物以圖案化全繞式閘極結構。
在一實施例中,沉積介電材料於溝槽中以形成隔離區209如淺溝槽隔離區。在一些實施例中,用以形成隔離區209的介電材料可為氧化物材料(如可流動的氧化物)、高密度電漿氧化物、或類似物。在視情況清潔與襯墊溝槽之後,可採用化學氣相沉積法(如高深寬比製程)、高密度電漿化學氣相沉積法、或其他合適方法形成介電材料,以填充或超填鰭狀物105周圍的區域。在一些實施例中,可進行放置後退火製程(如氧化物緻密化),使隔離區209的材料緻密化並減少其濕蝕刻速率。可進行化學機械平坦化、蝕刻、或上述之組合,以移除隔離區209的任何多餘材料。
一旦沉積介電材料以填入或超填鰭狀物105周圍的區域,接著可自鰭狀物105的表面使介電材料凹陷,以形成隔離區209。可進行凹陷製程以露出與鰭狀物105的上表面相鄰的鰭狀物105的側壁之至少一部分。使介電材料凹陷的方法可為濕蝕刻,比如將鰭狀物105的上表面浸入對介電材料具有選擇性的蝕刻劑。但亦可採用其他方法如反應性離子蝕刻、乾蝕刻、化學氧化物蝕刻、或乾式化學清潔。
如圖2A與2B所示,形成虛置閘極介電層211於鰭狀物105的露出部分上。一旦形成隔離區209,可由熱氧化、化學氣相沉積、濺鍍、或本技術領域已知用於形成閘極介電層的任何其他方法形成虛置閘極介電層。虛置閘極介電層211在頂部上的厚度可與虛置閘極介電層211在側壁上的厚度不同,端視閘極介電層的形成技術而定。在一些實施例中,虛置閘極介電層211的形成方法可為沉積材料如矽,接著氧化或氮化矽層以形成介電層如氧化矽或氮氧化矽。在這些實施例中,虛置閘極介電層211的厚度可介於約3 Å至約100 Å之間,比如約10 Å。在其他實施例中,虛置閘極介電層211的組成可為高介電常數材料如氧化鑭、氧化鋁、氧化鉿、氮氧化鉿、氧化鋯、或上述之組合,其等效氧化物厚度介於約0.5 Å至約100 Å之間,比如小於或等於約10 Å。此外,氧化矽、氮氧化矽、及/或高介電常數材料的任何組合,可用於虛置閘極介電層211。
如圖3A與3B所示的一些實施例,形成虛置閘極堆疊301於鰭狀物105上。在一些實施例中,虛置閘極堆疊301包括虛置閘極介電層211、虛置閘極介電層211上的虛置閘極303、虛置閘極303上的第一硬遮罩305、與第一硬遮罩305上的第二硬遮罩307。
在一些實施例中,虛置閘極303包括的導電材料可為多晶矽、鎢、鋁、鋁銅、鈦、氮化鈦鋁、碳化鉭、碳氮化鉭、氮化鉭矽、錳、鋯、氮化鈦、鉭、氮化鉭、鈷、鎳、上述之組合、或類似物。虛置閘極303的沉積方法可為化學氣相沉積、濺鍍沉積、或本技術領域用於沉積導電材料的其他已知技術。虛置閘極303的厚度可為約5 Å至約500 Å。虛置閘極303可具有不平坦的上表面,且可在圖案化虛置閘極303或蝕刻閘極之前平坦化虛置閘極303的上表面。此時可或可不將離子導入虛置閘極303。舉例來說,可由離子佈植技術導入離子。
一旦形成虛置閘極303,即可圖案化虛置閘極介電層211與虛置閘極303。在一實施例中,圖案化的方法可先形成第一硬遮罩305於虛置閘極303上,並形成第二硬遮罩307於第一硬遮罩305上。
在一些實施例中,第一硬遮罩305可包含介電材料如氮化矽、氧化物、氧化矽、氮化鈦、氮氧化矽、上述之組合、或類似物。第一硬遮罩305的形成製程可為化學氣相沉積、電漿輔助化學氣相沉積、原子層沉積、或類似製程。然而可採用任何其他合適的材料與形成方法。第一硬遮罩305的厚度可介於約20 Å至約3000 Å之間,比如約20 Å。
第二硬遮罩307包括的介電材料與第一硬遮罩305的材料不同。第二硬遮罩307可包含適於形成第一硬遮罩305的任何材料與製程,且第二硬遮罩307與第一硬遮罩305的厚度可相同或類似。在第一硬遮罩305包含氮化矽的實施例中,第二硬遮罩307可為氧化物。然而可採用任何合適的介電材料、製程、與厚度,以形成第二硬遮罩。
一旦形成第一硬遮罩305與第二硬遮罩307,即可圖案化第一硬遮罩305與第二硬遮罩307。在一實施例中,第一硬遮罩305與第二硬遮罩307的圖案化方法可先將光阻(未圖示)置於第一硬遮罩305與第二硬遮罩307上,並以圖案化能量源(如光)曝光光阻,進而啟動化學反應以調整光阻的曝光部分之物理特性。接著可施加第一顯影劑(未圖示)以顯影光阻,並採用曝光區與非曝光區之間的物理性質差異以選擇性移除曝光區或非曝光區。
一旦圖案化光阻,可採用光阻作為遮罩並圖案化下方的第一硬遮罩305與第二硬遮罩307。在一實施例中,第一硬遮罩305與第二硬遮罩307的圖案化方法,可為採用光阻作為遮罩的一或多道反應性離子蝕刻製程,可持續圖案化製程,直到露出第一硬遮罩305之下的虛置閘極303。
一旦圖案化第一硬遮罩305與第二硬遮罩307,即可採用灰化製程等方法移除光阻,比如升高光阻溫度直到熱分解光阻,並可採用一或多道清潔製程輕易移除分解後的光阻。然而可採用任何其他合適的移除製程。
一旦圖案化第一硬遮罩305與第二硬遮罩307,即可圖案化虛置閘極303與虛置閘極介電層211以形成一系列的虛置閘極堆疊301。在一實施例中,採用非等向蝕刻製程(如反應性離子蝕刻)圖案化虛置閘極303與虛置閘極介電層211,但可採用任何合適製程。
如圖3A與3B所示,形成頂間隔物309。在一實施例中,可毯覆性沉積形成間隔物材料於虛置閘極堆疊301與多層結構103上。如此一來,間隔物材料可沉積於虛置閘極堆疊301的上表面與側壁上、鰭狀物105的上表面與側壁上、以及隔離區209的上表面上。在一些實施例中,間隔物材料包括介電材料,且其形成方法可採用化學氣相沉積、電漿輔助化學氣相沉積、濺鍍、熱氧化、或任何其他合適方法。在一些實施例中,間隔物材料可採用氧化矽、氮氧化矽、氮化矽、碳氧化矽、碳氮氧化矽,任何合適材料如介電常數低於約4.0的低介電常數材料、上述之組合、或類似物。
一旦形成間隔物材料,即可蝕刻間隔物材料以塑造虛置閘極堆疊301與鰭狀物105上的頂間隔物309,並露出虛置閘極堆疊301與鰭狀物105的頂部。在一些實施例中,可採用非等向蝕刻製程(如乾蝕刻製程,比如反應性離子蝕刻制程)、等向蝕刻製程(如濕蝕刻製程)、上述之組合、或類似製程蝕刻間隔物材料。在一些實施例中,在蝕刻製程及/或後續蝕刻製程時,形成於源極/汲極區中的鰭狀物105上的間隔物材料可凹陷,以露出沿著源極/汲極區中的鰭狀物105之側壁的部分。
雖然實施例採用單一的間隔物材料,但此為例示性而非侷限實施例。相反地,可採用任何數目的間隔物材料以及任何組合的沉積與移除製程,且所有的這些製程完全屬於實施例範疇。
圖4A至4C係一些實施例中,形成第一開口401與內側間隔物403於鰭狀物105中的剖視圖。在形成頂間隔物309時,可移除頂間隔物309所用的間隔物材料以再露出多層堆疊203。一旦露出多層堆疊203,可進行蝕刻製程以自多層堆疊203與基板移除材料,以形成第一開口401 (如溝槽、凹陷、通道、或類似結構),其延伸穿過多層堆疊203至半導體基板101中,以準備形成源極/汲極區於其中。在一實施例中,可採用一或多道非等向蝕刻如反應性離子蝕刻,但可採用任何合適製程。
在一實施例中,第一開口401的第一寬度W1可介於約10 nm至約40 nm之間,比如約20 nm。此外,第一開口401延伸至基板101中的第一深度D1可介於約3 nm至約40 nm之間,比如約10 nm。然而可採用任何合適尺寸。
如圖4A至4C所示,形成內側間隔物403於第一層205中。具體而言,圖4C顯示圖4A中以虛線強調的部分405之放大圖,且可搭配形成內側間隔物403的下述說明。在一些實施例中,內側間隔物403的形成方法可為濕蝕刻圖案化凹陷,其採用的蝕刻劑對第一層205的材料(如矽鍺)之選擇性,高於對第二層207或基板201的材料(如矽)之選擇性。舉例來說,在第一層205為矽鍺而第二層207為矽的實施例中,濕蝕刻採用的蝕刻劑可為氯化氫。
在一實施例中,可進行濕蝕刻製程如浸入製程、噴灑製程、旋轉製程、或類似製程,並採用任何合適的製程溫度(介於約400℃至約600℃之間)與任何合適製程時間(介於約100秒至約1000秒之間,比如約300秒)。然而可採用任何合適製程條件與參數。可持續蝕刻製程,使具有晶面限制表面的凹陷形成於第一層205的每一者中,且可自第一開口401的側壁達到任何所需距離的凹陷。
此外,可選擇蝕刻劑的選擇性。在一些實施例中,亦可進行蝕刻製程以移除第二層207與基板201的部分,使凹陷在第一開口401的側壁方向中垂直延伸至任何合適高度,如下所述。
然而濕蝕刻製程並非使第一層205凹陷的唯一製程。舉例來說,另一實施例使第一層205凹陷的步驟可為等向乾蝕刻製程,或乾蝕刻製程與濕蝕刻製程的組合。可採用任何合適製程使第一層205凹陷,且這些製程完全屬於實施例範疇。
一旦形成凹陷於每一第一層205中,即形成間隔物材料於多層結構103上。在一些實施例中,間隔物材料可與頂間隔物309的材料不同,且可採用含矽的介電材料如氮化矽、氧化矽、氮氧化矽、碳氮氧化矽、碳氮化矽、碳氧化矽、任何合適材料如介電常數低於4.0的低介電常數材料、甚至是氣隙、或上述之組合。可採用沉積製程如化學氣相沉積、物理氣相沉積、或原子層沉積等方法沉積間隔物材料,使其厚度介於約2 nm至約10 nm之間(比如約5 nm)。然而可採用任何合適厚度或沉積製程。
藉由沉積間隔物材料於多層結構103上,可使間隔物材料襯墊第一開口401的側壁並填入第一層205中的凹陷。一旦將間隔物材料填入凹陷,接著可進行移除製程,以自第一開口401移除任何多餘的間隔物材料並保留內側間隔物403。在一實施例中,移除多餘間隔物材料的方法可採用蝕刻製程如非等向乾蝕刻製程(例如反應性離子蝕刻製程)。然而可採用任何合適的蝕刻條件,其可自第一開口401移除多餘的間隔物材料並保留內側間隔物403。
將間隔物材料填入凹陷,並自第一開口401移除多餘間隔物材料,可使內側間隔物403具有凹陷的形狀。此外,雖然實施例中形成的內側間隔物403具有晶面形狀,但此為例示性而非侷限實施例。相反地,可採用任何合適形狀,比如凹陷形狀或凸起形狀,甚至使內側間隔物403凹陷。所有的這些形狀完全屬於實施例範疇。在一些實施例中,在一些實施例中,內側間隔物403的第二寬度W2可介於約2 nm至約10 nm之間(比如約5 nm),其第二高度H2可介於約5 nm至約20 nm之間(比如約10 nm)。此外,內側間隔物403之間隔有第一空間S1,其介於約3 nm至約10 nm之間,比如約5 nm。然而可採用任何合適的寬度、高度、與距離。
圖4C亦顯示第一層205、第二層207、與內側間隔物403的相對尺寸。在一些實施例中,內側間隔物403的第二高度H2大於第一層205的高度(如第一厚度Th1)。在一些實施例中,內側間隔物403之間的第一空間S1小於第二層207的第二厚度Th2。然而可採用任何合適尺寸。
圖5A至5C係一些實施例中,形成底間隔物501與源極/汲極區503的剖視圖。具體而言,圖5C顯示圖5A中以虛線強調的部分505之放大圖。
一旦形成內側間隔物403,即可採用半導體材料(如矽鍺)形成底間隔物501於第一開口401的底部,但亦可採用適於形成第一層205的其他材料。在一些實施例中,底間隔物501的組成為矽鍺,且其磊晶成長於第一開口401的底部之方法可採用沉積技術如磊晶成長、氣相磊晶、或分子束磊晶。然而亦可採用適於形成第一層205的任何其他沉積製程。
一旦沉積底間隔物501,即可進行氧化製程以增加底間隔物501的密度及/或介電常數。如此一來,底間隔物501的組成可為矽鍺的氧化物如氧化矽鍺、氮氧化矽鍺、碳氮氧化矽鍺、或類似物。在氧化製程之後,可進行蝕刻製程(如濕蝕刻)以移除沿著第一開口401之側壁形成的任何氧化物,及/或使底間隔物501凹陷至所需高度。在一些實施例中,底間隔物501的第三高度H3介於約3 nm至約30 nm之間,比如約20 nm。然而可採用任何合適高度。
一旦底間隔物501達到所需高度,即可進行放置後退火製程,以自底間隔物501的材料移除鍺。在一些實施例中,退火製程包括可在爐中或在快速熱製程腔室中的一或多道退火製程,比如蒸氣退火、高溫退火、上述之組合、或類似製程。在一些實施例中,放置後退火製程可包含乾退火,比如在氮氣下的製程溫度介於約500℃至約700℃之間(比如約600℃)並歷時約30分鐘至約180分鐘(比如約60分鐘)。然而可採用任何合適氧源、製程溫度、與製程時間。一旦完成放置後退火製程,可移除鍺並將底間隔物501轉換成介電材料如氮化矽、氧化物、氮氧化矽、碳氮氧化矽、碳氮化矽、或類似物。
圖5C亦顯示內側間隔物403之最底部的間隔物與底間隔物501之間的間隔物界面507。在一些實施例中,間隔物界面507的第一長度L1介於約3 nm至約15 nm之間,比如約5 nm。然而間隔物界面507可採用任何合適長度。
在一些實施例中,底間隔物501的形成方法可為沉積第二間隔物材料如氮氧化矽,且沉積製程可採用化學氣相沉積、原子層沉積、電漿輔助化學氣相沉積、或類似製程。然而可採用任何合適的間隔物材料與沉積製程,比如適用於形成頂間隔物309的材料與製程。在一些實施例中,第二間隔物材料與頂間隔物309及內側間隔物403的材料不同,且可沉積第二間隔物材料以填入及/或超填第一開口401。
一旦沉積第二間隔物材料,即可蝕刻第二間隔物材料使底間隔物501凹陷至所需的第三高度H3,並露出高於底間隔物501的第一開口401中的側壁。在一些實施例中,蝕刻第二間隔物材料的方法可採用非等向蝕刻製程(如乾蝕刻製程,比如反應性離子蝕刻製程)、等向蝕刻製程(如濕蝕刻製程)、上述之組合、或類似製程。使底間隔物501凹陷的蝕刻劑對第二間隔物材料具有選擇性,而不明顯移除頂間隔物309、第二層207、與內側間隔物403的材料。
一旦形成底間隔物501,即可形成源極/汲極區503於底間隔物501上。源極/汲極區503的形成方法,可採用成長製程如選擇性磊晶成長以形成裝置所需的合適半導體材料。舉例來說,在源極/汲極區503用於形成n型金氧半裝置的實施例中,源極/汲極區503可為半導體材料如矽、磷化矽、碳磷化矽、上述之組合、或類似物。
磊晶成長製程可採用前驅物如矽烷、二氯矽烷、鍺烷、或類似物,且可持續約5分鐘至約120分鐘(比如約30分鐘)。在一些實施例中,源極/汲極區503的第四高度H4介於約30 nm至約90 nm之間,比如約50 nm。然而可採用任何合適高度及/或合適深度。
一旦形成源極/汲極區503,即可佈植合適摻質至源極/汲極區503中,以補充第一裝置區的其餘部分中的摻質。舉例來說,可佈植n型摻質如磷、碳、砷、矽、銻、類似物、或上述之組合(如磷化矽、碳化矽、碳磷化矽、砷化矽、矽、銻、或類似物)以形成n型金氧半場效電晶體裝置。可採用虛置閘極堆疊301與頂間隔物309作為遮罩,並佈植這些摻質。
在另一實施例中,可在成長源極/汲極區503時置入源極/汲極區503的摻質。舉例來說,可在形成源極/汲極區503時原位置入磷。可採用任何合適製程將摻質置入源極/汲極區503中,且所有的這些製程屬於實施例範疇。此外,可進行退火製程以活化源極/汲極區503中的摻質。在退火製程時,源極/汲極區503的摻質可橫向擴散至第二層207與源極/汲極區503之間的界面處之第二層207中。如此一來,輕摻雜汲極區可形成於第二層207中。
圖6A與6B係一些實施例中,形成接點蝕刻停止層601與層間介電層603的剖視圖。如圖6A與6B所示,移除第一硬遮罩305與第二硬遮罩307,並平坦化接點蝕刻停止層601、層間介電層603、虛置閘極303、與頂間隔物309。
接點蝕刻停止層601形成於圖5A至5C所示的結構上,而層間介電層603形成於接點蝕刻停止層601上。接點蝕刻停止層601可做為後續蝕刻製程中的蝕刻停止層,且可包含合適材料如氮化矽、氮氧化矽、碳氮化矽、上述之組合、或類似物,且其形成方法可為合適的形成方法如化學氣相沉積、物理氣相沉積、上述之組合、或類似方法。
層間介電層603包含的材料可為氧化矽、低介電常數的介電材料(如介電常數低於氧化矽的材料),比如氮氧化矽、磷矽酸鹽玻璃、硼矽酸鹽玻璃、硼磷矽酸鹽玻璃、未摻雜的矽酸鹽玻璃、氟化矽酸鹽玻璃、有機矽酸鹽玻璃、碳氧化矽、旋轉塗佈玻璃、旋轉塗佈聚合物、碳矽材料、上述之化合物、上述之複合物、類似物、或上述之組合,但亦可採用任何合適介電層。層間介電層603的形成製程可採用電漿輔助化學氣相沉積,但亦可採用其他製程如低壓化學氣相沉積。
一旦形成層間介電層603與接點蝕刻停止層601,即可採用平坦化製程如化學機械平坦化以平坦化上述兩者與虛置閘極303及頂間隔物309。然而可採用任何合適的平坦化製程。此外,在平坦化製程時可移除第一硬遮罩305與第二硬遮罩307。在一些實施例中,可採用一或多道蝕刻製程及/或化學機械平坦化,以移除第一硬遮罩305與第二硬遮罩307。如此一來,移除第一硬遮罩305之後可露出虛置閘極303。
圖7A與7B係一些實施例中,移除虛置閘極303與虛置閘極介電層211的剖視圖。圖7A與7B係一些實施例中,自第二層207形成奈米結構701的線路釋放製程。圖7A與7B係一些實施例中,形成閘極介電層703於奈米結構701上。
一旦露出虛置閘極303,即可移除虛置閘極303以露出下方的虛置閘極介電層211。在一實施例中,移除虛置閘極303的方法可採用一或多道的濕蝕刻製程或乾蝕刻製程,其採用的蝕刻劑對虛置閘極303具有選擇性。然而可採用任何合適的移除製程。
一旦露出虛置閘極介電層211,即可移除虛置閘極介電層211。在一實施例中,移除虛置閘極介電層211的方法可採用濕蝕刻製程,但可採用任何合適的蝕刻製程。
如圖7A與7B所示,一旦移除虛置閘極介電層211並露出第一層205的側部,即可在線狀物釋放製程步驟中自基板201與第二層207之間移除第一層205。線狀物釋放製程步驟亦可視作片狀物釋放製程步驟、片狀物形成製程步驟、奈米片形成製程步驟、或線狀物形成製程步驟。在一實施例中,第一層205的移除方法可採用施蝕刻製程,其可選擇性移除第一層205的材料(如矽鍺),而不明顯移除基板201與第二層207的材料(如矽)。然而可採用任何合適的移除製程。
舉例來說,一實施例可採用蝕刻劑如高溫氯化氫以選擇性移除第一層205的材料(如矽鍺),而實質上不移除基板201及/或第二層207的材料(如矽)。此外,濕蝕刻製程的溫度可介於約400℃至約600℃之間(比如約560℃),且時間可介於約100秒至約600秒之間(比如約300秒)。然而可採用任何合適蝕刻劑、製程參數、與時間。
藉由移除第一層205的材料,可露出第二層207 (如圖7A與7B中標示的奈米結構701)的側壁。奈米結構701彼此隔有內側間隔物403。在一些實施例中,奈米結構701相隔的空間介於約5 nm至約15 nm之間,比如約10 nm。奈米結構701包括通道區於兩側的源極/汲極區503之間,其通道長度CL1介於約5 nm至約180 nm之間(比如約10 nm),且其通道寬度CW1介於約8 nm至約100 nm之間(比如約30 nm)。在一實施例中,奈米結構701的厚度與第二層207的原本厚度相同(比如介於約3 nm至約15 nm之間,如約8 nm),但蝕刻製程亦可減少奈米結構701的厚度。
在一些實施例中,線狀物釋放製程可在移除第一層205時,視情況部分地移除第二層207的材料(比如過蝕刻)。如此一來,與第二層207的原本厚度相較,奈米片結構701的厚度減少。如此一來,奈米結構701的第三厚度Th3可小於原本的第二層207的厚度(如第二厚度Th2)。此外,在此部分移除製程中,頂間隔物309與內側間隔物403可保護第二層207的相鄰材料免於移除。如此一來,在線狀物釋放製程時可保護奈米結構701之遠端部分的厚度不進一步減少。
此外,雖然圖7A至7C顯示三個奈米結構701,但自多層堆疊203提供的奈米片所形成的奈米結構701可為任何合適數目。舉例來說,多層堆疊203可包含任何合適數目的第一層205與任何合適數目的第二層207。如此一來,在移除第一層205之後,含有較少第一層205與較少第二層207的多層堆疊203將形成一或兩個奈米結構701。在移除第一層205之後,含有許多第一層205與許多第二層207的多層堆疊203將形成四個或更多個奈米結構701。
圖7A與7B亦顯示一些實施例中,形成閘極介電層703於奈米結構701上。在一實施例中,閘極介電層703包括高介電常數(大於或等於9)的材料如氧化鉭、氧化鋁、鉿的氧化物、鉭的氧化物、鈦的氧化物、鋯的氧化物、鋁的氧化物、鑭的氧化物(如氧化鉿、氧化鉿矽、氮氧化鉿矽、氧化鉿鉭、氧化鉿鈦、氧化鑭、氧化鋯、或氧化鈦)、上述之組合、或類似物,且其沉積製程可為原子層沉積、化學氣相沉積、或類似製程。在一些實施例中,閘極介電層703包含摻雜氮的氧化物介電層,其可形成於金屬成分的高介電常數(大於13)之介電材料的形成步驟之前。閘極介電層703的沉積厚度可介於約1 nm至約3 nm之間,但可採用任何合適材料與厚度。如圖所示,閘極介電層703包覆奈米結構701,因此形成通道區於源極/汲極區503之間。
圖8A與8B係一些實施例中,形成閘極107與閘極蓋801的剖視圖。一旦形成閘極介電層703,即可形成閘極107以圍繞奈米結構701。在一些實施例中,閘極107可採用多層,且依序沉積每一層的方法可採用順應性沉積製程如原子層沉積,但可採用任何合適的沉積製程。在一些實施例中,閘極107可包含蓋層、阻障層、n型金屬功函數層、p型金屬功函數層、與填充材料。
可形成蓋層以與閘極介電層703相鄰,且蓋層的組成可為金屬化材料如氮化鉭、鈦、氮化鈦鋁、鈦鋁、鉑、碳化鉭、碳氮化鉭、氮化鉭矽、錳、鋯、氮化鈦、釕、鉬、氮化鎢、其他金屬氧化物、金屬氮化物、金屬矽酸鹽、過渡金屬氧化物、過渡金屬氮化物、過渡金屬矽酸鹽、金屬的氮氧化物、金屬的鋁酸鹽、矽酸鋯、鋁酸鋯、上述之組合、或類似物。金屬化材料的沉積製程可為原子層沉積、化學氣相沉積、或類似製程,但可採用任何合適的沉積製程。
可形成阻障層以與蓋層相鄰,且阻障層與蓋層的材料可不同。舉例來說,阻障層的材料可為一或多層的金屬化材料如氮化鈦、氮化鉭、鈦、氮化鈦鋁、鈦鋁、鉑、碳化鉭、碳氮化鉭、氮化鉭矽、錳、鋯、釕、鉬、氮化鎢、其他金屬氧化物、金屬氮化物、金屬矽酸鹽、過渡金屬氧化物、過渡金屬氮化物、過渡金屬矽酸鹽、金屬氮氧化物、金屬鋁酸鹽、矽酸鋯、鋁酸鋯、上述之組合、或類似物。阻障層的沉積製程可採用原子層沉積、化學氣相沉積、或類似製程,但可採用任何合適的沉積製程。
可形成n型金屬功函數層以與阻障層相鄰。在一實施例中,n型金屬功函數層的材料可為鎢、銅、鋁銅、碳化碳鋁、氮化鈦鋁、鈦鋁、鉑、鈦、氮化鈦、鉭、氮化鉭、鈷、鎳、銀、鋁、鉭鋁、碳化鉭鋁、碳化鉭、碳氮化鉭、氮化鉭矽、錳、鋯、其他合適的n型功函數材料、或上述之組合。舉例來說,第一n型金屬功函數層的沉積方法可採用原子層沉積製程、化學氣相沉積製程、或類似製程。然而可採用任何合適材料與製程形成n型金屬功函數層。
可形成p型金屬功函數層以與n型金屬功函數層相鄰。在一實施例中,第一p型金屬功函數層的組成可為金屬化材料如鎢、鋁、銅、氮化鈦、鈦、氮化鈦鋁、鈦鋁、鉑、鉭、氮化鉭、鈷、鎳、碳化鉭、碳氮化鉭、氮化鉭矽、鉭矽化物、鎳矽化物、錳、鋯、鋯矽化物、釕、鋁銅、鉬、鉬矽化物、氮化鎢、其他金屬氧化物、金屬氮化物、金屬矽酸鹽、過渡金屬氧化物、過渡金屬氮化物、過渡金屬矽酸鹽、金屬的氮氧化物、金屬鋁酸鹽、矽酸鋯、鋁酸鋯、上述之組合、或類似物。此外,p型金屬功函數層的沉積製程可採用原子層沉積、化學氣相沉積、或類似製程,但可採用任何合適的沉積製程。
一旦形成p型金屬功函數層,即沉積填充材料以填入開口的其餘部分。在一實施例中,填充材料可為鎢、鋁、銅、鋁銅、鈦、氮化鈦鋁、鈦鋁、鉑、碳化鉭、碳氮化鉭、氮化鉭矽、錳、鋯、氮化鈦、鉭、氮化鉭、鈷、鎳、上述之組合、或類似物,且其形成方法可採用沉積製程如鍍製法、化學氣相沉積、原子層沉積、物理氣相沉積、上述之組合、或類似製程。然而可採用任何合適材料。
一旦移除虛置閘極303所留下的開口被填滿,即可平坦化閘極107與閘極介電層703的材料,使移除虛置閘極303所留下的開口之外的任何材料被移除。在具體實施例中,可採用平坦化製程如化學機械平坦化進行移除步驟,但可採用任何合適的平坦化與移除製程。在一些實施例中,閘極的第五長度L5可介於約8 nm至約30 nm之間。然而可採用任何合適長度。
一旦形成閘極107,即可使閘極107凹陷至低於層內介電層603的平坦化表面。在一些實施例中,可採用蝕刻製程如濕蝕刻、乾蝕刻、上述之組合、或類似製程使閘極107凹陷。一旦凹陷之後,閘極107高於最頂部的奈米結構701的距離為第五高度H5。在一些實施例中,第五高度H5介於約8 nm至約30 nm之間。然而可採用任何合適高度。
閘極蓋801的形成方法可為先沉積介電材料於閘極107上,以填入及/或超填凹陷。在一些實施例中,閘極蓋801的組成可採用介電材料如氮化矽、氧化物、氮氧化矽、碳氮氧化矽、碳氮化矽、或類似物。在一些實施例中,閘極蓋801的組成可採用金屬如鋯、鉿、鋁、或類似物的氧化物。此外,閘極蓋801的形成方法可採用合適的沉積製程如化學氣相沉積、原子層沉積、物理氣相沉積、上述之組合、或類似製程。然而可採用任何合適材料與沉積製程。一旦沉積閘極蓋801,即可採用平坦化製程如化學機械平坦化以平坦化閘極蓋801與層間介電層603。一旦平坦化閘極蓋801,則閘極蓋801的第六高度H6可介於約10 nm至約30 nm之間。然而可採用任何合適高度。
圖9A與9B係一些實施例中,在切割金屬閘極製程中形成第二開口901的剖視圖。一旦平坦化閘極蓋801與層間介電層603,即可沉積遮罩層903於閘極蓋801、層間介電層603、接點蝕刻停止層601、頂間隔物309、與閘極介電層703的平坦化表面上。一旦沉積遮罩層903,即可圖案化遮罩層903以露出即將形成切割金屬閘極結構109的所需位置的下方材料(含閘極蓋801與層間介電層603)。
一旦圖案化遮罩層903,即可採用遮罩層903作為蝕刻遮罩並蝕刻下方材料,以形成第二開口(如溝槽、凹陷、通道、或類似結構)。在蝕刻製程中,可採用非等向蝕刻製程蝕刻閘極蓋801與閘極107的材料,並止於閘極介電層703的上表面或隔離區209的上表面。第二開口可形成於相鄰的鰭狀物105之間,且可切穿一或多個閘極107。在一些實施例中,形成兩個第二開口901以切穿兩個相鄰的閘極107並位於一個鰭狀物105的兩側上,如圖1所示。在一些實施例中,第二開口901位於兩個相鄰裝置的頂間隔物309之間。一旦形成第二開口901,即可移除遮罩層903。
圖10A與10B係一些實施例中,形成切割金屬閘極結構109的剖視圖。一旦形成第二開口901,即可形成切割金屬閘極結構109,比如先沉積介電材料以填入或超填第二開口901。在一些實施例中,切割金屬閘極結構109可採用適於形成閘極蓋801的任何介電材料與沉積製程。在一些實施例中,切割金屬閘極結構109的介電材料可與閘極蓋801的介電材料相同,但介電材料亦可不同。舉例來說,在閘極蓋801採用氮化矽的實施例中,切割金屬閘極結構109可採用原子層沉積所形成的氮化矽。然而可採用任何合適的介電材料與沉積製程。在一些實施例中,切割金屬閘極結構109的第三寬度W3可介於約5 nm至約50 nm之間,比如約10 nm。然而可採用任何合適寬度。
切割金屬閘極結構109可將較長的多個閘極107分成較短的多個閘極107,並使多個閘極107彼此隔離。此外,可保留第二開口901之外的切割金屬閘極結構109之多餘介電材料,以作為連續多晶矽跨擴散邊緣製程中的遮罩層。如此一來,在圖10B中以虛線強調切割金屬閘極結構109,其具有多餘介電材料保留於第二開口901之外。
圖11A與11B係一些實施例中,在形成連續多晶矽跨擴散邊緣結構111的初始步驟中,形成第三開口1003的剖視圖。連續多晶矽跨擴散邊緣結構111在此處可視作隔離結構、切割多晶矽結構、或切割多晶矽跨擴散邊緣結構,其將搭配後續圖式詳述於下。
一旦形成切割金屬閘極結構109,即可形成光阻於多餘的介電材料上,並在之後形成連續多晶矽跨擴散邊緣結構111之所需位置的光阻中形成開口。形成開口於光阻中,以露出兩個切割金屬閘極結構109之間的閘極蓋801之一部分。此外,切割金屬閘極結構109的邊緣部分可由光阻中的開口露出,以提供連續多晶矽跨擴散邊緣結構111所用的一些製程容許範圍。在一些實施例中,露出的邊緣部分之寬度可為第四寬度W4,其介於約3 nm至約25 nm之間,比如約5 nm。然而可採用任何合適寬度。
接著採用光阻作為蝕刻遮罩,並蝕刻多餘的介電材料、切割金屬閘極結構109的邊緣部份、閘極蓋801、與閘極107,以形成第三開口1003 (如溝槽、凹陷、通道、或類似結構)於連續多晶矽跨擴散邊緣結構111的所需位置中。在一些實施例中,蝕刻製程可止於閘極介電層703。如此一來,閘極介電層703與奈米結構701保留於第三開口1003的底部。在一些實施例中,用以形成第三開口1003的蝕刻製程可為等向蝕刻製程(比如濕蝕刻製程),其採用的蝕刻劑止於閘極介電層703。然而可採用其他合適的蝕刻製程,包括非等向蝕刻製程(如乾蝕刻製程或反應性離子蝕刻製程)、等向蝕刻與非等向蝕刻的組合、或類似製程。在一些實施例中,第三開口1003的第五寬度W5可介於約20 nm至約200 nm之間,比如約70 nm。然而可採用任何合適寬度。
圖12A與12B係一些實施例中,在連續多晶矽跨擴散邊緣製程的中間步驟中進行其他蝕刻製程的剖視圖。一旦露出閘極介電層703,可進行另一蝕刻製程移除第三開口1003中的閘極介電層703的材料,並露出第三開口1003中的奈米結構701、鰭狀物105、與隔離區209。在一些實施例中,可採用濕蝕刻、乾蝕刻、上述之組合、或類似製程移除閘極介電層703的材料,且實質上不移除沿著第三開口1003的垂直側壁之頂間隔物309與內側間隔物403的材料。然而可採用任何合適的蝕刻製程。
一旦露出奈米結構701與鰭狀物105凸起高於隔離區209的部分,則可採用其他蝕刻製程移除奈米結構701的材料並形成凹陷於鰭狀物105中。在一些實施例中,可採用蝕刻製程如濕蝕刻、乾蝕刻、上述之組合、或類似製程移除這些材料,而實質上不移除第三開口1003露出的頂間隔物309、內側間隔物403、與隔離區209的材料。在一實施例中,鰭狀物105凸起高於隔離區209的部分可凹陷第二深度D2,其介於約2 nm至約20 nm之間(比如約10 nm)。然而可採用任何合適深度。在一些實施例中,第二深度D2與底間隔物501的第三高度H3之間的第一比例R1可介於約0.05:1至約1:1之間。一旦移除奈米結構701並使鰭狀物105凸起高於隔離區209的部分凹陷,即可由灰化製程等方法移除光阻。
圖13A與13B係一些實施例中,形成連續多晶矽跨擴散邊緣結構111的剖視圖。連續多晶矽跨擴散邊緣結構111的形成方法可為沉積介電材料,以填入及/或超填第三開口1003。連續多晶矽跨擴散邊緣結構111的組成可採用介電材料如氮化矽、氧化物、氮氧化矽、碳氮氧化矽、碳氮化矽、或類似物。在一些實施例中,連續多晶矽跨擴散邊緣結構111的組成可採用金屬如鋯、鉿、鋁、或類似物的氧化物。此外,連續多晶矽跨擴散邊緣結構111的形成方法可採用合適的沉積製程如化學氣相沉積、原子層沉積、物理氣相沉積、上述之組合、或類似製程。連續多晶矽跨擴散邊緣結構111可採用適於形成切割金屬閘極結構109所用的任何介電材料與製程。在一些實施例中,形成連續多晶矽跨擴散邊緣結構111的介電材料可與形成切割金屬閘極結構109的介電材料相同,但亦可採用不同的介電材料。舉例來說,一些實施例的切割金屬閘極結構109採用氮化矽,而連續多晶矽跨擴散邊緣結構111可採用氮化矽(其沉積製程可為化學氣相沉積、原子層沉積、濺鍍、或類似製程)。
一旦形成連續多晶矽跨擴散邊緣結構111,即可由化學機械平坦化製程移除第三開口1003之外的連續多晶矽跨擴散邊緣結構111之多餘材料。在一些實施例中,可持續化學機械平坦化製程至平坦化連續多晶矽跨擴散邊緣結構111、閘極107、與層間介電層603的上表面。一旦平坦化,鰭狀物105上的連續多晶矽跨擴散邊緣結構111之第七高度H7可介於約55 nm至約140 nm之間,比如約70 nm。然而可採用任何合適高度。閘極蓋801的第八高度H8可介於約10 nm至約30 nm之間,比如約15 nm。然而可採用任何合適高度。此外,切割金屬閘極結構109的第九高度H9可介於約50 nm至約120 nm之間,比如約60 nm。然而可採用任何合適高度。
圖14A與14B係一些實施例中,形成源極/汲極接點1401至源極/汲極區503的剖視圖。圖14A與14B所示的一些實施例中,形成源極/汲極通孔1407與閘極通孔1409。
一旦形成連續多晶矽跨擴散邊緣結構,可形成矽化物接點1403與源極/汲極接點1401穿過層間介電層603,以電性連接至源極/汲極區503。在一實施例中,矽化物接點1403與源極/汲極接點1401的形成方法,可先形成開口穿過層間介電層603以露出源極/汲極區503。開口的形成方法可採用合適的光微影遮罩與蝕刻製程。
矽化物接點1403可包含鈦、鎳、鈷、或鉺以降低源極/汲極接點1401的肖特基能障。然而亦可採勇其他金屬如鉑、鈀、或類似物。可毯覆性沉積合適的金屬層,接著退火使金屬與下方的源極/汲極區503露出的矽反應,以進行矽化製程。接著移除未反應的金屬,且移除方法可為選擇性蝕刻製程。矽化物接點1403的厚度可介於約5 nm至約50 nm之間。然而可採用任何合適厚度。
在一實施例中,源極/汲極接點1401可為導電材料如鋁、銅、鎢、鈷、鈦、鉭、釕、氮化鈦、鈦鋁、氮化鈦鋁、氮化鉭、碳化鉭、鎳矽化鎢、鈷矽化鎢、上述之組合、或類似物,但亦可沉積任何合適材料至開口中以填入及/或超填開口。上述導電材料的沉積製程可為濺鍍、化學氣相沉積、電鍍、無電鍍、或類似製程。一旦填入及/或超填開口,即可採用平坦化製程如化學機械平坦化移除開口之外的任何沉積材料。然而可採用任何合適材料與形成製程。
一旦形成源極/汲極接點1401,即可沉積介電材料於層間介電層603、接點蝕刻停止層601、頂間隔物309、閘極介電層703、閘極蓋801、切割金屬閘極結構109、與連續多晶矽跨擴散邊緣結構111的平坦上表面上,以形成第二層間介電層1405。第二層間介電層1405可採用適於形成與平坦化上述層間介電層603的任何製程與材料。
一旦形成第二層間介電層1405,即可形成源極/汲極通孔1407穿過第二層間介電層1405,以電性連接至源極/汲極區503。此外,閘極通孔1409可穿過第二層間介電層1405與閘極蓋801,以電性連接至閘極107。可採用任何合適的遮罩與蝕刻製程,以形成開口穿過第二層間介電層1405與介電蓋層1104。在一些實施例中,可採用相同蝕刻製程以一起圖案化源極/汲極通孔1407所用的開口與閘極通孔1409所用的開口。在其他實施例中,可由分開的蝕刻製程圖案化源極/汲極通孔1407所用的開口與閘極通孔1409所用的開口。
一旦形成源極/汲極通孔1407與閘極通孔1409所用的開口,即可沉積導電材料如銅以填入及/或超填開口,且沉積方法可為鍍製法、化學氣相沉積、濺鍍、上述之組合、或類似方法。接著可移除多餘材料,且移除方法可為平坦化製程如化學機械平坦化或類似製程。
在此處所述的實施例中,底間隔物501與內側間隔物403的最底部間隔物具有間隔物界面507。間隔物界面507的長度可介於約3 nm至約15 nm之間。如此一來,可確保源極/汲極區503的磊晶成長與基板201分隔。若間隔物界面507的第一長度L1過短(比如小於3 nm),在考量蝕刻深度變化時,源極/汲極區503的磊晶成長可能無法與基板201分隔。此外,若間隔物界面507的長度過長(比如大於15 nm)且大於內側間隔物403的第二高度H2,則底間隔物501在操作時可能阻擋電流自源極/汲極區503注入奈米結構701。如此一來,可能劣化產能及/或裝置效能。
藉由隔離源極/汲極區503與基板201,連續多晶矽跨擴散邊緣結構111可具有淺凹陷至鰭狀物105中,而不切穿隔離區209。在一些實施例中,淺凹陷的第二深度D2可介於約2 nm至約20 nm之間。第一比例R1可定義形成連續多晶矽跨擴散邊緣結構111所用的淺凹陷之第二深度D2,與底間隔物501的第三高度H3之間的比例。如此一來,可採用第一比例R1以確認淺凹陷的第二深度D2可用於形成不同技術節點的連續多晶矽跨擴散邊緣結構111。在一些實施例中,第一比例R1可介於約0.05:1至約1:1之間。節由形成具有第一比例R1大致小於1:1的淺凹陷之連續多晶矽跨擴散邊緣結構111,可得較大的源極/汲極損傷容許範圍並準確控制閘極高度。由於源極/汲極損傷容許範圍大,形成連續多晶矽跨擴散邊緣結構111時可不損傷源極/汲極區503,即使應用至N5技術與之後的技術。此外,由於準確控制閘極高度,在形成連續多晶矽跨擴散邊緣結構111時的閘極高度損失少或無。如此一來,採用淺凹陷深度所用的第二深度D2之連續多晶矽跨擴散邊緣結構111,可達N3技術與之後的技術。此外,形成比例R1為至少0.05:1的連續多晶矽跨擴散邊緣結構111,可確保有效截斷奈米結構701,以在操作裝置時隔離源極/汲極區503與基板201。如此一來,可增加產率及/或改善裝置效能。
在一實施例中,半導體裝置的形成方法包括:形成鰭狀物於多層堆疊中,且鰭狀物包括基板與基板上的至少一第一層;形成閘極於鰭狀物上;蝕刻開口於與閘極相鄰的鰭狀物中;沿著開口的側壁形成凹陷,且凹陷形成於至少一第一層中;沉積間隔物材料於凹陷中;形成底間隔物於開口中的底部,且底間隔物與間隔物材料具有第一界面;形成源極/汲極區於底間隔物上;以及形成介電結構穿過閘極至鰭狀物的基板中,且介電結構的底部高於底間隔物的底部。在一實施例中,凹陷中的間隔物材料厚度大於至少一第一層。在一實施例中,形成底間隔物的步驟更包括形成底間隔物到高度介於約3 nm至約30 nm之間。在一實施例中,第一界面的長度介於約3 nm至約15 nm之間。在一實施例中,方法更包括在形成介電結構之前,形成切割閘極介電層。在一實施例中,方法更包括形成隔離區於鰭狀物的兩側上,其中形成閘極的步驟包括形成閘極於隔離區上,且介電結構的底部水平在隔離區的上表面與下表面之間。在一實施例中,介電結構的底部水平延伸至基板中的距離介於約2 nm至約20 nm之間。
在另一實施例中,半導體裝置的形成方法包括:蝕刻第一開口穿過閘極,且第一開口中露出閘極介電層;移除第一開口中的閘極介電層,其中移除閘極介電層之後露出基板的一部分、奈米線的堆疊、與隔離區;進行蝕刻製程以移除第一開口中的奈米線的堆疊,並使基板的部分凹陷至隔離區的上表面與隔離區的下表面之間的第一水平;以及沉積介電材料於第一開口中,且介電材料隔離閘極的第一部份與閘極的第二部分。在一實施例中,第一水平低於隔離區的上表面的距離為頂多20 nm。在一實施例中,第一水平低於隔離區的上表面的距離為至少2nm。在一實施例中,方法更包括:在蝕刻第一開口穿過閘極之前,形成底間隔物以與基板相鄰;以及形成源極/汲極區於底間隔物上。在一實施例中,底間隔物的底部低於第一水平。在一實施例中,方法更包括形成內側間隔物於奈米結構的第二堆疊中,且內側間隔物與底間隔物具有第一界面。在一實施例中,第一界面的長度介於約3 nm至約15 nm之間。
在一實施例中,半導體裝置包括:奈米結構的堆疊,位於第一半導體鰭狀物上,且第一半導體鰭狀物位於基板上;隔離區,延伸於基板上的第一半導體鰭狀物與第二半導體鰭狀物之間,隔離區具有遠離基板的第一表面,且第一表面與基板隔有第一距離;閘極,圍繞奈米結構的堆疊中的每一奈米結構;以及介電材料,與閘極相鄰,介電材料具有與第二半導體鰭狀物相鄰的第一部分,第一部分與基板隔有第二距離,且第二距離小於第一距離。在一實施例中,第二距離與第一距離的差距頂多20 nm。在一實施例中,半導體裝置更包括:第一源極/汲極區,與奈米結構的堆疊相鄰;第一底間隔物,隔離第一源極/汲極區與基板;內側間隔物,與第一底間隔物相鄰,並分隔第一底間隔物與介電材料。在一實施例中,內側間隔物與第一底間隔物具有第一界面,且第一界面的距離介於約3 nm至約15 nm之間。在一些實施例中,內側間隔物的第一高度介於約5 nm至約20 nm之間。在一實施例中,第一高度大於奈米結構的堆疊中的一奈米結構之第二高度。
上述實施例之特徵有利於本技術領域中具有通常知識者理解本發明。本技術領域中具有通常知識者應理解可採用本發明作基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本發明精神與範疇,並可在未脫離本發明之精神與範疇的前提下進行改變、替換、或更動。
CL1:通道長度 CW1:通道寬度 D1:第一深度 D2:第二深度 H1:第一高度 H2:第二高度 H3:第三高度 H4:第四高度 H5:第五高度 H6:第六高度 H7:第七高度 H8:第八高度 H9:第九高度 L1:第一長度 L5:第五長度 S1:第一空間 Th1:第一厚度 Th2:第二厚度 Th3:第三厚度 W1:第一寬度 W2:第二寬度 W3:第三寬度 W4:第四寬度 W5:第五寬度 100:全繞式閘極半導體裝置 101,201:基板 103:多層結構 105:鰭狀物 107:閘極 109:切割金屬閘極結構 111:連續多晶矽跨擴散邊緣結構 203:多層堆疊 205:第一層 207:第二層 209:隔離區 211:虛置閘極介電層 301:虛置閘極堆疊 303:虛置閘極 305:第一硬遮罩 307:第二硬遮罩 309:頂間隔物 401:第一開口 403:內側間隔物 405:部分 501:底間隔物 503:源極/汲極區 507:間隔物界面 601:接點蝕刻停止層 603:層間介電層 701:奈米結構 703:閘極介電層 801:閘極蓋 901:第二開口 903:遮罩層 1003:第三開口 1104:介電蓋層 1401:源極/汲極接點 1403:矽化物接點 1405:第二層間介電層 1407:源極/汲極通孔 1409:閘極通孔
圖1係一些實施例中,全繞式閘極半導體裝置的上視圖。 圖2A與2B係一些實施例中,形成多層結構並形成多層結構中的鰭狀物的剖視圖。 圖3A與3B係一些實施例中,形成虛置閘極堆疊於鰭狀物上的剖視圖。 圖4A至4C係一些實施例中,形成多層結構中的開口與內側間隔物的剖視圖。 圖5A至5C係一些實施例中,形成底間隔物與源極/汲極區的剖視圖。 圖6A與6B係一些實施例中,形成層間介電層與平坦化製程的剖視圖。 圖7A至7C係一些實施例中,移除虛置閘極堆疊、進行線狀物釋放製程、與形成閘極介電層的剖視圖。 圖8A與8B係一些實施例中,形成閘極與閘極蓋的剖視圖。 圖9A與9B係一些實施例中,在切割金屬閘極製程中形成開口的剖視圖。 圖10A與10B係一些實施例中,形成切割金屬閘極介電插塞的剖視圖。 圖11A與11B係一些實施例中,在形成連續多晶矽跨擴散邊緣結構的中間步驟中形成開口的剖視圖。 圖12A與12B係一些實施例中,在形成連續多晶矽跨擴散邊緣結構的其他中間步驟中延伸開口的剖視圖。 圖13A與13B係一些實施例中,形成連續多晶矽跨擴散邊緣結構於全繞式閘極半導體裝置中的剖視圖。 圖14A與14B係一些實施例中,形成源極/汲極接點插塞的剖視圖。
105:鰭狀物
107:閘極
109:切割金屬閘極結構
111:連續多晶矽跨擴散邊緣結構
201:基板
209:隔離區
701:奈米結構
703:閘極介電層
801:閘極蓋
1405:第二層間介電層
1409:閘極通孔

Claims (1)

  1. 一種半導體裝置的形成方法,包括: 形成一鰭狀物於一多層堆疊中,且該鰭狀物包括一基板與該基板上的至少一第一層; 形成一閘極於該鰭狀物上; 蝕刻一開口於與該閘極相鄰的該鰭狀物中; 沿著該開口的一側壁形成一凹陷,且該凹陷形成於該至少一第一層中; 沉積一間隔物材料於該凹陷中; 形成一底間隔物於該開口中的底部,且該底間隔物與該間隔物材料具有一第一界面; 形成一源極/汲極區於該底間隔物上;以及 形成一介電結構穿過該閘極至該鰭狀物的該基板中,且該介電結構的底部高於該底間隔物的底部。
TW109132498A 2019-10-29 2020-09-21 半導體裝置的形成方法 TW202117855A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962927582P 2019-10-29 2019-10-29
US62/927,582 2019-10-29
US16/872,058 2020-05-11
US16/872,058 US11450754B2 (en) 2019-10-29 2020-05-11 Semiconductor devices and methods of manufacture

Publications (1)

Publication Number Publication Date
TW202117855A true TW202117855A (zh) 2021-05-01

Family

ID=75586155

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109132498A TW202117855A (zh) 2019-10-29 2020-09-21 半導體裝置的形成方法

Country Status (3)

Country Link
US (2) US11450754B2 (zh)
CN (1) CN112750775A (zh)
TW (1) TW202117855A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI820781B (zh) * 2021-07-16 2023-11-01 台灣積體電路製造股份有限公司 半導體結構及其形成方法

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11296082B2 (en) * 2020-07-30 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device and related methods
US20220359545A1 (en) * 2021-05-07 2022-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor memory devices with dielectric fin structures
US20240105804A1 (en) * 2022-09-27 2024-03-28 Intel Corporation Integrated circuit structures having fin isolation regions bound by gate cuts

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8399314B2 (en) * 2010-03-25 2013-03-19 International Business Machines Corporation p-FET with a strained nanowire channel and embedded SiGe source and drain stressors
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9006829B2 (en) 2012-08-24 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Aligned gate-all-around structure
US9209247B2 (en) 2013-05-10 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned wrapped-around structure
US9136332B2 (en) 2013-12-10 2015-09-15 Taiwan Semiconductor Manufacturing Company Limited Method for forming a nanowire field effect transistor device having a replacement gate
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9608116B2 (en) 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
US9490176B2 (en) * 2014-10-17 2016-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for FinFET isolation
US9412817B2 (en) 2014-12-19 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide regions in vertical gate all around (VGAA) devices and methods of forming same
US9536738B2 (en) 2015-02-13 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) devices and methods of manufacturing the same
US9502265B1 (en) 2015-11-04 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) transistors and methods of forming the same
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
TWI707473B (zh) * 2016-11-23 2020-10-11 聯華電子股份有限公司 半導體裝置以及其製作方法
US11335807B2 (en) * 2018-06-29 2022-05-17 Intel Corporation Isolation schemes for gate-all-around transistor devices
US10756216B2 (en) * 2018-08-09 2020-08-25 International Business Machines Corporation Nanosheet mosfet with isolated source/drain epitaxy and close junction proximity

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI820781B (zh) * 2021-07-16 2023-11-01 台灣積體電路製造股份有限公司 半導體結構及其形成方法

Also Published As

Publication number Publication date
US20210126113A1 (en) 2021-04-29
US20220384619A1 (en) 2022-12-01
CN112750775A (zh) 2021-05-04
US11450754B2 (en) 2022-09-20

Similar Documents

Publication Publication Date Title
KR102109899B1 (ko) 반도체 디바이스 및 방법
TWI719518B (zh) 半導體裝置與其形成方法
TWI671903B (zh) 半導體裝置結構及其製造方法
TWI651849B (zh) 半導體裝置與其形成方法
TW202117855A (zh) 半導體裝置的形成方法
TWI655667B (zh) 半導體結構及其製造方法
TW202020949A (zh) 半導體裝置與其形成方法
TWI827712B (zh) 半導體裝置與其形成方法
TW201916257A (zh) 半導體裝置與其形成方法
TW202008433A (zh) 半導體裝置的形成方法
CN109801914A (zh) 衬底和隔离结构之间的蚀刻停止层
TW202011518A (zh) 半導體裝置的形成方法
TW201839816A (zh) 半導體裝置的形成方法
US20230326990A1 (en) Multi-Channel Devices and Methods of Manufacture
US10276677B2 (en) Semiconductor device structure and method for forming the same
TW202129765A (zh) 半導體裝置的形成方法
US20230290687A1 (en) Nanostructure field-effect transistor device and method of forming
TWI806726B (zh) 半導體裝置和其製造方法
TW202234658A (zh) 半導體結構與其形成方法
CN113161353A (zh) 半导体装置
TWI815432B (zh) 半導體裝置結構與其形成方法
TWI832320B (zh) 形成具有接觸特徵之半導體裝置的方法
KR102546906B1 (ko) Finfet 디바이스 및 방법
US20240047553A1 (en) Gate-all-around field-effect transistor device
US20220392998A1 (en) Semiconductor gates and methods of forming the same