TW202011518A - 半導體裝置的形成方法 - Google Patents

半導體裝置的形成方法 Download PDF

Info

Publication number
TW202011518A
TW202011518A TW108130355A TW108130355A TW202011518A TW 202011518 A TW202011518 A TW 202011518A TW 108130355 A TW108130355 A TW 108130355A TW 108130355 A TW108130355 A TW 108130355A TW 202011518 A TW202011518 A TW 202011518A
Authority
TW
Taiwan
Prior art keywords
layer
semiconductor fin
silicon
region
semiconductor
Prior art date
Application number
TW108130355A
Other languages
English (en)
Inventor
顏政雄
馬大鈞
蘇建彰
陳俊仁
鄭培仁
李啟弘
陳科維
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202011518A publication Critical patent/TW202011518A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1037Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure and non-planar channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

裝置的形成方法為提供含矽的基板,且基板具有半導體鰭狀物自主要表面凸起。形成襯墊層與淺溝槽隔離區,以與半導體鰭狀物相鄰。沉積矽蓋於半導體鰭狀物上。矽蓋由半導體鰭狀物上的結晶矽層,以及該襯墊層與該淺溝槽隔離區上的非晶矽部份所組成。進行氯化氫蝕刻烘烤製程,以移除襯墊層與淺溝槽隔離區上的非晶矽部份。

Description

半導體裝置的形成方法
本發明實施例關於半導體裝置,更特別關於鰭狀物上的蓋層與其形成方法。
半導體裝置已用於多種電子應用,比如個人電腦、手機、數位相機、與其他電子設備。半導體裝置的製作方法通常為依序沉積絕緣或介電層、導電層、與半導體層的材料於半導體基板上,再採用微影圖案化多種材料層,以形成電路構件與單元於半導體基板上。
半導體產業持續縮小最小結構尺寸以改善多種電子構件(如電晶體、二極體、電阻、電容、或類似物)的積體密度,以將更多構件整合至給定面積中。然而隨著最小結構尺寸縮小,需解決額外產生的問題。
形成積體電路的方法採用越來越多的鰭狀場效電晶體,以具有鰭狀場效電晶體的高效能與小尺寸。完全應變的通道亦改善鰭狀場效電晶體效能,但需解決完全應變通道結構所產生的缺點。
本發明一實施例提供之半導體裝置的形成方法,包括:提供基板,基板包括矽,並具有半導體鰭狀物自主要表面凸起;形成襯墊層與淺溝槽隔離區,以與半導體鰭狀物相鄰;沉積蓋層於半導體鰭狀物上,其中蓋層包括半導體鰭狀物上的結晶矽層,以及襯墊層與淺溝槽隔離區上的多個非晶矽部份;以及進行氯化氫蝕刻烘烤製程,以移除襯墊層與淺溝槽隔離區上的非晶矽部份。
本發明一實施例提供之半導體裝置的形成方法,包括:形成襯墊層與淺溝槽隔離區,以與半導體鰭狀物相鄰;沉積蓋層於半導體鰭狀物上,其中蓋層包括多晶矽層於半導體鰭狀物上,以及多個非晶矽部份於襯墊層與淺溝槽隔離區上;進行氯化氫蝕刻烘烤製程,以移除襯墊層與淺溝槽隔離區上的非晶矽部份;形成輸入/輸出裝置所用的氧化物層於半導體鰭狀物、襯墊層、與淺溝槽隔離區上;以及以乾蝕刻製程移除輸入/輸出裝置所用的氧化物層。
本發明一實施例提供之半導體裝置,包括:基板,包括矽;半導體鰭狀物,自基板延伸,且包括:上側部份,包含矽鍺;下側部份,包括矽;以及蓋層,位於半導體鰭狀物的上側部份之頂部與側壁上,且蓋層未覆蓋半導體鰭狀物的下側部份之側壁;襯墊層,位於與半導體鰭狀物相鄰的溝槽上,襯墊層的第一上表面與半導體鰭狀物的下側部份的下表面齊平;以及淺溝槽隔離區,位於襯墊層的頂部上的溝槽中。
下述內容提供的不同實施例或實例可實施本發明的不同結構。下述特定構件、與配置的實施例係用以簡化本發明內容而非侷限本發明。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸的實施例,或兩者之間隔有其他額外構件而非直接接觸的實施例。另一方面,本發明之多個實例可重複採用相同標號以求簡潔,但多種實施例及/或設置中具有相同標號的元件並不必然具有相同的對應關係。
此外,空間性的相對用語如「下方」、「其下」、「較下方」、「上方」、「較上方」、或類似用語可用於簡化說明某一元件與另一元件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。元件亦可轉動90°或其他角度,因此方向性用語僅用以說明圖示中的方向。
此處揭露的一或多個實施例的優點包括低溫矽磊晶成長所用的選擇性自由製程。此可改善裝置效能。其他優點可包括成長較厚的矽蓋層於矽鍺鰭狀物上,且在淺溝槽隔離區周圍上無選擇性損耗的能力。因此可增進電洞遷移率,而不必增加界面捕獲密度。
多種實施例提供的製程可用於形成改善的半導體鰭狀物。舉例來說,矽的蓋層可形成於半導體鰭狀物上,且半導體鰭狀物至少部份由矽鍺所組成。半導體鰭狀物可位於n型井上,且可包含n型井的一部份。可採用低溫製程形成蓋層,以限制鍺自半導體鰭狀物向外擴散。具體而言,低溫製程可包含在爐中原位進行的預清潔製程、昇華製程、沉積製程、與冷卻製程。
最終的p型半導體鰭狀物可具有降低的擺動效果(比如沿著p型半導體鰭狀物長度的彎曲較少)、較佳的線路邊緣粗糙度、改善的汲極誘發能障降低、低通道電阻、與降低的臨界電壓變化。此外,可形成不具有小翼狀物(如自半導體鰭狀物的側壁延伸的三角形凸起)的半導體鰭狀物。如此一來,這些製程所形成的含有半導體鰭狀物的半導體裝置,可具有改善的裝置效能。
圖1係一些實施例中,鰭狀場效電晶體的三維圖。鰭狀場效電晶體包含鰭狀物58於基板50 (如半導體基板)上。隔離區56位於基板50中,而鰭狀物58自相鄰的隔離區56之間凸起高於隔離區56。雖然圖式中的隔離區56與基板50分開,但此處所述的用語「基板」可指半導體基板,或含有隔離區56的半導體基板。閘極介電層92沿著鰭狀物58的側壁並位於鰭狀物58的上表面上,而閘極94位於閘極介電層92上。源極/汲極區82相對於閘極介電層92與閘極94,位於鰭狀物58的兩側中。圖1亦顯示後續圖式所用的參考剖面。參考剖面A-A沿著閘極94的縱軸,並垂直於鰭狀場效電晶體的源極/汲極區82之間的電流方向。參考剖面B-B垂直於參考剖面A-A並沿著鰭狀物58的縱軸,且在鰭狀場效電晶體的源極/汲極區82之間的電流方向中。參考剖面C-C平行於參考剖面A-A,並延伸穿過鰭狀場效電晶體的源極/汲極區82之一。後續圖式依據這些參考剖面,以達圖式清楚的目的。
此處所述的一些實施例內容為採用閘極後製製程形成鰭狀場效電晶體。在其他實施例中,可採用閘極優先製程。此外,一些實施例可用於平面裝置,如平面場效電晶體。
圖2至24B係一些實施例中,形成鰭狀場效電晶體的中間步驟之剖視圖。圖2至12沿著圖1所示的參考剖面A-A,差別在於其具有多個鰭狀物及/或鰭狀場效電晶體。在圖15A至24B中,圖式末尾為「A」者沿著圖1所示的參考剖面A-A,差別在於其具有多個鰭狀物及/或鰭狀場效電晶體;而圖式末尾為「B」者沿著圖1所示的參考剖面B-B。圖18C與18D沿著圖1所示的參考剖面C-C。
在圖2中,提供基板100,且基板100具有n型井區102與p型井區104形成其中。基板100可為半導體基板如半導體基體基板、絕緣層上半導體基板、或類似物,其可摻雜(如摻雜p型或n型摻質)或未摻雜。基板100可為晶圓如矽晶圓。一般而言,絕緣層上半導體基板為半導體材料層形成於絕緣層上。舉例來說,絕緣層可為埋置氧化物層、氧化矽層、或類似物。絕緣層可形成於基板上,通常形成於矽基板或玻璃基板上。亦可採用其他基板如多層基板或組成漸變基板。
基板100具有第一區100A與第二區100B。第一區100A可用於形成p型裝置如p型金氧半電晶體(比如p型鰭狀場效電晶體)。第二區100B可用於形成n型裝置如n型金氧半電晶體(比如n型鰭狀場效電晶體)。第一區100A與第二區100B之間可物理隔有分隔線,且任何數目的裝置結構(如其他主動裝置、摻雜區、隔離結構、或類似物)可位於第一區100A與第二區100B之間。
可採用遮罩(如光阻、氧化物、或類似物)覆蓋p型井區104,並在n型井區102上進行離子佈植製程,以形成n型井區102於基板100中。可將n型摻質如砷離子佈植至n型區102中。可採用遮罩(如光阻、氧化物、或類似物)覆蓋n型井區102,並在p型井區104上進行離子佈植製程,以形成p型井區104於基板100中。可佈植p型摻質如硼離子至p型井區104中。在一些實施例中,n型井區102可包含n型摻雜的矽,而p型井區104可包含p型摻雜的矽。
在圖3中,第一磊晶層106形成於n型井區102與p型井區104上,遮罩層108形成於第一磊晶層106上,而圖案化的光阻110形成於遮罩層108上。第一磊晶層106可為後續形成的n型金氧半裝置中的通道,且可用於減少後續形成的第二磊晶層114中的錯位缺陷。第一磊晶層106的形成製程可為磊晶成長或類似方法。第一磊晶層106的材料可包含矽或類似物。第一磊晶層106的晶格常數可與n型井區102與p型井區104的晶格常數類似或相同。如下詳述,第一磊晶層106將圖案化以形成第二區100B (用於n型金氧半裝置)中的鰭狀物,且第一磊晶層106將作為晶種層以形成第一區100A (用於p型金氧半裝置)中的另一磊晶層。在一些實施例中,第一磊晶層106的厚度介於約1Å至約300Å之間。
遮罩層108的形成製程可為化學氣相沉積、原子層沉積、或類似製程。遮罩層108的材料可包含氧化矽、氮化矽、或類似物。可採用旋轉塗佈技術或類似方法沉積光阻材料,再曝光光阻材料至圖案化的能量源(如圖案化的光源、電子束源、或類似物),並顯影曝光的光阻材料至顯影溶液,以形成圖案化的光阻110。顯影溶液可移除光阻材料的部份,以露出遮罩層108的至少一部份。如圖3所示,圖案化的光阻110可延伸於p型井區104上,而不延伸於n型井區102上。然而多種其他實施例中,圖案化的光阻110可與n型井區102的至少一部份重疊,或不完全覆蓋p型井區104。
在圖4中,採用圖案化的光阻110作為遮罩以蝕刻遮罩層108,並採用遮罩層108作為遮罩以蝕刻第一磊晶層106,以形成第一開口112。可由合適的蝕刻製程如非等向蝕刻製程,蝕刻遮罩層108與第一磊晶層106。在一些實施例中,可由乾蝕刻製程如反應性離子蝕刻、中性束蝕刻、上述之組合、或類似製程,蝕刻遮罩層108與第一磊晶層106。在蝕刻遮罩層108之後,可採用合適的光阻剝除技術(如化學溶液清潔、電漿灰化、乾式剝除、及/或類似方法)移除圖案化的光阻110。可在蝕刻第一磊晶層106之前或之後移除圖案化的光阻110。如圖4所示,可形成第一開口112於n型井區102上,且開口112未延伸於p型井區104上。然而在一些實施例中,第一開口112可延伸於p型井區104的至少一部份上。如圖4所示,第一磊晶層106的至少一部份可保留於第一開口112之下。保留於n型井區102上的第一磊晶層106的部份,可用於成長第二磊晶層114,如搭配圖5說明的下述內容。在一些實施例中,在蝕刻第一開口112之後,第一磊晶層106的保留部份的厚度可介於約1Å至約300Å之間。在一些實施例中,第一開口112的深度介於約100Å至約5000Å之間。
在圖5中,第二磊晶層114形成於第一開口112中,第二磊晶層114的形成製程可為磊晶成長或類似製程。第二磊晶層114的材料可包含矽鍺或類似物。在第一區100A為p型金氧半區的實施例中,第二磊晶層114包含的材料之晶格常數大於第一磊晶層106的晶格常數。舉例來說,一些實施例的第二磊晶層114可包含矽鍺。矽鍺的能帶隙小於矽的能帶隙,使後續形成的p型金氧半裝置具有較大的電洞遷移率。
如圖5所示,第二磊晶層114可填入第一開口112,使第二磊晶層114的上表面高於第一磊晶層106的上表面。第二磊晶層114形成至一定厚度,使第一磊晶層106與第二磊晶層114的後續平坦化製程可產生平坦表面。在一些實施例中,第二磊晶層114的至少一部份可延伸於遮罩層108上。
在圖6中,移除遮罩層108並在第一磊晶層106與第二磊晶層114上進行平坦化製程。可採用合適的蝕刻製程移除遮罩層108,比如濕蝕刻製程(例如稀氫氟酸或類似物)。第一磊晶層106與第二磊晶層114可由任何合適的平坦化製程平坦化,比如化學機械研磨、回蝕刻製程、上述之組合、或類似方法。如圖6所示,在平坦化製程之後,第一磊晶層106的上表面可與第二磊晶層114的上表面齊平。一些實施例在平坦化製程之後,第二區100B中的第一磊晶層106的厚度可介於約100Å至約50000Å之間,而第二磊晶層114的厚度可介於約100Å至約5000Å之間。
在圖7中,蝕刻第二磊晶層114、第一磊晶層106、n型井區102、與p型井區104,以形成第一區100A中的第一半導體鰭狀物116A與第二區100B中的第二半導體鰭狀物116B。在一些實施例中,第一半導體鰭狀物116A與第二半導體鰭狀物116B的形成方法,可為蝕刻溝槽於第二磊晶層114、第一磊晶層106、n型井區102、與p型井區104中。蝕刻可為一或多種任何可接受的蝕刻製程,比如反應性離子蝕刻、中性束蝕刻、類似方法、或上述之組合。蝕刻可為非等向。雖然圖式中的第一半導體鰭狀物116A與第二半導體鰭狀物116B具有圓潤的角落與直線的邊緣,第一半導體鰭狀物116A與第二半導體鰭狀物116B可具有任何其他合適的形狀,比如錐形側壁。在一些實施例中,第一半導體鰭狀物116A與第二半導體鰭狀物116B的高度可介於約10Å至約5000Å之間。
可由任何合適方法圖案化第一半導體鰭狀物116A與第二半導體鰭狀物116B。舉例來說,可採用一或多道光微影製程圖案化第一半導體鰭狀物116A與第二半導體鰭狀物116B,比如雙重圖案化或多重圖案化製程。一般而言,雙重圖案化或多重圖案化製程結合光微影與自對準製程,其產生的圖案間距可小於採用單一直接光微影製程所得的圖案間距。雖然未分開圖示雙重圖案化或多重圖案化製程,一實施例的雙重圖案化或多重圖案化製程可包含形成犧牲層於基板上。採用光微影製程圖案化犧牲層。採用自對準製程沿著犧牲層的側部形成間隔物。接著移除犧牲層,並採用保留的間隔物圖案化第一半導體鰭狀物116A與第二半導體鰭狀度116B。
第一區100A (比如p型金氧半區)中的第一半導體鰭狀物116A中包含的第二磊晶層114之組成為矽鍺,可增加後續形成的p型金氧半電晶體的電洞遷移率。此外,由於鍺的能隙小於矽的能隙,第一半導體鰭狀物116A中包含的第二磊晶層114可使後續形成的p型金氧半電晶體具有較高電流。
在圖8中,絕緣材料122形成於基板100、第一半導體鰭狀物116A、與第一半導體鰭狀物116B上,以填入第一半導體鰭狀物116A與第二半導體鰭狀物116B之間的開口。在一些實施例中,絕緣材料122包括襯墊層118,與襯墊層118上的介電材料120,如圖8所示。襯墊層118可為順應性的層狀物,其水平部份與垂直部份的厚度可彼此近似。
在一些實施例中,可在含氧環境中氧化基板100、第一半導體鰭狀物116A、與第二半導體鰭狀物116B的露出表面以形成襯墊層118,且氧化方法可為局部氧化矽,其中氧氣可包含於個別的製程氣體中。在其他實施例中,可採用水蒸汽或氫氣與氧氣的組合氣體,進行原位蒸汽產生法以氧化基板100、第一半導體鰭狀物116A、與第二半導體鰭狀物116B的露出表面,可在升高的溫度下進行原位蒸汽產生法的氧化。在其他實施例中,襯墊層118的形成方法採用沉積技術如原子層沉積、化學氣相沉積、次壓化學氣相沉積、類似方法、或上述之組合。在一些實施例中,襯墊層118的厚度可介於約0.2Å至約100Å之間。在例示性的實施例中,襯墊層118的厚度可介於約1nm至5nm之間。在一些實施例中,襯墊層118可包含氮化矽或氧化矽。
形成介電材料120以填入第一半導體鰭狀物116A與第二半導體鰭狀物116B之間的開口之其餘部份。介電材料120可超填第一半導體鰭狀物116A與第二半導體鰭狀物116B之間的開口,使介電材料120的一部份延伸高於第一半導體鰭狀物116A與第二半導體鰭狀物116B的上表面。在一些實施例中,介電材料120可包含氧化矽、碳化矽、氮化矽、類似物、或上述之組合,且其形成方法可採用可流動的化學氣相沉積、旋轉塗佈、化學氣相沉積、原子層沉積、高密度電漿化學氣相沉積、低壓化學氣相沉積、類似方法、或上述之組合。在沉積介電材料120之後,可進行退火及/或固化步驟,以將可流動的介電材料120轉變為固態的介電材料。在一些實施例中,襯墊層118與介電材料120之間的界面可區別,因為兩者具有不同的材料特性如不同種類的材料及/或不同密度。
在圖9中,對絕緣材料122進行平坦化製程。在一些實施例中,平坦化製程包括化學機械研磨、回蝕刻製程、上述之組合、或類似製程。如圖9所示,平坦化製程可露出第一半導體鰭狀物116A與第二半導體鰭狀物116B的上表面。平坦化製程亦可平坦化第一半導體鰭狀物116A與第二半導體鰭狀物116B的部份。在完成平坦化製程之後,第一半導體鰭狀物116A、第二半導體鰭狀物116B、與絕緣材料122的上表面可齊平。
在圖10中,使絕緣材料122凹陷以形成淺溝槽隔離區124。絕緣材料122凹陷後,第一區100A與第二區100B中的第一半導體鰭狀物116A與第二半導體鰭狀物116B自相鄰的淺溝槽隔離區124之間凸起。如圖10所示,由於絕緣材料122凹陷,第一磊晶層106、第二磊晶層114、與n型井區102及p型井區104的至少一部份自淺溝槽隔離區124凸起。n型井區102與p型井區104自淺溝槽隔離區124凸起的距離D1可介於約1Å至約100Å之間。第一半導體鰭狀物116A與第二半導體鰭狀物116B的露出部份可具有高度H1,即自淺溝槽隔離區的上表面至第一半導體鰭狀物116A與第二半導體鰭狀物116B的上表面之距離。高度H1可介於約1Å至約10000Å之間。可採用可接受的蝕刻製程使淺溝槽隔離區124凹陷,比如對淺溝槽隔離區的材料具有選擇性的蝕刻製程。舉例來說,化學氧化物移除法可採用無電漿的氣相蝕刻氣體(如採用氫氟酸氣、氨氣、或類似物的蝕刻製程)、遠端電漿輔助的乾蝕刻製程(如採用氫氣、三氟化氮、氨副產物、或類似物的製程)、或稀氫氟酸。
在圖11中,蓋層126形成於第一半導體鰭狀物116A與第二半導體鰭狀物116B的露出部份上。蓋層126可形成於第一半導體鰭狀物116A與第二半導體鰭狀物116B上,以減少鍺自第一半導體鰭狀物116A向外擴散至後續形成的上方層中。在一些實施例中,蓋層126的組成可為矽(如多晶矽)或類似物。蓋層126的厚度可介於約1Å至約10Å之間。蓋層126的形成方法可為化學氣相沉積、爐化學氣相沉積、原子層沉積、磊晶成長、或類似方法。在特定實施例中,蓋層126的形成方法可將圖10所示的基板100裝入爐中,在第一半導體鰭狀物116A與第二半導體鰭狀物116B上進行預清潔製程、進行昇華製程、沉積蓋層於第一半導體鰭狀物116A與第二半導體鰭狀物116B上、以及冷卻基板100。
在形成蓋層126的初始步驟中,可在第一半導體鰭狀物116A與第二半導體鰭狀物116B的露出部份上進行預清潔製程,以移除第一半導體鰭狀物116A與第二半導體鰭狀物116B的露出表面氧化所形成的原生氧化物層。在一些實施例中,可採用氫氟酸為主的氣體、矽鈷鎳為主的氣體、或類似物進行預清潔。在其他實施例中,可採用濕蝕刻進行預清潔,其蝕刻劑可為含有氫氟酸的溶液,但亦可採用其他蝕刻劑(如氫氣)與其他方法(如反應性離子蝕刻)。可採用乾蝕刻進行預清潔,其蝕刻劑可為氨及/或三氟化氮,或採用化學氧化物移除或乾式化學清潔以進行預清潔。在其他實施例中,預清潔可採用氨的遠端電漿預清潔製程。將基板100裝入爐中之後,可原位進行預清潔製程。其他實施例在將基板100裝入爐中之前,可非原位進行預清潔製程。預清潔製程的溫度可介於約50℃至約350℃之間。預清潔製程可歷時約5秒至約250秒。預清潔製程可改善蓋層126對第一半導體鰭狀物116A與第二半導體鰭狀物116B的黏著性。
在爐中進行昇華製程,以製備沉積蓋層126所用的前驅物氣體。沉積蓋層126所用的前驅物可包含矽烷、二氯矽烷、乙矽烷、上述之組合、或類似物。在一些實施例中,可在載氣如氫氣的存在下昇華矽。昇華製程可在氫氣環境下進行。昇華製程的溫度可介於約50℃至約300℃之間。在昇華製程時,爐內的氫氣分壓可介於約1mTorr至約4mTorr之間。在沉積蓋層126於第一半導體鰭狀物116A與第二半導體鰭狀物116B上之前,可採用昇華製程以穩定爐內的溫度、壓力、與前驅物氣體流速。
接著在蓋層沉積製程中,升高爐溫以沉積蓋層126於第一半導體鰭狀物116A與第二半導體鰭狀物116B上。在一實施例中,增加爐溫會造成前驅物氣體分解,以產生矽沉積於第一半導體鰭狀物116A與第二半導體鰭狀物116B上。爐溫可升高至介於約350℃至約500℃之間。爐壓可介於約1Torr至約600Torr之間。在沉積蓋層126的製程時,氫氣流動於基板100上的流速可介於約10sccm至約30000sccm之間。在沉積蓋層126的製程時,前驅物氣體如矽烷、二氯矽烷、乙矽烷、上述之組合、或類似物流動於基板100上的流速可介於約10sccm至約500sccm之間。在一些實施例中,沉積蓋層126的時間可介於約50秒至約10000秒之間。在其他實施例中,沉積蓋層126的時間可介於約50秒至約200秒之間。
蓋層126與第一半導體鰭狀物116A的組合,可作為後續形成的電晶體中的p型通道。蓋層126與第二半導體鰭狀物116B的組合,可作為後續形成的電晶體中的n型通道。以矽鍺形成第一半導體鰭狀物116A,造成p型的完全應變通道具有降低的通道電阻與高效遷移率。第一半導體鰭狀物116A可比其他製程或不同材料所形成的半導體鰭狀物,具有更佳的汲極誘發能障降低與開啟電流-關閉電流效能。形成蓋層126於第一半導體鰭狀物116A上,可減少矽鍺所形成的第一半導體鰭狀物116A中的缺陷。
沉積於第一半導體鰭狀物116A與第二半導體鰭狀物116B上的蓋層126,可包含結晶矽。在成長結晶矽的蓋層126時,可能成長非晶矽於相鄰的淺溝槽隔離區上,造成自矽蓋層延伸的非晶矽的翼狀物126A,如圖11所示。這些翼狀物會劣化裝置效能,比如汲極誘發能障降低、臨界電壓、與界面補獲密度。形成矽蓋層的製程造成不想要的非晶矽形成的現象,有時可稱作選擇性損失,因為在理想製程中,矽只成長於露出的矽鍺上而不形成於淺溝槽隔離區上(如完全的選擇性成長)。
在圖12中,可對蓋層126進行氯化氫蝕刻(有時可稱作氯化氫烘烤),以選擇性地移除襯墊層118與淺溝槽隔離區124其露出區域上的不想要的非晶矽的翼狀物126A,而實質上不蝕刻或移除所需的結晶矽的蓋層126。爐溫可升高至介於約550℃至約650℃之間,而爐壓可升高至介於約10Torr至約500Torr之間。在此烘烤時,可施加氯化氫至蓋層126與非晶矽的翼狀物126A以進行氯化氫的濕蝕刻製程,其可歷時約10秒至約100秒。由於氯化氫對非晶矽的蝕刻速率高於對結晶矽的蝕刻速率,可在蝕刻移除非晶矽的翼狀物126A時,最小化地影響結晶矽所組成的蓋層126。圖13顯示氯化氫對結晶矽與非晶矽的不同蝕刻速率。在氯化氫蝕刻烘烤中,可在100秒內將厚約35Å的非晶矽蝕刻至0,而對結晶矽的蝕刻速率極慢(在200秒內將厚約24Å的結晶矽蝕刻至約22Å)。
接著冷卻基板100。基板100的冷卻方法可為在基板100上流動冷卻氣體(如氮氣或類似物),或採用冷卻水管或類似物。冷卻氣體的溫度可介於約25℃至約380℃之間。冷卻基板100的時間可介於約20秒至約120秒之間。基板100可冷卻到介於約60℃至約18℃之間。
圖14A顯示裝置的另一實施例,其中矽鍺的鰭狀物116成長於矽的帶狀物如n型井區102上。圖14B顯示成長結晶矽的蓋層126,以覆蓋矽鍺的鰭狀物116之後的裝置。在成長結晶矽的蓋層126時,非晶矽可成長於相鄰的介電材料120與襯墊層118上,造成自矽的蓋層126延伸的非晶矽的翼狀物126A。
圖14C顯示對圖14B的結構進行氯化氫蝕刻之後的圖式,而氯化氫蝕刻有時稱作氯化氫烘烤。如圖所示,氯化氫蝕刻移除不想要的非晶矽的翼狀物126A,且實質上不蝕刻或移除所需的結晶矽的蓋層126。在額外實施例中,回蝕刻製程可為提供相同或近似相同水準的蝕刻與蝕刻選擇性的製程,比如溴化氫蝕刻、控制的氯蝕刻、或類似蝕刻。
在一實施例中,造成圖14C的結構之製程可包含下述步驟:步驟1:對矽鍺的鰭狀物116的表面進行預清潔。步驟2:在400℃至470℃的溫度區間與氫氣環境下沉積矽的蓋層126,以避免鍺隔離。步驟3:升溫到約550℃至約600℃,並升壓到約200Torr至約500Torr,再導入蝕刻非晶矽的翼狀物126A所用的氯化氫。本技術領域中具有通常知識者應理解這些製程條件僅用以說明,藉由本技術領域的常規實驗和知識,可額外添加步驟或改變步驟。
在一些實施例中,後續製程可包含形成氧化物層於鰭狀物上。圖14D至14G顯示這些實施例。圖14D顯示在形成氧化物層129於圖14B的鰭狀物上之後,不進行氯化氫蝕刻以移除非晶矽的翼狀物126A。圖14E顯示在形成氧化物層129於圖14C的鰭狀物上之後,進行氯化氫蝕刻以移除非晶矽的翼狀物126A。隨著移除非晶矽的翼狀物126A,形成氧化物層129時亦氧化下方的襯墊層及/或淺溝槽隔離材料的部份。在一些實施例中,氧化物層129可為輸入/輸出氧化物、閘極介電層、或一些其他襯墊層或層狀物。在一些實施例中,沉積氧化物層129之後退火氧化物層129。在輸入/輸出氧化物的例子中,需自一些結構(如14F與14G所示的結構)移除氧化物,比如分別自圖14D與14E的結構移除輸入/輸出氧化物的襯墊層(如氧化物層129)。在一些實施例中,以乾蝕刻製程移除氧化物層129,且乾蝕刻製程可包含氫氟酸與銨。由於圖14E中已先移除非晶矽的翼狀物126A,翼狀物126A不會遮住氧化的下方襯墊層及/或淺溝槽隔離材料118A,因此輸入/輸出氧化物的移除製程時亦可回蝕刻這些材料,造成圖14G中的最終鰭狀物高度增加(相對於圖14F中的鰭狀物)。上述差異至少由圖14G中矽的蓋層126的底部與襯墊層118的頂部之間的距離所示。在圖14G所示的一些實施例中,最終矽鍺的鰭狀物116的高度可介於約40nm至60nm之間,而寬度可介於約5nm至10nm之間。鰭狀物的下側部份包括延伸高於襯墊層118的上表面的矽的帶狀物如n型井區102,其高度(在襯墊層118的上表面與矽鍺的鰭狀物116的下表面之間)可介於約5nm至15nm之間,且寬度可介於約5nm至10nm之間。
圖15A至24B顯示對圖12的裝置進行多種額外步驟之後的結構。圖15B、16B、17B、18B至18D、19B、20B、21B、22B、23B、與24B顯示第一區100A與第二區100B中的結構。舉例來說,圖15B、16B、17B、18B至18D、19B、20B、21B、22B、23B、與24B所示的結構,可用於第一區100A與第二區100B。若第一區100A與第二區100B中的結構有任何差異,將搭配每一圖進行說明。
在圖15A與15B中,虛置介電層128形成於蓋層126與淺溝槽隔離區124上。舉例來說,虛置介電層128可為氧化矽、氮化矽、上述之組合、或類似物,且其沉積方法或熱成長的方法依據可接受的技術。虛置閘極層130形成於虛置介電層128上,而遮罩層132形成於虛置閘極層130上。可沉積虛置閘極層130於虛置介電層128上,接著以化學機械研磨等方法平坦化虛置閘極層130。遮罩層132可沉積於虛置閘極層130上。虛置閘極層130可為導電材料如非晶矽、多晶矽、多晶矽鍺、金屬氮化物、金屬矽化物、金屬氧化物、或金屬。虛置閘極層130的沉積方法可為物理氣相沉積、化學氣相沉積、濺鍍沉積、或本技術領域中用於沉積導電材料的其他已知技術。虛置閘極層130的組成可為其他材料,其相對於隔離區具有高蝕刻選擇性。舉例來說,遮罩層132可包含氮化矽、氮氧化矽、或類似物。在此例中,形成單一的虛置閘極層130與單一的遮罩層132於具有第一半導體鰭狀物116A與第二半導體鰭狀物116B的整個區域中。在一些實施例中,可形成分開的虛置閘極層130與分開的遮罩層132於具有第一半導體鰭狀物116A的區域與具有第二半導體鰭狀物116B的區域中。
在圖16A與16B中,可採用可接受的光微影與蝕刻技術圖案化遮罩層132,以形成遮罩133。可由可接受的蝕刻技術,將遮罩133的圖案轉移至虛置閘極層130,以形成虛置閘極131。在一些實施例中,亦可將遮罩133的圖案轉移至虛置介電層128。虛置閘極131覆蓋第一半導體鰭狀物116A與第二半導體鰭狀物116B的個別通別通道區。遮罩133的圖案可用於物理分隔每一虛置閘極131與相鄰的虛置閘極131。虛置閘極131的縱向,亦可實質上垂直於第一半導體鰭狀物116A與第二半導體鰭狀物116B的縱向。
如圖16B所示,可形成閘極密封間隔物134於虛置閘極131、遮罩133、及/或第一半導體鰭狀物116A與第二半導體鰭狀物116B的露出側壁上。可在熱氧化或沉積之後,進行非等向蝕刻以形成閘極密封間隔物134。雖然圖16B僅顯示單層的閘極密封間隔物134,但應理解閘極密封間隔物134可包含多層。
在形成閘極密封間隔物134之後,可進行輕摻雜源極/汲極區(未圖示)所用的佈植。在不同裝置型態的實施例中,可形成遮罩如光阻於第一區100A上並露出第二區100B,且可佈植合適型態(如n型)的雜質至第二區100B中露出的第二半導體鰭狀物116B。接著可移除遮罩。之後可形成遮罩如光阻於第二區100B上並露出第一區100A,且可佈植合適型態(如p型)的雜質至第一區100A中露出的第一半導體鰭狀物116A。接著可移除遮罩。n型雜質可為磷、砷、或類似物,且p型雜質可為硼、二氟化硼、或類似物。輕摻雜源極/汲極區的雜質濃度可介於約1015 cm-3 至約1016 cm-3 之間。可採用退火以活化佈植的雜質。
在圖17A與17B中,閘極間隔物136形成在沿著虛置閘極131與遮罩133的側壁之閘極密封間隔物134上。閘極間隔物136的形成方法可為順應性地沉積材料,接著非等向蝕刻材料。閘極間隔物136可為氮化矽、碳氮化矽、上述之組合、或類似物。閘極間隔物136可包含單層或多層。
在圖18A至18D中,磊晶的源極/汲極區138形成於第一半導體鰭狀物116A與第二半導體鰭狀物116B中,使每一虛置閘極131位於個別的相鄰一對磊晶的源極/汲極區138之間。在一些實施例中,磊晶的源極/汲極區138可延伸至第一半導體鰭狀物116A與第二半導體鰭狀物116B中。在一些實施例中,閘極間隔物136用於使磊晶的源極/汲極區138與虛置閘極131隔有合適的橫向距離,因此最終的鰭狀場效電晶體中的磊晶的源極/汲極區138不會短接至後續形成的閘極。
可遮罩第二區100B (如n型金氧半區)並蝕刻第一區100A中的第一半導體鰭狀物116A的源極/汲極區,以形成凹陷於第一半導體鰭狀物116A中。接著磊晶成長磊晶的源極/汲極區138於第一半導體鰭狀物116A中的凹陷,以形成第一區100A(如p型金氧半區)中的磊晶的源極/汲極區138。在一些實施例中,磊晶的源極/汲極區138可延伸穿過第二磊晶層114與第一磊晶層106至第一區100A中的n型井區102中。磊晶的源極/汲極區138可包含任何可接受的材料,比如適用於p型鰭狀場效電晶體的材料。舉例來說,第一區100A中磊晶的源極/汲極區138可包含矽鍺、硼化矽鍺、鍺、鍺錫、或類似物。第一區100A中的磊晶的源極/汲極區138的材料,其晶格常數大於第二磊晶層114的晶格常數,可產生壓縮應力於通道區中以增加p型金氧半裝置的電洞遷移率。第一區100A中磊晶的源極/汲極區138亦可具有自第一半導體鰭狀物116A的個別表面隆起的表面,並可具有晶面。
可遮罩第一區100A (如p型金氧半區)並蝕刻第二區100B中的第二半導體鰭狀物116B的源極/汲極區,以形成凹陷於第二半導體鰭狀物116B中。接著可磊晶成長第二區100B中的磊晶的源極/汲極區138於凹陷中,以形成第二區100B (如n型金氧半區)中的磊晶的源極/汲極區138。磊晶的源極/汲極區138可包含任何可接受的材料,比如適用於n型鰭狀場效電晶體的材料。舉例來說,第二區100B中磊晶的源極/汲極區138可包含矽、碳化矽、碳磷化矽、磷化矽、或類似物。第二區100B中的磊晶的源極/汲極區138的材料,其晶格常數小於第一磊晶層106的晶格常數,可產生拉伸應力於通道區中以增加n型金氧半裝置的電子遷移率。第二區100B中磊晶的源極/汲極區138亦可具有自第二半導體鰭狀物116B的個別表面隆起的表面,並可具有晶面。
與前述用以形成輕摻雜源極/汲極區的製程類似,可佈植摻質至磊晶的源極/汲極區138及/或第一半導體鰭狀物116A與第二半導體鰭狀物116B以形成源極/汲極區,接著進行退火。源極/汲極區的雜質濃度可介於約1019cm-3至約1021cm-3之間。源極/汲極區所用的n型及/或p型雜質可為任何前述雜質。在一些實施例中,可在成長時原位摻雜磊晶的源極/汲極區138。
用於形成磊晶的源極/汲極138於第一區100A與第二區100B中的磊晶製程,造成磊晶的源極/汲極區138具有晶面並橫向擴展超出第一半導體鰭狀物116A與第二半導體鰭狀物116B的側壁。在一些實施例中,這些晶面會使相鄰的源極/汲極區138合併,如圖18C所示。其他實施例在完成磊晶製程之後,相鄰的源極/汲極區138維持分開,如圖18D所示。形成於第一半導體鰭狀物116A或第二半導體鰭狀物116B中的磊晶的源極/汲極區138可合併(見圖18C)或分開(見圖18D)。
在圖19A與19B中,沉積第一層間介電層140於圖18A與18B所示的結構上。層間介電層140的組成可為介電材料或半導體材料,且其沉積方法可為任何合適方法如化學氣相沉積、電漿輔助化學氣相沉積、或可流動的化學氣相沉積。介電材料可包含磷矽酸鹽玻璃、硼矽酸鹽玻璃、硼磷矽酸鹽玻璃、未摻雜的矽酸鹽玻璃、或類似物。半導體材料可包含非晶矽、矽鍺(SixGe1-x,且x可介於近似0與1之間)、純鍺、或類似物。亦可採用任何可接受的製程所形成的其他絕緣或半導體材料。在一些實施例中,接點蝕刻停止層(未圖示)可位於第一層間介電層140以及磊晶的源極/汲極區138、遮罩133、與閘極間隔物136之間。
在圖20A與20B中,可進行平坦化製程如化學機械研磨,使第一層間介電層140的上表面與虛置閘極131的上表面齊平。平坦化製程亦可移除虛置閘極131上的遮罩133,以及沿著遮罩133的側壁的閘極密封間隔物134與閘極間隔物136的部份。在平坦化製程之後,虛置閘極131、閘極密封間隔物134、閘極間隔物136、與第一層間介電層140的上表面齊平。綜上所述,虛置閘極131的上表面經第一層間介電層140露出。
在圖21A與21B中,在蝕刻製程中移除虛置閘極131與直接位於虛置閘極131下的虛置介電層128的部份,以形成凹陷142。在一些實施例中,虛置閘極131的移除方法為非等向乾蝕刻製程。舉例來說,蝕刻製程可包含採用反應氣體的乾蝕刻製程,且反應氣體可選擇性地蝕刻虛置閘極131而不蝕刻第一層間介電層140或閘極間隔物136。每一凹陷142露出個別的第一半導體鰭狀物116A與第二半導體鰭狀物116B的通道區。每一通道區位於相鄰的一對磊晶的源極/汲極區138之間。在蝕刻移除虛置閘極131時,虛置介電層128可作為蝕刻停止層。在移除虛置閘極131之後,接著可移除虛置介電層128。
在圖22A與22B中,形成置換閘極所用的閘極介電層144與閘極146。閘極介電層144可順應性地沉積於凹陷142中,比如沉積於第一半導體鰭狀物116A與第二半導體鰭狀物116B的上表面與側壁上,以及閘極密封間隔物134及/或閘極間隔物136的側壁上。閘極介電層144亦可形成於第一層間介電層140的上表面上。在一些實施例中,閘極介電層144包括氧化矽、氮化矽、或上述之多層。在一些實施例中,閘極介電層144為高介電常數的介電材料。在這些實施例中,閘極介電層144的介電常數大於約7.0,且可包含鉿、鋁、鋯、鑭、鎂、鋇、鈦、鉛、或上述之組合的金屬氧化物或矽酸鹽。閘極介電層144的形成方法可包括分子束沉積、原子層沉積、電漿輔助化學氣相沉積、或類似方法。
閘極146沉積於閘極介電層144上,並填入凹陷142的其餘部份。閘極146可為含金屬材料,比如氮化鈦、氮化鉭、碳化鉭、鈷、釕、鋁、上述之組合、或上述之多層。閘極146可包含一或多層的導電材料,比如功函數層147與填充材料148。在填入閘極146之後,可進行平坦化製程如化學機械研磨,以移除第一層間介電層140之上表面上的閘極介電層144與閘極146的多餘部份。因此閘極146與閘極介電層144的保留部份形成最終鰭狀場效電晶體的置換閘極。閘極146與閘極介電層144可一起稱作「閘極」或「閘極堆疊」。閘極與閘極堆疊可沿著第一半導體鰭狀物116A與第二半導體鰭狀物116B的通道區側壁延伸。
可同時形成第一區100A與第二區100B中的閘極介電層144,使每一區中的閘極介電層144的材料組成相同。亦可同時形成閘極146,使每一區中的閘極146的材料組成相同。在一些實施例中,可由分開製程形成每一區中的閘極介電層144,因此閘極介電層144可為不同材料;及/或可由分開製程形成每一區中的閘極146,因此閘極146可為不同材料。在採用分開製程時,可採用多種遮罩步驟以遮罩或露出合適的區域。
在圖23A與23B中,可沉積第二層間介電層150於第一層間介電層140上。在一實施例中,第二層間介電層150為可流動的化學氣相沉積法所形成的可流動膜。在一些實施例中,第二層間介電層150的組成為介電材料如磷矽酸鹽玻璃、硼矽酸鹽玻璃、硼磷矽酸鹽玻璃、未摻雜的矽酸鹽玻璃、或類似物,且其沉積方法可為任何合適方法如化學氣相沉積或電漿輔助化學氣相沉積。
在圖24A與24B中,形成穿過第二層間介電層150與第一層間介電層140的閘極接點152與源極/汲極接點154。形成源極/汲極接點154所用的開口(未圖示),其穿過第二層間介電層150與第一層間介電層140。形成閘極接點152 所用的開口(未圖示),其穿過第二層間介電層150。可採用可接受的光微影與蝕刻技術形成開口。在形成閘極接點152與源極/汲極接點154之前,可視情況形成矽化物接點(未圖示)。矽化物接點可包含鈦、鎳、鈷、或鉺,且可用於降低閘極接點152與源極/汲極接點154的肖特基能障高度。然而亦可採用其他金屬如鉑、鈀、或類似物。可順應性地沉積合適的金屬層,再進行退火使金屬與下方的露出矽反應,以進行矽化步驟。接著可移除未反應的金屬,且移除方法可為選擇性的蝕刻製程。
閘極接點152與源極/汲極接點154的組成可為導電材料如鋁、銅、鎢、鈷、鈦、鉭、釕、氮化鈦、鈦鋁、氮化鈦鋁、氮化鉭、碳化鉭、鎳矽化物、鈷矽化物、上述之組合、或類似物,但亦可採用任何合適材料。可採用沉積製程如濺鍍、化學氣相沉積、電鍍、無電鍍、或類似製程,將閘極接點152與源極/汲極接點154的材料沉積至第二層間介電層150與第一層間介電層140中的開口中,以填入及/或超填開口。一旦填入或超填開口,可採用平坦化製程如化學機械研磨移除開口之外的沉積材料。
閘極接點152物理及電性連接至填充材料148,而源極/汲極接點154物理及電性連接至磊晶的源極/汲極區138。圖24A與24B所示的閘極接點152與源極/汲極接點154位於相同剖面中,然而其他實施例的閘極接點152與源極/汲極接點154可位於不同剖面中。此外,圖24A與24B中的閘極接點152與源極/汲極接點154的位置僅用以說明而非侷限本發明實施例。舉例來說,閘極接點152可垂直對準第一半導體鰭狀物116A之一如圖所示,或者位於填充材料148上的不同位置。此外,可在形成閘極接點152之前、同時、或之後形成源極/汲極接點154。
如上所述,第一半導體鰭狀物116A中含有矽鍺的第二磊晶層114,可提供p型完全應變通道與較低的通道電阻、高效遷移率、改善開啟電流/關閉電流效能、並改善汲極誘發能障下降。此外,依據上述製程形成蓋層126,可避免鍺自第一半導體鰭狀物116A向外擴散,進而減少疏密負載效應、減少形成小翼狀物於第一半導體鰭狀物116A中、減少第一半導體鰭狀物116A中的擺動效應、並降低第一半導體鰭狀物116A的線路邊緣粗糙度。如此一來,依據上述方法形成的半導體裝置具有改良效能。
在一實施例中,方法包括磊晶成長第一半導體層於n型井上,且第一半導體層包括矽;蝕刻半導體層以形成第一凹陷;磊晶成長第二半導體層以填入凹陷,且第二半導體層包括矽鍺;蝕刻第二半導體層、第一半導體層、與n型井以形成第一鰭狀物;形成淺溝槽隔離區以與第一鰭狀物相鄰;以及形成蓋層於第一鰭狀物上,蓋層接觸n型井、第一半導體層、與第二半導體層,且蓋層包括矽。在一實施例中,形成蓋層的步驟包括進行預清潔製程以自n型井、第一半導體層、與第二半導體層的露出表面移除原生氧化物,在一實施例中,採用氫氟酸或氨原位進行預清潔製程。在一實施例中,形成蓋層的步驟包括原位進行昇華製程,以分解第一前驅物。在一實施例中,昇華製程的溫度介於50℃至300℃之間。在一實施例中,第一前驅物包括矽烷、乙矽烷、或二氯矽烷。在一實施例中,形成蓋層的步驟更包括沉積製程,將來自第一前驅物的矽沉積於n型井、第一半導體層、與第二半導體層上以形成蓋層,且沉積溫度介於350℃至500℃之間。在一實施例中,對蓋層進行氯化氫蝕刻(有時稱作氯化氫烘烤),以選擇性地移除襯墊層與淺溝槽隔離區的露出區域上的不想要的非晶矽翼狀物,且實質上不蝕刻或移除所需的結晶矽蓋。爐溫升高到介於約550℃至約650℃之間,而壓力升高到介於約10Torr至約500Torr之間。在烘烤時,施加氯化氫至蓋層與非晶矽翼狀約50秒至約100秒,以進行氯化氫的濕蝕刻製程。由於氯化氫對非晶矽的蝕刻速率高於對結晶矽的蝕刻速率,可蝕刻移除非晶矽翼狀物而最小化地影響結晶矽所組成的蓋層。
在另一實施例中,成長矽鍺鰭狀物於矽帶狀物上。成長結晶矽蓋層以覆蓋矽鍺鰭狀物。在成長結晶矽蓋層時,非晶矽可成長於相鄰的淺溝槽隔離區與襯墊層上,造成自矽蓋層延伸的非晶矽翼狀物。進行氯化氫蝕刻,有時稱作氯化氫烘烤。氯化氫蝕刻移除不想要的非晶矽翼狀物,而實質上不蝕刻或移除所需的結晶矽蓋。在額外實施例中,回蝕刻製程可為提供相同或近似相同等級的蝕刻性與蝕刻選擇性,比如溴化氫蝕刻、受控的氯蝕刻、或類似方法。在一實施例中,製程可包含下述步驟:步驟1:對矽鍺的鰭狀物表面進行預清潔。步驟2:在400℃至470℃的溫度區間與氫氣環境下沉積矽蓋層,以避免鍺隔離。步驟3:升溫到約550℃至約600℃,並升壓到約200Torr至約500Torr,再導入蝕刻非晶矽翼狀物所用的氯化氫。在一些實施例中,額外製程可包含形成氧化物層於鰭狀物上。在一些實施例中,氧化物層可為輸入/輸出氧化物、閘極介電層、或一些其他襯墊層或層狀物。在輸入/輸出氧化物的例子中,需要自一些結構移除氧化物。由於之前移除非晶矽翼狀物,非晶矽翼狀物不會遮住下方的襯墊層及/或淺溝槽隔離材料,因此在移除輸入/輸出氧化物的製程時亦回蝕刻這些材料,造成最終鰭狀物的高度增加。
在又一實施例中,裝置包括矽基板,其具有自基板延伸的半導體鰭狀物。溝槽可與半導體鰭狀物相鄰。位於溝槽上的襯墊層可包含氮化矽或氧化矽,其寬度介於約1nm至5nm之間。淺溝槽隔離區位於襯墊層的頂部上。半導體鰭狀物可包括含矽鍺的上側部份,與含矽的下側部份。在一實施例中,半導體鰭狀物的上側部份的高度介於約40nm至約60nm之間,而寬度介於約5nm至10nm之間。半導體鰭狀物的下側部份的高度介於約5nm至15nm之間,而寬度介於約5nn至10nm之間。半導體鰭狀物的下側部份的下表面可與襯墊層的上表面齊平。含結晶矽的蓋層可覆蓋半導體鰭狀物的上側部份的上表面與側壁。蓋層的寬度可介於約1Å至10Å之間。
在一實施例中,半導體裝置的形成方法包括:提供基板,基板包括矽,並具有半導體鰭狀物自主要表面凸起;形成襯墊層與淺溝槽隔離區,以與半導體鰭狀物相鄰;沉積蓋層於半導體鰭狀物上,其中蓋層包括半導體鰭狀物上的結晶矽層,以及襯墊層與淺溝槽隔離區上的多個非晶矽部份;以及進行氯化氫蝕刻烘烤製程,以移除襯墊層與淺溝槽隔離區上的非晶矽部份。在一實施例中半導體鰭狀物包括矽鍺。在一實施例中,襯墊層包括氮化矽或氧化矽。在一實施例中,沉積蓋層於半導體鰭狀物上的溫度介於約400℃至約470℃之間,且壓力介於約50Torr至約200Torr之間。在一實施例中,沉積蓋層於半導體鰭狀物上的時間介於約100秒至200秒之間,且在含氫環境中進行沉積。在一實施例中,進行氯化氫蝕刻烘烤製程的步驟包括升高製程溫度到約550℃至約600℃之間。在一實施例中,進行氯化氫蝕刻烘烤製程的步驟包括增加製程壓力到約200Torr至約500Torr之間。在一實施例中,進行氯化氫蝕刻烘烤製程的步驟包括施加氯化氫至蓋層,以蝕刻非晶矽部份約50秒至100秒。
在一實施例中,半導體裝置的形成方法包括:形成襯墊層與淺溝槽隔離區,以與半導體鰭狀物相鄰;沉積蓋層於半導體鰭狀物上,其中蓋層包括多晶矽層於半導體鰭狀物上,以及多個非晶矽部份於襯墊層與淺溝槽隔離區上;進行氯化氫蝕刻烘烤製程,以移除襯墊層與淺溝槽隔離區上的非晶矽部份;形成輸入/輸出裝置所用的氧化物層於半導體鰭狀物、襯墊層、與淺溝槽隔離區上;以及以乾蝕刻製程移除輸入/輸出裝置所用的氧化物層。在一實施例中,形成輸入/輸裝置所用的氧化物層於襯墊層上的步驟,包括氧化襯墊層的頂部。在一實施例中,方法更包括退火輸入/輸出裝置所用的氧化物層。在一實施例中,蝕刻製程包括氫氟酸與銨。在一實施例中,移除輸入/輸出裝置所用的氧化物層之步驟,亦移除第一襯墊層與第二襯墊層的氧化頂部。
在又一實施例中,半導體裝置包括含矽基板,具有半導體鰭狀物,自基板延伸,且包括:上側部份,包含矽鍺;下側部份,包括矽;以及蓋層,位於半導體鰭狀物的上側部份之頂部與側壁上,且蓋層未覆蓋半導體鰭狀物的下側部份之側壁;襯墊層,位於與半導體鰭狀物相鄰的溝槽上,襯墊層的第一上表面與半導體鰭狀物的下側部份的下表面齊平;以及淺溝槽隔離區,位於襯墊層的頂部上的溝槽中。在一實施例中,半導體鰭狀物的上側部份之高度介於約40nm至60nm之間,而寬度介於約5nm至10nm之間。在一實施例中,半導體鰭狀物的下側部份的高度介於約5nm至15nm之間,而寬度介於約5nm至10nm之間。在一實施例中,蓋層包括結晶矽。在一實施例中,蓋層的厚度介於約1Å至10Å之間。在一實施例中,襯墊層包括氮化矽或氧化矽。在一實施例中,襯墊層的厚度介於約1nm至5nm之間。
上述實施例之特徵有利於本技術領域中具有通常知識者理解本發明。本技術領域中具有通常知識者應理解可採用本發明作基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本發明精神與範疇,並可在未脫離本發明之精神與範疇的前提下進行改變、替換、或更動。
A-A、B-B、C-C:參考剖面 D1:距離 H1:高度 50、100:基板 56:隔離區 58、116:鰭狀物 82、138:源極/汲極區 92、144:閘極介電層 94、146:閘極 100A:第一區 100B:第二區 102:n型井區 104:p型井區 106:第一磊晶層 108、132:遮罩層 110:圖案化的光阻 112:第一開口 114:第二磊晶層 116A:第一半導體鰭狀物 116B:第二半導體鰭狀物 118:襯墊層 118A:淺溝槽隔離材料 120:介電材料 122:絕緣材料 124:淺溝槽隔離區 122:絕緣材料 126:蓋層 126A:翼狀物 128:虛置介電層 129:氧化物層 130:虛置閘極層 131:虛置閘極 133:遮罩 134:閘極密封間隔物 136:閘極間隔物 140:第一層間介電層 142:凹陷 147:功函數層 148:填充材料 150:第二層間介電層 152:閘極接點 154:源極/汲極接點
圖1係一些實施例中,鰭狀場效電晶體的三維圖。 圖2係一些實施例中,基板上的n型井與p型井的剖視圖。 圖3係一些實施例中,形成第一磊晶層、遮罩層、與圖案化光阻的剖視圖。 圖4係一些實施例中,形成第一開口的剖視圖。 圖5係一些實施例中,形成第二磊晶層的剖視圖。 圖6係一些實施例中,平坦化第一磊晶層與第二磊晶層的剖視圖。 圖7係一些實施例中,形成第一半導體鰭狀物與第二半導體鰭狀物的剖視圖。 圖8係一些實施例中,形成絕緣材料的剖視圖。 圖9係一些實施例中,平坦化絕緣材料、第一半導體鰭狀物、與第二半導體鰭狀物的剖視圖。 圖10係一些實施例中,形成淺溝槽隔離區的剖視圖。 圖11係一些實施例中,形成蓋層於半導體鰭狀物上的剖視圖。 圖12係一些實施例中,回蝕刻相鄰的淺溝槽隔離區上的蓋層之翼狀物的剖視圖。 圖13係一些實施例中,氯化氫對結晶矽與非晶矽的不同蝕刻速率的比較圖。 圖14A至14G係一些實施例中,形成蓋層、回蝕刻相鄰的淺溝槽隔離區與相鄰的襯墊層上的蓋層的翼狀物、形成輸入/輸出氧化物於半導體鰭狀物上、以及移除輸入/輸出氧化物的剖視圖。 圖15A與15B係一些實施例中,形成虛置介電層、虛置閘極層、與遮罩層的剖視圖。 圖16A與16B係一些實施例中,形成虛置閘極、遮罩、與閘極密封間隔物的剖視圖。 圖17A與17B係一些實施例中,形成閘極間隔物的剖視圖。 圖18A至18D係一些實施例中,形成磊晶的源極/汲極區的剖視圖。 圖19A與19B係一些實施例中,形成第一層間介電層的剖視圖。 圖20A與20B係一些實施例中,平坦化第一層間介電層、遮罩、閘極密封間隔物、與閘極間隔物的剖視圖。 圖21A與21B係一些實施例中,移除虛置閘極的剖視圖。 圖22A與22B係一些實施例中,形成閘極介電層、功函數層、與閘極的剖視圖。 圖23A與23B係一些實施例中,形成第二層間介電層的剖視圖。 圖24A與24B係一些實施例中,形成閘極接點與源極/汲極接點的剖視圖。
102:n型井區
116:鰭狀物
118:襯墊層
120:介電材料
126:蓋層

Claims (1)

  1. 一種半導體裝置的形成方法,包括: 提供一基板,該基板包括矽,並具有一半導體鰭狀物自一主要表面凸起; 形成一襯墊層與一淺溝槽隔離區,以與該半導體鰭狀物相鄰; 沉積一蓋層於該半導體鰭狀物上,其中該蓋層包括該半導體鰭狀物上的一結晶矽層,以及該襯墊層與該淺溝槽隔離區上的多個非晶矽部份;以及 進行一氯化氫蝕刻烘烤製程,以移除該襯墊層與該淺溝槽隔離區上的該些非晶矽部份。
TW108130355A 2018-08-31 2019-08-26 半導體裝置的形成方法 TW202011518A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862726000P 2018-08-31 2018-08-31
US62/726,000 2018-08-31
US16/443,284 2019-06-17
US16/443,284 US10867862B2 (en) 2018-08-31 2019-06-17 Semiconductor method and device

Publications (1)

Publication Number Publication Date
TW202011518A true TW202011518A (zh) 2020-03-16

Family

ID=69639464

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108130355A TW202011518A (zh) 2018-08-31 2019-08-26 半導體裝置的形成方法

Country Status (3)

Country Link
US (3) US10867862B2 (zh)
CN (1) CN110875188A (zh)
TW (1) TW202011518A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI786559B (zh) * 2021-03-02 2022-12-11 南亞科技股份有限公司 半導體結構及其形成方法

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111415907B (zh) * 2020-03-31 2023-04-07 上海华力集成电路制造有限公司 非晶硅结构的制造方法
US11189697B2 (en) * 2020-04-01 2021-11-30 Taiwan Semiconductor Manufacturing Co., Ltd. Ultra-thin fin structure and method of fabricating the same
DE102020133643A1 (de) * 2020-05-13 2021-11-18 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zur herstellung einer halbleitervorrichtung, und halbleitervorrichtung
US11677015B2 (en) * 2020-05-13 2023-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11581259B2 (en) * 2020-06-25 2023-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid conductive structures
US11245023B1 (en) * 2020-07-31 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11610979B2 (en) * 2020-08-13 2023-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Profile control in forming epitaxy regions for transistors

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9171929B2 (en) 2012-04-25 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Strained structure of semiconductor device and method of making the strained structure
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9159824B2 (en) 2013-02-27 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with strained well regions
US9214555B2 (en) 2013-03-12 2015-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier layer for FinFET channels
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9608116B2 (en) 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
US9564489B2 (en) * 2015-06-29 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple gate field-effect transistors having oxygen-scavenged gate stack
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US10515952B2 (en) * 2017-08-04 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure and method for forming the same
US10535517B2 (en) * 2018-03-23 2020-01-14 International Business Machines Corporation Gate stack designs for analog and logic devices in dual channel Si/SiGe CMOS

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI786559B (zh) * 2021-03-02 2022-12-11 南亞科技股份有限公司 半導體結構及其形成方法

Also Published As

Publication number Publication date
CN110875188A (zh) 2020-03-10
US20200075729A1 (en) 2020-03-05
US20220328358A1 (en) 2022-10-13
US20210098308A1 (en) 2021-04-01
US11367660B2 (en) 2022-06-21
US11854901B2 (en) 2023-12-26
US10867862B2 (en) 2020-12-15

Similar Documents

Publication Publication Date Title
TWI749102B (zh) 鰭狀場效電晶體與其形成方法
TWI828806B (zh) 半導體裝置與其形成方法
TWI701743B (zh) 半導體裝置與其形成方法
TW202011518A (zh) 半導體裝置的形成方法
TWI710060B (zh) 半導體裝置與其形成方法
TW202011458A (zh) 半導體裝置的形成方法
TW202008433A (zh) 半導體裝置的形成方法
TW201926558A (zh) 半導體裝置的形成方法
US10680109B2 (en) CMOS semiconductor device having fins and method of fabricating the same
TWI725557B (zh) 半導體裝置的製造方法
TW202018865A (zh) 半導體裝置的形成方法
TW202008597A (zh) 半導體裝置及其製造方法
TW202013462A (zh) 半導體裝置與其形成方法
TW202011487A (zh) 半導體裝置的形成方法
TWI817153B (zh) 半導體裝置的形成方法
TWI743502B (zh) 半導體裝置與其形成方法
US20210313450A1 (en) FinFET Device and Method
TWI816801B (zh) 半導體裝置與其形成方法
TWI815432B (zh) 半導體裝置結構與其形成方法
US12002719B2 (en) Gapfill structure and manufacturing methods thereof
US12002715B2 (en) Semiconductor device and method
US11557518B2 (en) Gapfill structure and manufacturing methods thereof
US20230163075A1 (en) Semiconductor Device and Method
US20220384270A1 (en) Semiconductor Device and Method
TWI838669B (zh) 半導體裝置及其形成方法