CN112750775A - 半导体装置的形成方法 - Google Patents

半导体装置的形成方法 Download PDF

Info

Publication number
CN112750775A
CN112750775A CN202011184088.9A CN202011184088A CN112750775A CN 112750775 A CN112750775 A CN 112750775A CN 202011184088 A CN202011184088 A CN 202011184088A CN 112750775 A CN112750775 A CN 112750775A
Authority
CN
China
Prior art keywords
layer
gate
spacer
forming
fin
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202011184088.9A
Other languages
English (en)
Inventor
林志昌
陈仕承
张罗衡
张荣宏
江国诚
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN112750775A publication Critical patent/CN112750775A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

此处说明采用介电结构的半导体装置与半导体装置的形成方法。半导体装置关于全绕式栅极装置,其形成于基板上并彼此隔有介电结构。介电结构形成于两个全绕式栅极装置之间的鳍状物上,并将形成于鳍状物上的栅极切割成两个分开的栅极。两个全绕式栅极装置亦具有底间隔物于全绕式栅极装置的源极/漏极区之下。底间隔物隔离源极/漏极区与基板。介电结构具有浅底部,其高于底间隔物的底部。

Description

半导体装置的形成方法
技术领域
本公开实施例关于半导体装置,更特别关于连续多晶硅跨扩散边缘结构。
背景技术
半导体装置已用于多种电子应用,比如个人电脑、手机、数码相机、与其他电子设备。半导体装置的制作方法通常为按序沉积绝缘或介电层、导电层、与半导体层的材料于半导体基板上,并采用微影图案化多种材料层以形成电路构件与单元于半导体基板上。
半导体产业持续缩小最小结构尺寸以改善多种电子构件(如晶体管、二极管、电阻、电容、或类似物)的集成密度,使更多构件可整合至给定面积中。然而随着最小结构尺寸缩小,产生需解决的额外问题。
发明内容
在一实施例中,半导体装置的形成方法包括:形成鳍状物于多层堆叠中,且鳍状物包括基板与基板上的至少一第一层;形成栅极于鳍状物上;蚀刻开口于与栅极相邻的鳍状物中;沿着开口的侧壁形成凹陷,且凹陷形成于至少一第一层中;沉积间隔物材料于凹陷中;形成底间隔物于开口中的底部,且底间隔物与间隔物材料具有第一界面;形成源极/漏极区于底间隔物上;以及形成介电结构穿过栅极至鳍状物的基板中,且介电结构的底部高于底间隔物的底部。
在另一实施例中,半导体装置的形成方法包括:蚀刻第一开口穿过栅极,且第一开口中露出栅极介电层;移除第一开口中的栅极介电层,其中移除栅极介电层之后露出基板的一部分、纳米线的堆叠、与隔离区;进行蚀刻工艺以移除第一开口中的纳米线的堆叠,并使基板的部分凹陷至隔离区的上表面与隔离区的下表面之间的第一水平;以及沉积介电材料于第一开口中,且介电材料隔离栅极的第一部分与栅极的第二部分。
在一实施例中,半导体装置包括:纳米结构的堆叠,位于第一半导体鳍状物上,且第一半导体鳍状物位于基板上;隔离区,延伸于基板上的第一半导体鳍状物与第二半导体鳍状物之间,隔离区具有远离基板的第一表面,且第一表面与基板隔有第一距离;栅极,围绕纳米结构的堆叠中的每一纳米结构;以及介电材料,与栅极相邻,介电材料具有与第二半导体鳍状物相邻的第一部分,第一部分与基板隔有第二距离,且第二距离小于第一距离。
附图说明
图1是一些实施例中,全绕式栅极半导体装置的上视图。
图2A与2B是一些实施例中,形成多层结构并形成多层结构中的鳍状物的剖视图。
图3A与3B是一些实施例中,形成虚置栅极堆叠于鳍状物上的剖视图。
图4A至4C是一些实施例中,形成多层结构中的开口与内侧间隔物的剖视图。
图5A至5C是一些实施例中,形成底间隔物与源极/漏极区的剖视图。
图6A与6B是一些实施例中,形成层间介电层与平坦化工艺的剖视图。
图7A至7C是一些实施例中,移除虚置栅极堆叠、进行线状物释放工艺、与形成栅极介电层的剖视图。
图8A与8B是一些实施例中,形成栅极与栅极盖的剖视图。
图9A与9B是一些实施例中,在切割金属栅极工艺中形成开口的剖视图。
图10A与10B是一些实施例中,形成切割金属栅极介电插塞的剖视图。
图11A与11B是一些实施例中,在形成连续多晶硅跨扩散边缘结构的中间步骤中形成开口的剖视图。
图12A与12B是一些实施例中,在形成连续多晶硅跨扩散边缘结构的其他中间步骤中延伸开口的剖视图。
图13A与13B是一些实施例中,形成连续多晶硅跨扩散边缘结构于全绕式栅极半导体装置中的剖视图。
图14A与14B是一些实施例中,形成源极/漏极接点插塞的剖视图。
附图标记说明:
CL1:通道长度
CW1:通道宽度
D1:第一深度
D2:第二深度
H1:第一高度
H2:第二高度
H3:第三高度
H4:第四高度
H5:第五高度
H6:第六高度
H7:第七高度
H8:第八高度
H9:第九高度
L1:第一长度
L5:第五长度
S1:第一空间
Th1:第一厚度
Th2:第二厚度
Th3:第三厚度
W1:第一宽度
W2:第二宽度
W3:第三宽度
W4:第四宽度
W5:第五宽度
100:全绕式栅极半导体装置
101,201:基板
103:多层结构
105:鳍状物
107:栅极
109:切割金属栅极结构
111:连续多晶硅跨扩散边缘结构
203:多层堆叠
205:第一层
207:第二层
209:隔离区
211:虚置栅极介电层
301:虚置栅极堆叠
303:虚置栅极
305:第一硬遮罩
307:第二硬遮罩
309:顶间隔物
401:第一开口
403:内侧间隔物
405:部分
501:底间隔物
503:源极/漏极区
507:间隔物界面
601:接点蚀刻停止层
603:层间介电层
701:纳米结构
703:栅极介电层
801:栅极盖
901:第二开口
903:遮罩层
1003:第三开口
1104:介电盖层
1401:源极/漏极接点
1403:硅化物接点
1405:第二层间介电层
1407:源极/漏极通孔
1409:栅极通孔
具体实施方式
下述内容提供的不同实施例或实例可实施本公开的不同结构。特定构件与配置的实施例用以简化本公开内容而非局限本公开。举例来说,形成第一构件于第二构件上的叙述包含两者直接接触的实施例,或两者之间隔有其他额外构件而非直接接触的实施例。此外,本公开的多个实例可重复采用相同标号以求简洁,但多种实施例及/或设置中具有相同标号的元件并不必然具有相同的对应关系。
此外,空间性的相对用语如“下方”、“其下”、“较下方”、“上方”、“较上方”、或类似用语可用于简化说明某一元件与另一元件在图示中的相对关系。空间性的相对用语可延伸至以其他方向使用的元件,而非局限于图示方向。元件亦可转动90°或其他角度,因此方向性用语仅用以说明图示中的方向。
图1是一些实施例中,形成全绕式栅极半导体装置100的中间结构的上视图。具体而言,图1显示的多层结构103包含多个纳米片形成于半导体基板101上(图示于后续附图)、鳍状物105形成于多层结构103中、以及多个栅极107位于鳍状物105上。图1更显示分开两个栅极107的多个切割金属栅极结构109,以及将鳍状物105分成两个部分并与切割金属栅极结构109交界的连续多晶硅跨扩散边缘结构111。
虽然图1与后续附图显示两个鳍状物105,但应理解可形成任何合适数目的鳍状物105于多层结构103中以形成所需的全绕式栅极半导体装置100,端视全绕式栅极半导体装置100的数目与所需设计而定。此外,可形成任何合适数目的栅极107、连续多晶硅跨扩散边缘结构111、与切割金属栅极结构109,以形成所需的全绕式栅极半导体装置100。
图1亦显示中间结构的第一切线(X切线)与第二切线(Y切线)。第一切线(X切线)穿过将鳍状物105分为两者的长度方向,并穿过连续多晶硅跨扩散边缘结构111。第二切线(Y切线)穿过被两个切割金属栅极结构109分开的一个栅极107的长度方向,穿过两个切割金属栅极结构109,并穿过与两个切割金属栅极结构109交界的连续多晶硅跨扩散边缘结构111。
图2A与2B是一些实施例形成全绕式栅极半导体装置100的中间步骤中,形成多层结构103与形成鳍状物105于多层结构103中的剖视图。具体而言,可布植掺质至图1所示的基板201中以形成井区。在一实施例中,基板201为半导体基板如硅基板、硅锗基板、锗基板、III-V族材料基板(比如砷化镓、磷化镓、磷砷化镓、砷化铝铟、砷化铝镓、砷化镓铟、砷化铟、磷化镓铟、磷化铟、锑化铟、磷砷化镓铟、或上述的组合)、或其他半导体材料(比如高能带至能带穿隧材料)所形成的基板。基板201可或可不掺杂。在一些实施例中,基板201可为基体半导体基板如基体硅基板(如晶圆)、绝缘层上半导体基板、多层或渐变基板、或类似物。
如图2A与2B所示的一些实施例,制造全绕式栅极半导体装置100的中间阶段沉积形成多层结构103。具体而言,图2A亦显示一系列的沉积步骤所形成的第一层205与第二层207,其交错而成的多层堆叠203位于基板201上。
在一些实施例中,第一层205可采用第一晶格常数的第一半导体材料,比如硅锗、锗、硅、砷化镓、锑化铟、砷化铟铝、砷化铟镓、磷化镓锑、砷化镓锑、上述的组合、或类似物。在一些实施例中,第一半导体材料(如硅锗)的第一层205外延成长于基板201上的方法,可采用沉积技术如外延成长、气相外延、或分子束外延,但亦可采用其他沉积工艺如化学气相沉积、低压化学气相沉积、原子层化学气相沉积、超高真空化学气相沉积、远端等离子体化学气相沉积、上述的组合、或类似工艺。在一些实施例中,第一层205的第一厚度Th1介于约3nm至约10nm之间。然而任何合适的厚度属于实施例的范围。
一旦形成第一层205于基板201上,即可形成第二层207于第一层205上。在一些实施例中,第二层207可采用第二晶格常数的第二半导体材料如硅、硅锗、锗、砷化镓、锑化铟、锑化镓、砷化铟铝、砷化铟镓、磷化镓锑、砷化镓锑、上述的组合、或类似物,且第二晶格常数不同于第一层205的第一晶格常数。在具体实施例中,第一层205为硅锗,而第二层207为硅。然而可采用任何合适的材料组成以用于第一层205与第二层207。
在一些实施例中,第二层207外延成长于第一层205上的沉积技术,可与形成第一层205的沉积技术类似。然而第二层207可采用适于形成第一层205的任何上述沉积技术或其他合适技术。在一些实施例中,第二层207的厚度可与第一层205的厚度类似。然而第二层207的厚度亦可与第一层205的厚度不同。在一些实施例中,第二层207的第二厚度Th2介于约5nm至约15nm之间。然而可采用任何合适厚度。
一旦形成第二层207于第一层205上,即可重复沉积工艺以形成第一层205与第二层207的交错系列的其余材料层,直到形成多层堆叠203所需的最顶层。在此实施例中,第一层205可具有相同或类似的第一厚度,而第二层207可具有相同或类似的第二厚度。然而第一层205可具有彼此不同的厚度,及/或第二层207可具有彼此不同的厚度,且第一层205与第二层207可采用任何厚度的组合。在此实施例中,多层堆叠203的最顶层为第二层207。然而在其他实施例中,多层堆叠203的最顶层可为第一层205。此外,虽然此处所述的实施例包含三个第一层205与三个第二层207,多层堆叠203可具有任何合适数目的层状物(如纳米片)。举例来说,多层堆叠203可包含多个纳米片,比如介于2至10个之间的纳米片。在一些实施例中,多层堆叠203可包含相同数目的第一层205与第二层207,然而其他实施例的第一层205与第二层207的数目可不同。在一些实施例中,多层堆叠203的第一高度H1可介于约12nm至约100nm之间。然而可采用任何合适高度。
在图2A与2B所示的一些实施例中,制造全绕式栅极半导体装置100的中间阶段中可进行多层结构103的图案化工艺并形成隔离区209。采用图案化工艺以形成鳍状物105于多层结构103中,并形成沟槽于鳍状物105之间,以准备形成隔离区209。在一些实施例中,形成鳍状物105的图案化工艺包含施加光阻于多层堆叠203上,并图案化与显影光阻以形成遮罩于多层堆叠203上。一旦形成遮罩,接着在蚀刻工艺如非等向蚀刻工艺时采用遮罩,可将遮罩图案转移至下方层,并形成沟槽穿过多层堆叠203至基板201中以定义鳍状物105,其中沟槽分开鳍状物105。
此外,虽然以单一遮罩工艺进行说明,但此为例示性而非局限实施例。可采用任何合适方法图案化全绕式栅极装置结构。举例来说,可采用一或多道光微影工艺图案化结构,包括双重图案化或多重图案化工艺。一般而言,双重图案化或多重图案化工艺结合光微影与自对准工艺,其产生的图案间距小于采用单一直接的光微影工艺所得的图案间距。举例来说,一实施例形成牺牲层于基板上,并采用光微影工艺图案化牺牲层。采用自对准工艺以沿着图案化的牺牲层侧部形成间隔物。接着移除牺牲层,再采用保留之间隔物以图案化全绕式栅极结构。
在一实施例中,沉积介电材料于沟槽中以形成隔离区209如浅沟槽隔离区。在一些实施例中,用以形成隔离区209的介电材料可为氧化物材料(如可流动的氧化物)、高密度等离子体氧化物、或类似物。在视情况清洁与衬垫沟槽之后,可采用化学气相沉积法(如高深宽比工艺)、高密度等离子体化学气相沉积法、或其他合适方法形成介电材料,以填充或超填鳍状物105周围的区域。在一些实施例中,可进行放置后退火工艺(如氧化物致密化),使隔离区209的材料致密化并减少其湿蚀刻速率。可进行化学机械平坦化、蚀刻、或上述的组合,以移除隔离区209的任何多余材料。
一旦沉积介电材料以填入或超填鳍状物105周围的区域,接着可自鳍状物105的表面使介电材料凹陷,以形成隔离区209。可进行凹陷工艺以露出与鳍状物105的上表面相邻的鳍状物105的侧壁的至少一部分。使介电材料凹陷的方法可为湿蚀刻,比如将鳍状物105的上表面浸入对介电材料具有选择性的蚀刻剂。但亦可采用其他方法如反应性离子蚀刻、干蚀刻、化学氧化物蚀刻、或干式化学清洁。
如图2A与2B所示,形成虚置栅极介电层211于鳍状物105的露出部分上。一旦形成隔离区209,可由热氧化、化学气相沉积、溅镀、或本技术领域已知用于形成栅极介电层的任何其他方法形成虚置栅极介电层。虚置栅极介电层211在顶部上的厚度可与虚置栅极介电层211在侧壁上的厚度不同,端视栅极介电层的形成技术而定。在一些实施例中,虚置栅极介电层211的形成方法可为沉积材料如硅,接着氧化或氮化硅层以形成介电层如氧化硅或氮氧化硅。在这些实施例中,虚置栅极介电层211的厚度可介于约
Figure BDA0002750980900000081
至约
Figure BDA0002750980900000082
之间,比如约
Figure BDA0002750980900000083
在其他实施例中,虚置栅极介电层211的组成可为高介电常数材料如氧化镧、氧化铝、氧化铪、氮氧化铪、氧化锆、或上述的组合,其等效氧化物厚度介于约
Figure BDA0002750980900000084
至约
Figure BDA0002750980900000085
之间,比如小于或等于约
Figure BDA0002750980900000091
此外,氧化硅、氮氧化硅、及/或高介电常数材料的任何组合,可用于虚置栅极介电层211。
如图3A与3B所示的一些实施例,形成虚置栅极堆叠301于鳍状物105上。在一些实施例中,虚置栅极堆叠301包括虚置栅极介电层211、虚置栅极介电层211上的虚置栅极303、虚置栅极303上的第一硬遮罩305、与第一硬遮罩305上的第二硬遮罩307。
在一些实施例中,虚置栅极303包括的导电材料可为多晶硅、钨、铝、铝铜、钛、氮化钛铝、碳化钽、碳氮化钽、氮化钽硅、锰、锆、氮化钛、钽、氮化钽、钴、镍、上述的组合、或类似物。虚置栅极303的沉积方法可为化学气相沉积、溅镀沉积、或本技术领域用于沉积导电材料的其他已知技术。虚置栅极303的厚度可为约
Figure BDA0002750980900000092
至约
Figure BDA0002750980900000093
虚置栅极303可具有不平坦的上表面,且可在图案化虚置栅极303或蚀刻栅极之前平坦化虚置栅极303的上表面。此时可或可不将离子导入虚置栅极303。举例来说,可由离子布植技术导入离子。
一旦形成虚置栅极303,即可图案化虚置栅极介电层211与虚置栅极303。在一实施例中,图案化的方法可先形成第一硬遮罩305于虚置栅极303上,并形成第二硬遮罩307于第一硬遮罩305上。
在一些实施例中,第一硬遮罩305可包含介电材料如氮化硅、氧化物、氧化硅、氮化钛、氮氧化硅、上述的组合、或类似物。第一硬遮罩305的形成工艺可为化学气相沉积、等离子体辅助化学气相沉积、原子层沉积、或类似工艺。然而可采用任何其他合适的材料与形成方法。第一硬遮罩305的厚度可介于约
Figure BDA0002750980900000094
至约
Figure BDA0002750980900000095
之间,比如约
Figure BDA0002750980900000096
第二硬遮罩307包括的介电材料与第一硬遮罩305的材料不同。第二硬遮罩307可包含适于形成第一硬遮罩305的任何材料与工艺,且第二硬遮罩307与第一硬遮罩305的厚度可相同或类似。在第一硬遮罩305包含氮化硅的实施例中,第二硬遮罩307可为氧化物。然而可采用任何合适的介电材料、工艺、与厚度,以形成第二硬遮罩。
一旦形成第一硬遮罩305与第二硬遮罩307,即可图案化第一硬遮罩305与第二硬遮罩307。在一实施例中,第一硬遮罩305与第二硬遮罩307的图案化方法可先将光阻(未图示)置于第一硬遮罩305与第二硬遮罩307上,并以图案化能量源(如光)曝光光阻,进而启动化学反应以调整光阻的曝光部分的物理特性。接着可施加第一显影剂(未图示)以显影光阻,并采用曝光区与非曝光区之间的物理性质差异以选择性移除曝光区或非曝光区。
一旦图案化光阻,可采用光阻作为遮罩并图案化下方的第一硬遮罩305与第二硬遮罩307。在一实施例中,第一硬遮罩305与第二硬遮罩307的图案化方法,可为采用光阻作为遮罩的一或多道反应性离子蚀刻工艺,可持续图案化工艺,直到露出第一硬遮罩305之下的虚置栅极303。
一旦图案化第一硬遮罩305与第二硬遮罩307,即可采用灰化工艺等方法移除光阻,比如升高光阻温度直到热分解光阻,并可采用一或多道清洁工艺轻易移除分解后的光阻。然而可采用任何其他合适的移除工艺。
一旦图案化第一硬遮罩305与第二硬遮罩307,即可图案化虚置栅极303与虚置栅极介电层211以形成一系列的虚置栅极堆叠301。在一实施例中,采用非等向蚀刻工艺(如反应性离子蚀刻)图案化虚置栅极303与虚置栅极介电层211,但可采用任何合适工艺。
如图3A与3B所示,形成顶间隔物309。在一实施例中,可毯覆性沉积形成间隔物材料于虚置栅极堆叠301与多层结构103上。如此一来,间隔物材料可沉积于虚置栅极堆叠301的上表面与侧壁上、鳍状物105的上表面与侧壁上、以及隔离区209的上表面上。在一些实施例中,间隔物材料包括介电材料,且其形成方法可采用化学气相沉积、等离子体辅助化学气相沉积、溅镀、热氧化、或任何其他合适方法。在一些实施例中,间隔物材料可采用氧化硅、氮氧化硅、氮化硅、碳氧化硅、碳氮氧化硅,任何合适材料如介电常数低于约4.0的低介电常数材料、上述的组合、或类似物。
一旦形成间隔物材料,即可蚀刻间隔物材料以塑造虚置栅极堆叠301与鳍状物105上的顶间隔物309,并露出虚置栅极堆叠301与鳍状物105的顶部。在一些实施例中,可采用非等向蚀刻工艺(如干蚀刻工艺,比如反应性离子蚀刻工艺)、等向蚀刻工艺(如湿蚀刻工艺)、上述的组合、或类似工艺蚀刻间隔物材料。在一些实施例中,在蚀刻工艺及/或后续蚀刻工艺时,形成于源极/漏极区中的鳍状物105上的间隔物材料可凹陷,以露出沿着源极/漏极区中的鳍状物105的侧壁的部分。
虽然实施例采用单一的间隔物材料,但此为例示性而非局限实施例。相反地,可采用任何数目的间隔物材料以及任何组合的沉积与移除工艺,且所有的这些工艺完全属于实施例范围。
图4A至4C是一些实施例中,形成第一开口401与内侧间隔物403于鳍状物105中的剖视图。在形成顶间隔物309时,可移除顶间隔物309所用的间隔物材料以再露出多层堆叠203。一旦露出多层堆叠203,可进行蚀刻工艺以自多层堆叠203与基板移除材料,以形成第一开口401(如沟槽、凹陷、通道、或类似结构),其延伸穿过多层堆叠203至半导体基板101中,以准备形成源极/漏极区于其中。在一实施例中,可采用一或多道非等向蚀刻如反应性离子蚀刻,但可采用任何合适工艺。
在一实施例中,第一开口401的第一宽度W1可介于约10nm至约40nm之间,比如约20nm。此外,第一开口401延伸至基板101中的第一深度D1可介于约3nm至约40nm之间,比如约10nm。然而可采用任何合适尺寸。
如图4A至4C所示,形成内侧间隔物403于第一层205中。具体而言,图4C显示图4A中以虚线强调的部分405的放大图,且可搭配形成内侧间隔物403的下述说明。在一些实施例中,内侧间隔物403的形成方法可为湿蚀刻图案化凹陷,其采用的蚀刻剂对第一层205的材料(如硅锗)的选择性,高于对第二层207或基板201的材料(如硅)的选择性。举例来说,在第一层205为硅锗而第二层207为硅的实施例中,湿蚀刻采用的蚀刻剂可为氯化氢。
在一实施例中,可进行湿蚀刻工艺如浸入工艺、喷洒工艺、旋转工艺、或类似工艺,并采用任何合适的工艺温度(介于约400℃至约600℃之间)与任何合适工艺时间(介于约100秒至约1000秒之间,比如约300秒)。然而可采用任何合适工艺条件与参数。可持续蚀刻工艺,使具有晶面限制表面的凹陷形成于第一层205的每一者中,且可自第一开口401的侧壁达到任何所需距离的凹陷。
此外,可选择蚀刻剂的选择性。在一些实施例中,亦可进行蚀刻工艺以移除第二层207与基板201的部分,使凹陷在第一开口401的侧壁方向中垂直延伸至任何合适高度,如下所述。
然而湿蚀刻工艺并非使第一层205凹陷的唯一工艺。举例来说,另一实施例使第一层205凹陷的步骤可为等向干蚀刻工艺,或干蚀刻工艺与湿蚀刻工艺的组合。可采用任何合适工艺使第一层205凹陷,且这些工艺完全属于实施例范围。
一旦形成凹陷于每一第一层205中,即形成间隔物材料于多层结构103上。在一些实施例中,间隔物材料可与顶间隔物309的材料不同,且可采用含硅的介电材料如氮化硅、氧化硅、氮氧化硅、碳氮氧化硅、碳氮化硅、碳氧化硅、任何合适材料如介电常数低于4.0的低介电常数材料、甚至是气隙、或上述的组合。可采用沉积工艺如化学气相沉积、物理气相沉积、或原子层沉积等方法沉积间隔物材料,使其厚度介于约2nm至约10nm之间(比如约5nm)。然而可采用任何合适厚度或沉积工艺。
通过沉积间隔物材料于多层结构103上,可使间隔物材料衬垫第一开口401的侧壁并填入第一层205中的凹陷。一旦将间隔物材料填入凹陷,接着可进行移除工艺,以自第一开口401移除任何多余的间隔物材料并保留内侧间隔物403。在一实施例中,移除多余间隔物材料的方法可采用蚀刻工艺如非等向干蚀刻工艺(例如反应性离子蚀刻工艺)。然而可采用任何合适的蚀刻条件,其可自第一开口401移除多余的间隔物材料并保留内侧间隔物403。
将间隔物材料填入凹陷,并自第一开口401移除多余间隔物材料,可使内侧间隔物403具有凹陷的形状。此外,虽然实施例中形成的内侧间隔物403具有晶面形状,但此为例示性而非局限实施例。相反地,可采用任何合适形状,比如凹陷形状或凸起形状,甚至使内侧间隔物403凹陷。所有的这些形状完全属于实施例范围。在一些实施例中,在一些实施例中,内侧间隔物403的第二宽度W2可介于约2nm至约10nm之间(比如约5nm),其第二高度H2可介于约5nm至约20nm之间(比如约10nm)。此外,内侧间隔物403的间隔有第一空间S1,其介于约3nm至约10nm之间,比如约5nm。然而可采用任何合适的宽度、高度、与距离。
图4C亦显示第一层205、第二层207、与内侧间隔物403的相对尺寸。在一些实施例中,内侧间隔物403的第二高度H2大于第一层205的高度(如第一厚度Th1)。在一些实施例中,内侧间隔物403之间的第一空间S1小于第二层207的第二厚度Th2。然而可采用任何合适尺寸。
图5A至5C是一些实施例中,形成底间隔物501与源极/漏极区503的剖视图。具体而言,图5C显示图5A中以虚线强调的部分505的放大图。
一旦形成内侧间隔物403,即可采用半导体材料(如硅锗)形成底间隔物501于第一开口401的底部,但亦可采用适于形成第一层205的其他材料。在一些实施例中,底间隔物501的组成为硅锗,且其外延成长于第一开口401的底部的方法可采用沉积技术如外延成长、气相外延、或分子束外延。然而亦可采用适于形成第一层205的任何其他沉积工艺。
一旦沉积底间隔物501,即可进行氧化工艺以增加底间隔物501的密度及/或介电常数。如此一来,底间隔物501的组成可为硅锗的氧化物如氧化硅锗、氮氧化硅锗、碳氮氧化硅锗、或类似物。在氧化工艺之后,可进行蚀刻工艺(如湿蚀刻)以移除沿着第一开口401的侧壁形成的任何氧化物,及/或使底间隔物501凹陷至所需高度。在一些实施例中,底间隔物501的第三高度H3介于约3nm至约30nm之间,比如约20nm。然而可采用任何合适高度。
一旦底间隔物501达到所需高度,即可进行放置后退火工艺,以自底间隔物501的材料移除锗。在一些实施例中,退火工艺包括可在炉中或在快速热工艺腔室中的一或多道退火工艺,比如蒸气退火、高温退火、上述的组合、或类似工艺。在一些实施例中,放置后退火工艺可包含干退火,比如在氮气下的工艺温度介于约500℃至约700℃之间(比如约600℃)并历时约30分钟至约180分钟(比如约60分钟)。然而可采用任何合适氧源、工艺温度、与工艺时间。一旦完成放置后退火工艺,可移除锗并将底间隔物501转换成介电材料如氮化硅、氧化物、氮氧化硅、碳氮氧化硅、碳氮化硅、或类似物。
图5C亦显示内侧间隔物403的最底部的间隔物与底间隔物501之间的间隔物界面507。在一些实施例中,间隔物界面507的第一长度L1介于约3nm至约15nm之间,比如约5nm。然而间隔物界面507可采用任何合适长度。
在一些实施例中,底间隔物501的形成方法可为沉积第二间隔物材料如氮氧化硅,且沉积工艺可采用化学气相沉积、原子层沉积、等离子体辅助化学气相沉积、或类似工艺。然而可采用任何合适的间隔物材料与沉积工艺,比如适用于形成顶间隔物309的材料与工艺。在一些实施例中,第二间隔物材料与顶间隔物309及内侧间隔物403的材料不同,且可沉积第二间隔物材料以填入及/或超填第一开口401。
一旦沉积第二间隔物材料,即可蚀刻第二间隔物材料使底间隔物501凹陷至所需的第三高度H3,并露出高于底间隔物501的第一开口401中的侧壁。在一些实施例中,蚀刻第二间隔物材料的方法可采用非等向蚀刻工艺(如干蚀刻工艺,比如反应性离子蚀刻工艺)、等向蚀刻工艺(如湿蚀刻工艺)、上述的组合、或类似工艺。使底间隔物501凹陷的蚀刻剂对第二间隔物材料具有选择性,而不明显移除顶间隔物309、第二层207、与内侧间隔物403的材料。
一旦形成底间隔物501,即可形成源极/漏极区503于底间隔物501上。源极/漏极区503的形成方法,可采用成长工艺如选择性外延成长以形成装置所需的合适半导体材料。举例来说,在源极/漏极区503用于形成n型金属氧化物半导体装置的实施例中,源极/漏极区503可为半导体材料如硅、磷化硅、碳磷化硅、上述的组合、或类似物。
外延成长工艺可采用前驱物如硅烷、二氯硅烷、锗烷、或类似物,且可持续约5分钟至约120分钟(比如约30分钟)。在一些实施例中,源极/漏极区503的第四高度H4介于约30nm至约90nm之间,比如约50nm。然而可采用任何合适高度及/或合适深度。
一旦形成源极/漏极区503,即可布植合适掺质至源极/漏极区503中,以补充第一装置区的其余部分中的掺质。举例来说,可布植n型掺质如磷、碳、砷、硅、锑、类似物、或上述的组合(如磷化硅、碳化硅、碳磷化硅、砷化硅、硅、锑、或类似物)以形成n型金属氧化物半导体场效晶体管装置。可采用虚置栅极堆叠301与顶间隔物309作为遮罩,并布植这些掺质。
在另一实施例中,可在成长源极/漏极区503时置入源极/漏极区503的掺质。举例来说,可在形成源极/漏极区503时原位置入磷。可采用任何合适工艺将掺质置入源极/漏极区503中,且所有的这些工艺属于实施例范围。此外,可进行退火工艺以活化源极/漏极区503中的掺质。在退火工艺时,源极/漏极区503的掺质可横向扩散至第二层207与源极/漏极区503之间的界面处的第二层207中。如此一来,轻掺杂漏极区可形成于第二层207中。
图6A与6B是一些实施例中,形成接点蚀刻停止层601与层间介电层603的剖视图。如图6A与6B所示,移除第一硬遮罩305与第二硬遮罩307,并平坦化接点蚀刻停止层601、层间介电层603、虚置栅极303、与顶间隔物309。
接点蚀刻停止层601形成于图5A至5C所示的结构上,而层间介电层603形成于接点蚀刻停止层601上。接点蚀刻停止层601可做为后续蚀刻工艺中的蚀刻停止层,且可包含合适材料如氮化硅、氮氧化硅、碳氮化硅、上述的组合、或类似物,且其形成方法可为合适的形成方法如化学气相沉积、物理气相沉积、上述的组合、或类似方法。
层间介电层603包含的材料可为氧化硅、低介电常数的介电材料(如介电常数低于氧化硅的材料),比如氮氧化硅、磷硅酸盐玻璃、硼硅酸盐玻璃、硼磷硅酸盐玻璃、未掺杂的硅酸盐玻璃、氟化硅酸盐玻璃、有机硅酸盐玻璃、碳氧化硅、旋转涂布玻璃、旋转涂布聚合物、碳硅材料、上述的化合物、上述的复合物、类似物、或上述的组合,但亦可采用任何合适介电层。层间介电层603的形成工艺可采用等离子体辅助化学气相沉积,但亦可采用其他工艺如低压化学气相沉积。
一旦形成层间介电层603与接点蚀刻停止层601,即可采用平坦化工艺如化学机械平坦化以平坦化上述两者与虚置栅极303及顶间隔物309。然而可采用任何合适的平坦化工艺。此外,在平坦化工艺时可移除第一硬遮罩305与第二硬遮罩307。在一些实施例中,可采用一或多道蚀刻工艺及/或化学机械平坦化,以移除第一硬遮罩305与第二硬遮罩307。如此一来,移除第一硬遮罩305之后可露出虚置栅极303。
图7A与7B是一些实施例中,移除虚置栅极303与虚置栅极介电层211的剖视图。图7A与7B是一些实施例中,自第二层207形成纳米结构701的线路释放工艺。图7A与7B是一些实施例中,形成栅极介电层703于纳米结构701上。
一旦露出虚置栅极303,即可移除虚置栅极303以露出下方的虚置栅极介电层211。在一实施例中,移除虚置栅极303的方法可采用一或多道的湿蚀刻工艺或干蚀刻工艺,其采用的蚀刻剂对虚置栅极303具有选择性。然而可采用任何合适的移除工艺。
一旦露出虚置栅极介电层211,即可移除虚置栅极介电层211。在一实施例中,移除虚置栅极介电层211的方法可采用湿蚀刻工艺,但可采用任何合适的蚀刻工艺。
如图7A与7B所示,一旦移除虚置栅极介电层211并露出第一层205的侧部,即可在线状物释放工艺步骤中自基板201与第二层207之间移除第一层205。线状物释放工艺步骤亦可视作片状物释放工艺步骤、片状物形成工艺步骤、纳米片形成工艺步骤、或线状物形成工艺步骤。在一实施例中,第一层205的移除方法可采用施蚀刻工艺,其可选择性移除第一层205的材料(如硅锗),而不明显移除基板201与第二层207的材料(如硅)。然而可采用任何合适的移除工艺。
举例来说,一实施例可采用蚀刻剂如高温氯化氢以选择性移除第一层205的材料(如硅锗),而实质上不移除基板201及/或第二层207的材料(如硅)。此外,湿蚀刻工艺的温度可介于约400℃至约600℃之间(比如约560℃),且时间可介于约100秒至约600秒之间(比如约300秒)。然而可采用任何合适蚀刻剂、工艺参数、与时间。
通过移除第一层205的材料,可露出第二层207(如图7A与7B中标示的纳米结构701)的侧壁。纳米结构701彼此隔有内侧间隔物403。在一些实施例中,纳米结构701相隔的空间介于约5nm至约15nm之间,比如约10nm。纳米结构701包括通道区于两侧的源极/漏极区503之间,其通道长度CL1介于约5nm至约180nm之间(比如约10nm),且其通道宽度CW1介于约8nm至约100nm之间(比如约30nm)。在一实施例中,纳米结构701的厚度与第二层207的原本厚度相同(比如介于约3nm至约15nm之间,如约8nm),但蚀刻工艺亦可减少纳米结构701的厚度。
在一些实施例中,线状物释放工艺可在移除第一层205时,视情况部分地移除第二层207的材料(比如过蚀刻)。如此一来,与第二层207的原本厚度相较,纳米片结构701的厚度减少。如此一来,纳米结构701的第三厚度Th3可小于原本的第二层207的厚度(如第二厚度Th2)。此外,在此部分移除工艺中,顶间隔物309与内侧间隔物403可保护第二层207的相邻材料免于移除。如此一来,在线状物释放工艺时可保护纳米结构701的远端部分的厚度不进一步减少。
此外,虽然图7A至7C显示三个纳米结构701,但自多层堆叠203提供的纳米片所形成的纳米结构701可为任何合适数目。举例来说,多层堆叠203可包含任何合适数目的第一层205与任何合适数目的第二层207。如此一来,在移除第一层205之后,含有较少第一层205与较少第二层207的多层堆叠203将形成一或两个纳米结构701。在移除第一层205之后,含有许多第一层205与许多第二层207的多层堆叠203将形成四个或更多个纳米结构701。
图7A与7B亦显示一些实施例中,形成栅极介电层703于纳米结构701上。在一实施例中,栅极介电层703包括高介电常数(大于或等于9)的材料如氧化钽、氧化铝、铪的氧化物、钽的氧化物、钛的氧化物、锆的氧化物、铝的氧化物、镧的氧化物(如氧化铪、氧化铪硅、氮氧化铪硅、氧化铪钽、氧化铪钛、氧化镧、氧化锆、或氧化钛)、上述的组合、或类似物,且其沉积工艺可为原子层沉积、化学气相沉积、或类似工艺。在一些实施例中,栅极介电层703包含掺杂氮的氧化物介电层,其可形成于金属成分的高介电常数(大于13)的介电材料的形成步骤之前。栅极介电层703的沉积厚度可介于约1nm至约3nm之间,但可采用任何合适材料与厚度。如图所示,栅极介电层703包覆纳米结构701,因此形成通道区于源极/漏极区503之间。
图8A与8B是一些实施例中,形成栅极107与栅极盖801的剖视图。一旦形成栅极介电层703,即可形成栅极107以围绕纳米结构701。在一些实施例中,栅极107可采用多层,且按序沉积每一层的方法可采用顺应性沉积工艺如原子层沉积,但可采用任何合适的沉积工艺。在一些实施例中,栅极107可包含盖层、阻障层、n型金属功函数层、p型金属功函数层、与填充材料。
可形成盖层以与栅极介电层703相邻,且盖层的组成可为金属化材料如氮化钽、钛、氮化钛铝、钛铝、铂、碳化钽、碳氮化钽、氮化钽硅、锰、锆、氮化钛、钌、钼、氮化钨、其他金属氧化物、金属氮化物、金属硅酸盐、过渡金属氧化物、过渡金属氮化物、过渡金属硅酸盐、金属的氮氧化物、金属的铝酸盐、硅酸锆、铝酸锆、上述的组合、或类似物。金属化材料的沉积工艺可为原子层沉积、化学气相沉积、或类似工艺,但可采用任何合适的沉积工艺。
可形成阻障层以与盖层相邻,且阻障层与盖层的材料可不同。举例来说,阻障层的材料可为一或多层的金属化材料如氮化钛、氮化钽、钛、氮化钛铝、钛铝、铂、碳化钽、碳氮化钽、氮化钽硅、锰、锆、钌、钼、氮化钨、其他金属氧化物、金属氮化物、金属硅酸盐、过渡金属氧化物、过渡金属氮化物、过渡金属硅酸盐、金属氮氧化物、金属铝酸盐、硅酸锆、铝酸锆、上述的组合、或类似物。阻障层的沉积工艺可采用原子层沉积、化学气相沉积、或类似工艺,但可采用任何合适的沉积工艺。
可形成n型金属功函数层以与阻障层相邻。在一实施例中,n型金属功函数层的材料可为钨、铜、铝铜、碳化碳铝、氮化钛铝、钛铝、铂、钛、氮化钛、钽、氮化钽、钴、镍、银、铝、钽铝、碳化钽铝、碳化钽、碳氮化钽、氮化钽硅、锰、锆、其他合适的n型功函数材料、或上述的组合。举例来说,第一n型金属功函数层的沉积方法可采用原子层沉积工艺、化学气相沉积工艺、或类似工艺。然而可采用任何合适材料与工艺形成n型金属功函数层。
可形成p型金属功函数层以与n型金属功函数层相邻。在一实施例中,第一p型金属功函数层的组成可为金属化材料如钨、铝、铜、氮化钛、钛、氮化钛铝、钛铝、铂、钽、氮化钽、钴、镍、碳化钽、碳氮化钽、氮化钽硅、钽硅化物、镍硅化物、锰、锆、锆硅化物、钌、铝铜、钼、钼硅化物、氮化钨、其他金属氧化物、金属氮化物、金属硅酸盐、过渡金属氧化物、过渡金属氮化物、过渡金属硅酸盐、金属的氮氧化物、金属铝酸盐、硅酸锆、铝酸锆、上述的组合、或类似物。此外,p型金属功函数层的沉积工艺可采用原子层沉积、化学气相沉积、或类似工艺,但可采用任何合适的沉积工艺。
一旦形成p型金属功函数层,即沉积填充材料以填入开口的其余部分。在一实施例中,填充材料可为钨、铝、铜、铝铜、钛、氮化钛铝、钛铝、铂、碳化钽、碳氮化钽、氮化钽硅、锰、锆、氮化钛、钽、氮化钽、钴、镍、上述的组合、或类似物,且其形成方法可采用沉积工艺如镀制法、化学气相沉积、原子层沉积、物理气相沉积、上述的组合、或类似工艺。然而可采用任何合适材料。
一旦移除虚置栅极303所留下的开口被填满,即可平坦化栅极107与栅极介电层703的材料,使移除虚置栅极303所留下的开口之外的任何材料被移除。在具体实施例中,可采用平坦化工艺如化学机械平坦化进行移除步骤,但可采用任何合适的平坦化与移除工艺。在一些实施例中,栅极的第五长度L5可介于约8nm至约30nm之间。然而可采用任何合适长度。
一旦形成栅极107,即可使栅极107凹陷至低于层内介电层603的平坦化表面。在一些实施例中,可采用蚀刻工艺如湿蚀刻、干蚀刻、上述的组合、或类似工艺使栅极107凹陷。一旦凹陷之后,栅极107高于最顶部的纳米结构701的距离为第五高度H5。在一些实施例中,第五高度H5介于约8nm至约30nm之间。然而可采用任何合适高度。
栅极盖801的形成方法可为先沉积介电材料于栅极107上,以填入及/或超填凹陷。在一些实施例中,栅极盖801的组成可采用介电材料如氮化硅、氧化物、氮氧化硅、碳氮氧化硅、碳氮化硅、或类似物。在一些实施例中,栅极盖801的组成可采用金属如锆、铪、铝、或类似物的氧化物。此外,栅极盖801的形成方法可采用合适的沉积工艺如化学气相沉积、原子层沉积、物理气相沉积、上述的组合、或类似工艺。然而可采用任何合适材料与沉积工艺。一旦沉积栅极盖801,即可采用平坦化工艺如化学机械平坦化以平坦化栅极盖801与层间介电层603。一旦平坦化栅极盖801,则栅极盖801的第六高度H6可介于约10nm至约30nm之间。然而可采用任何合适高度。
图9A与9B是一些实施例中,在切割金属栅极工艺中形成第二开口901的剖视图。一旦平坦化栅极盖801与层间介电层603,即可沉积遮罩层903于栅极盖801、层间介电层603、接点蚀刻停止层601、顶间隔物309、与栅极介电层703的平坦化表面上。一旦沉积遮罩层903,即可图案化遮罩层903以露出即将形成切割金属栅极结构109的所需位置的下方材料(含栅极盖801与层间介电层603)。
一旦图案化遮罩层903,即可采用遮罩层903作为蚀刻遮罩并蚀刻下方材料,以形成第二开口(如沟槽、凹陷、通道、或类似结构)。在蚀刻工艺中,可采用非等向蚀刻工艺蚀刻栅极盖801与栅极107的材料,并止于栅极介电层703的上表面或隔离区209的上表面。第二开口可形成于相邻的鳍状物105之间,且可切穿一或多个栅极107。在一些实施例中,形成两个第二开口901以切穿两个相邻的栅极107并位于一个鳍状物105的两侧上,如图1所示。在一些实施例中,第二开口901位于两个相邻装置的顶间隔物309之间。一旦形成第二开口901,即可移除遮罩层903。
图10A与10B是一些实施例中,形成切割金属栅极结构109的剖视图。一旦形成第二开口901,即可形成切割金属栅极结构109,比如先沉积介电材料以填入或超填第二开口901。在一些实施例中,切割金属栅极结构109可采用适于形成栅极盖801的任何介电材料与沉积工艺。在一些实施例中,切割金属栅极结构109的介电材料可与栅极盖801的介电材料相同,但介电材料亦可不同。举例来说,在栅极盖801采用氮化硅的实施例中,切割金属栅极结构109可采用原子层沉积所形成的氮化硅。然而可采用任何合适的介电材料与沉积工艺。在一些实施例中,切割金属栅极结构109的第三宽度W3可介于约5nm至约50nm之间,比如约10nm。然而可采用任何合适宽度。
切割金属栅极结构109可将较长的多个栅极107分成较短的多个栅极107,并使多个栅极107彼此隔离。此外,可保留第二开口901之外的切割金属栅极结构109的多余介电材料,以作为连续多晶硅跨扩散边缘工艺中的遮罩层。如此一来,在图10B中以虚线强调切割金属栅极结构109,其具有多余介电材料保留于第二开口901之外。
图11A与11B是一些实施例中,在形成连续多晶硅跨扩散边缘结构111的初始步骤中,形成第三开口1003的剖视图。连续多晶硅跨扩散边缘结构111在此处可视作隔离结构、切割多晶硅结构、或切割多晶硅跨扩散边缘结构,其将搭配后续附图详述于下。
一旦形成切割金属栅极结构109,即可形成光阻于多余的介电材料上,并在之后形成连续多晶硅跨扩散边缘结构111的所需位置的光阻中形成开口。形成开口于光阻中,以露出两个切割金属栅极结构109之间的栅极盖801的一部分。此外,切割金属栅极结构109的边缘部分可由光阻中的开口露出,以提供连续多晶硅跨扩散边缘结构111所用的一些工艺容许范围。在一些实施例中,露出的边缘部分的宽度可为第四宽度W4,其介于约3nm至约25nm之间,比如约5nm。然而可采用任何合适宽度。
接着采用光阻作为蚀刻遮罩,并蚀刻多余的介电材料、切割金属栅极结构109的边缘部分、栅极盖801、与栅极107,以形成第三开口1003(如沟槽、凹陷、通道、或类似结构)于连续多晶硅跨扩散边缘结构111的所需位置中。在一些实施例中,蚀刻工艺可止于栅极介电层703。如此一来,栅极介电层703与纳米结构701保留于第三开口1003的底部。在一些实施例中,用以形成第三开口1003的蚀刻工艺可为等向蚀刻工艺(比如湿蚀刻工艺),其采用的蚀刻剂止于栅极介电层703。然而可采用其他合适的蚀刻工艺,包括非等向蚀刻工艺(如干蚀刻工艺或反应性离子蚀刻工艺)、等向蚀刻与非等向蚀刻的组合、或类似工艺。在一些实施例中,第三开口1003的第五宽度W5可介于约20nm至约200nm之间,比如约70nm。然而可采用任何合适宽度。
图12A与12B是一些实施例中,在连续多晶硅跨扩散边缘工艺的中间步骤中进行其他蚀刻工艺的剖视图。一旦露出栅极介电层703,可进行另一蚀刻工艺移除第三开口1003中的栅极介电层703的材料,并露出第三开口1003中的纳米结构701、鳍状物105、与隔离区209。在一些实施例中,可采用湿蚀刻、干蚀刻、上述的组合、或类似工艺移除栅极介电层703的材料,且实质上不移除沿着第三开口1003的垂直侧壁的顶间隔物309与内侧间隔物403的材料。然而可采用任何合适的蚀刻工艺。
一旦露出纳米结构701与鳍状物105凸起高于隔离区209的部分,则可采用其他蚀刻工艺移除纳米结构701的材料并形成凹陷于鳍状物105中。在一些实施例中,可采用蚀刻工艺如湿蚀刻、干蚀刻、上述的组合、或类似工艺移除这些材料,而实质上不移除第三开口1003露出的顶间隔物309、内侧间隔物403、与隔离区209的材料。在一实施例中,鳍状物105凸起高于隔离区209的部分可凹陷第二深度D2,其介于约2nm至约20nm之间(比如约10nm)。然而可采用任何合适深度。在一些实施例中,第二深度D2与底间隔物501的第三高度H3之间的第一比例R1可介于约0.05:1至约1:1之间。一旦移除纳米结构701并使鳍状物105凸起高于隔离区209的部分凹陷,即可由灰化工艺等方法移除光阻。
图13A与13B是一些实施例中,形成连续多晶硅跨扩散边缘结构111的剖视图。连续多晶硅跨扩散边缘结构111的形成方法可为沉积介电材料,以填入及/或超填第三开口1003。连续多晶硅跨扩散边缘结构111的组成可采用介电材料如氮化硅、氧化物、氮氧化硅、碳氮氧化硅、碳氮化硅、或类似物。在一些实施例中,连续多晶硅跨扩散边缘结构111的组成可采用金属如锆、铪、铝、或类似物的氧化物。此外,连续多晶硅跨扩散边缘结构111的形成方法可采用合适的沉积工艺如化学气相沉积、原子层沉积、物理气相沉积、上述的组合、或类似工艺。连续多晶硅跨扩散边缘结构111可采用适于形成切割金属栅极结构109所用的任何介电材料与工艺。在一些实施例中,形成连续多晶硅跨扩散边缘结构111的介电材料可与形成切割金属栅极结构109的介电材料相同,但亦可采用不同的介电材料。举例来说,一些实施例的切割金属栅极结构109采用氮化硅,而连续多晶硅跨扩散边缘结构111可采用氮化硅(其沉积工艺可为化学气相沉积、原子层沉积、溅镀、或类似工艺)。
一旦形成连续多晶硅跨扩散边缘结构111,即可由化学机械平坦化工艺移除第三开口1003之外的连续多晶硅跨扩散边缘结构111的多余材料。在一些实施例中,可持续化学机械平坦化工艺至平坦化连续多晶硅跨扩散边缘结构111、栅极107、与层间介电层603的上表面。一旦平坦化,鳍状物105上的连续多晶硅跨扩散边缘结构111的第七高度H7可介于约55nm至约140nm之间,比如约70nm。然而可采用任何合适高度。栅极盖801的第八高度H8可介于约10nm至约30nm之间,比如约15nm。然而可采用任何合适高度。此外,切割金属栅极结构109的第九高度H9可介于约50nm至约120nm之间,比如约60nm。然而可采用任何合适高度。
图14A与14B是一些实施例中,形成源极/漏极接点1401至源极/漏极区503的剖视图。图14A与14B所示的一些实施例中,形成源极/漏极通孔1407与栅极通孔1409。
一旦形成连续多晶硅跨扩散边缘结构,可形成硅化物接点1403与源极/漏极接点1401穿过层间介电层603,以电性连接至源极/漏极区503。在一实施例中,硅化物接点1403与源极/漏极接点1401的形成方法,可先形成开口穿过层间介电层603以露出源极/漏极区503。开口的形成方法可采用合适的光微影遮罩与蚀刻工艺。
硅化物接点1403可包含钛、镍、钴、或铒以降低源极/漏极接点1401的肖特基能障。然而亦可采用其他金属如铂、钯、或类似物。可毯覆性沉积合适的金属层,接着退火使金属与下方的源极/漏极区503露出的硅反应,以进行硅化工艺。接着移除未反应的金属,且移除方法可为选择性蚀刻工艺。硅化物接点1403的厚度可介于约5nm至约50nm之间。然而可采用任何合适厚度。
在一实施例中,源极/漏极接点1401可为导电材料如铝、铜、钨、钴、钛、钽、钌、氮化钛、钛铝、氮化钛铝、氮化钽、碳化钽、镍硅化钨、钴硅化钨、上述的组合、或类似物,但亦可沉积任何合适材料至开口中以填入及/或超填开口。上述导电材料的沉积工艺可为溅镀、化学气相沉积、电镀、无电镀、或类似工艺。一旦填入及/或超填开口,即可采用平坦化工艺如化学机械平坦化移除开口之外的任何沉积材料。然而可采用任何合适材料与形成工艺。
一旦形成源极/漏极接点1401,即可沉积介电材料于层间介电层603、接点蚀刻停止层601、顶间隔物309、栅极介电层703、栅极盖801、切割金属栅极结构109、与连续多晶硅跨扩散边缘结构111的平坦上表面上,以形成第二层间介电层1405。第二层间介电层1405可采用适于形成与平坦化上述层间介电层603的任何工艺与材料。
一旦形成第二层间介电层1405,即可形成源极/漏极通孔1407穿过第二层间介电层1405,以电性连接至源极/漏极区503。此外,栅极通孔1409可穿过第二层间介电层1405与栅极盖801,以电性连接至栅极107。可采用任何合适的遮罩与蚀刻工艺,以形成开口穿过第二层间介电层1405与介电盖层1104。在一些实施例中,可采用相同蚀刻工艺以一起图案化源极/漏极通孔1407所用的开口与栅极通孔1409所用的开口。在其他实施例中,可由分开的蚀刻工艺图案化源极/漏极通孔1407所用的开口与栅极通孔1409所用的开口。
一旦形成源极/漏极通孔1407与栅极通孔1409所用的开口,即可沉积导电材料如铜以填入及/或超填开口,且沉积方法可为镀制法、化学气相沉积、溅镀、上述的组合、或类似方法。接着可移除多余材料,且移除方法可为平坦化工艺如化学机械平坦化或类似工艺。
在此处所述的实施例中,底间隔物501与内侧间隔物403的最底部间隔物具有间隔物界面507。间隔物界面507的长度可介于约3nm至约15nm之间。如此一来,可确保源极/漏极区503的外延成长与基板201分隔。若间隔物界面507的第一长度L1过短(比如小于3nm),在考量蚀刻深度变化时,源极/漏极区503的外延成长可能无法与基板201分隔。此外,若间隔物界面507的长度过长(比如大于15nm)且大于内侧间隔物403的第二高度H2,则底间隔物501在操作时可能阻挡电流自源极/漏极区503注入纳米结构701。如此一来,可能劣化产能及/或装置效能。
通过隔离源极/漏极区503与基板201,连续多晶硅跨扩散边缘结构111可具有浅凹陷至鳍状物105中,而不切穿隔离区209。在一些实施例中,浅凹陷的第二深度D2可介于约2nm至约20nm之间。第一比例R1可定义形成连续多晶硅跨扩散边缘结构111所用的浅凹陷的第二深度D2,与底间隔物501的第三高度H3之间的比例。如此一来,可采用第一比例R1以确认浅凹陷的第二深度D2可用于形成不同技术节点的连续多晶硅跨扩散边缘结构111。在一些实施例中,第一比例R1可介于约0.05:1至约1:1之间。通过形成具有第一比例R1大致小于1:1的浅凹陷的连续多晶硅跨扩散边缘结构111,可得较大的源极/漏极损伤容许范围并准确控制栅极高度。由于源极/漏极损伤容许范围大,形成连续多晶硅跨扩散边缘结构111时可不损伤源极/漏极区503,即使应用至N5技术与之后的技术。此外,由于准确控制栅极高度,在形成连续多晶硅跨扩散边缘结构111时的栅极高度损失少或无。如此一来,采用浅凹陷深度所用的第二深度D2的连续多晶硅跨扩散边缘结构111,可达N3技术与之后的技术。此外,形成比例R1为至少0.05:1的连续多晶硅跨扩散边缘结构111,可确保有效截断纳米结构701,以在操作装置时隔离源极/漏极区503与基板201。如此一来,可增加产率及/或改善装置效能。
在一实施例中,半导体装置的形成方法包括:形成鳍状物于多层堆叠中,且鳍状物包括基板与基板上的至少一第一层;形成栅极于鳍状物上;蚀刻开口于与栅极相邻的鳍状物中;沿着开口的侧壁形成凹陷,且凹陷形成于至少一第一层中;沉积间隔物材料于凹陷中;形成底间隔物于开口中的底部,且底间隔物与间隔物材料具有第一界面;形成源极/漏极区于底间隔物上;以及形成介电结构穿过栅极至鳍状物的基板中,且介电结构的底部高于底间隔物的底部。在一实施例中,凹陷中的间隔物材料厚度大于至少一第一层。在一实施例中,形成底间隔物的步骤还包括形成底间隔物到高度介于约3nm至约30nm之间。在一实施例中,第一界面的长度介于约3nm至约15nm之间。在一实施例中,方法还包括在形成介电结构之前,形成切割栅极介电层。在一实施例中,方法还包括形成隔离区于鳍状物的两侧上,其中形成栅极的步骤包括形成栅极于隔离区上,且介电结构的底部水平在隔离区的上表面与下表面之间。在一实施例中,介电结构的底部水平延伸至基板中的距离介于约2nm至约20nm之间。
在另一实施例中,半导体装置的形成方法包括:蚀刻第一开口穿过栅极,且第一开口中露出栅极介电层;移除第一开口中的栅极介电层,其中移除栅极介电层之后露出基板的一部分、纳米线的堆叠、与隔离区;进行蚀刻工艺以移除第一开口中的纳米线的堆叠,并使基板的部分凹陷至隔离区的上表面与隔离区的下表面之间的第一水平;以及沉积介电材料于第一开口中,且介电材料隔离栅极的第一部分与栅极的第二部分。在一实施例中,第一水平低于隔离区的上表面的距离为顶多20nm。在一实施例中,第一水平低于隔离区的上表面的距离为至少2nm。在一实施例中,方法还包括:在蚀刻第一开口穿过栅极之前,形成底间隔物以与基板相邻;以及形成源极/漏极区于底间隔物上。在一实施例中,底间隔物的底部低于第一水平。在一实施例中,方法还包括形成内侧间隔物于纳米结构的第二堆叠中,且内侧间隔物与底间隔物具有第一界面。在一实施例中,第一界面的长度介于约3nm至约15nm之间。
在一实施例中,半导体装置包括:纳米结构的堆叠,位于第一半导体鳍状物上,且第一半导体鳍状物位于基板上;隔离区,延伸于基板上的第一半导体鳍状物与第二半导体鳍状物之间,隔离区具有远离基板的第一表面,且第一表面与基板隔有第一距离;栅极,围绕纳米结构的堆叠中的每一纳米结构;以及介电材料,与栅极相邻,介电材料具有与第二半导体鳍状物相邻的第一部分,第一部分与基板隔有第二距离,且第二距离小于第一距离。在一实施例中,第二距离与第一距离的差距顶多20nm。在一实施例中,半导体装置还包括:第一源极/漏极区,与纳米结构的堆叠相邻;第一底间隔物,隔离第一源极/漏极区与基板;内侧间隔物,与第一底间隔物相邻,并分隔第一底间隔物与介电材料。在一实施例中,内侧间隔物与第一底间隔物具有第一界面,且第一界面的距离介于约3nm至约15nm之间。在一些实施例中,内侧间隔物的第一高度介于约5nm至约20nm之间。在一实施例中,第一高度大于纳米结构的堆叠中的一纳米结构的第二高度。
上述实施例的特征有利于本技术领域中技术人员理解本公开。本技术领域中技术人员应理解可采用本公开作基础,设计并变化其他工艺与结构以完成上述实施例的相同目的及/或相同优点。本技术领域中技术人员亦应理解,这些等效置换并未脱离本公开构思与范围,并可在未脱离本公开的构思与范围的前提下进行改变、替换、或变动。

Claims (1)

1.一种半导体装置的形成方法,包括:
形成一鳍状物于一多层堆叠中,且该鳍状物包括一基板与该基板上的至少一第一层;
形成一栅极于该鳍状物上;
蚀刻一开口于与该栅极相邻的该鳍状物中;
沿着该开口的一侧壁形成一凹陷,且该凹陷形成于该至少一第一层中;
沉积一间隔物材料于该凹陷中;
形成一底间隔物于该开口中的底部,且该底间隔物与该间隔物材料具有一第一界面;
形成一源极/漏极区于该底间隔物上;以及
形成一介电结构穿过该栅极至该鳍状物的该基板中,且该介电结构的底部高于该底间隔物的底部。
CN202011184088.9A 2019-10-29 2020-10-29 半导体装置的形成方法 Pending CN112750775A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962927582P 2019-10-29 2019-10-29
US62/927,582 2019-10-29
US16/872,058 US11450754B2 (en) 2019-10-29 2020-05-11 Semiconductor devices and methods of manufacture
US16/872,058 2020-05-11

Publications (1)

Publication Number Publication Date
CN112750775A true CN112750775A (zh) 2021-05-04

Family

ID=75586155

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202011184088.9A Pending CN112750775A (zh) 2019-10-29 2020-10-29 半导体装置的形成方法

Country Status (3)

Country Link
US (2) US11450754B2 (zh)
CN (1) CN112750775A (zh)
TW (1) TW202117855A (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11296082B2 (en) * 2020-07-30 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device and related methods
US20220359545A1 (en) * 2021-05-07 2022-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor memory devices with dielectric fin structures
US20230015372A1 (en) * 2021-07-16 2023-01-19 Taiwan Semiconductor Manufacturing Company, Ltd. Gate Cut Feature in Semiconductor Devices and Methods of Fabricating the Same
US20240105804A1 (en) * 2022-09-27 2024-03-28 Intel Corporation Integrated circuit structures having fin isolation regions bound by gate cuts

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8399314B2 (en) * 2010-03-25 2013-03-19 International Business Machines Corporation p-FET with a strained nanowire channel and embedded SiGe source and drain stressors
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9006829B2 (en) 2012-08-24 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Aligned gate-all-around structure
US9209247B2 (en) 2013-05-10 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned wrapped-around structure
US9136332B2 (en) 2013-12-10 2015-09-15 Taiwan Semiconductor Manufacturing Company Limited Method for forming a nanowire field effect transistor device having a replacement gate
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9608116B2 (en) 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
US9490176B2 (en) * 2014-10-17 2016-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for FinFET isolation
US9412817B2 (en) 2014-12-19 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide regions in vertical gate all around (VGAA) devices and methods of forming same
US9536738B2 (en) 2015-02-13 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) devices and methods of manufacturing the same
US9502265B1 (en) 2015-11-04 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) transistors and methods of forming the same
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
TWI707473B (zh) * 2016-11-23 2020-10-11 聯華電子股份有限公司 半導體裝置以及其製作方法
US11335807B2 (en) * 2018-06-29 2022-05-17 Intel Corporation Isolation schemes for gate-all-around transistor devices
US10756216B2 (en) * 2018-08-09 2020-08-25 International Business Machines Corporation Nanosheet mosfet with isolated source/drain epitaxy and close junction proximity

Also Published As

Publication number Publication date
US11450754B2 (en) 2022-09-20
US20220384619A1 (en) 2022-12-01
TW202117855A (zh) 2021-05-01
US20210126113A1 (en) 2021-04-29

Similar Documents

Publication Publication Date Title
US11355363B2 (en) Semiconductor devices and methods of manufacturing
US20220320313A1 (en) Semiconductor Manufacturing
CN106098554B (zh) 栅极上的缓冲层及其形成方法
US11462614B2 (en) Semiconductor devices and methods of manufacturing
CN112750775A (zh) 半导体装置的形成方法
US20200176565A1 (en) Semiconductor Device and Method of Manufacture
US11894435B2 (en) Contact plug structure of semiconductor device and method of forming same
CN113363213A (zh) 半导体器件及其形成方法
US20240194765A1 (en) Semiconductor Device and Method
US11145746B2 (en) Semiconductor device and method
US20230369428A1 (en) Under epitaxy isolation structure
US11935754B2 (en) Transistor gate structure and method of forming
US20230163075A1 (en) Semiconductor Device and Method
US20220238649A1 (en) Transistor Gate Structures and Methods of Forming the Same
CN115274657A (zh) 半导体器件及其形成方法
CN113113408A (zh) 半导体装置
CN113161353A (zh) 半导体装置
US12021116B2 (en) Semiconductor gates and methods of forming the same
TWI821698B (zh) 半導體元件及其製造方法
KR102546906B1 (ko) Finfet 디바이스 및 방법
US20230377989A1 (en) Source/Drain Regions and Methods of Forming Same
CN113113363A (zh) 制造半导体装置的方法
CN116779545A (zh) 外延下隔离结构
CN114975263A (zh) 半导体装置的形成方法
CN118412280A (zh) 半导体器件及其形成方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination