TW202013519A - 半導體裝置與其形成方法 - Google Patents

半導體裝置與其形成方法 Download PDF

Info

Publication number
TW202013519A
TW202013519A TW108128686A TW108128686A TW202013519A TW 202013519 A TW202013519 A TW 202013519A TW 108128686 A TW108128686 A TW 108128686A TW 108128686 A TW108128686 A TW 108128686A TW 202013519 A TW202013519 A TW 202013519A
Authority
TW
Taiwan
Prior art keywords
layer
gate dielectric
dielectric layer
forming
gate
Prior art date
Application number
TW108128686A
Other languages
English (en)
Other versions
TWI701743B (zh
Inventor
蔡承晏
黃銘淇
陳昱璇
李威縉
洪正隆
李達元
張文
蘇慶煌
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202013519A publication Critical patent/TW202013519A/zh
Application granted granted Critical
Publication of TWI701743B publication Critical patent/TWI701743B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28061Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a metal or metal silicide formed by deposition, e.g. sputter deposition, i.e. without a silicidation reaction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82345MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/6681Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Materials Engineering (AREA)

Abstract

在一實施例中,方法包括:形成閘極介電層於界面層上;形成摻雜層於閘極介電層上,且摻雜層包括偶極誘發元素;退火摻雜層以驅使偶極誘發元素穿過閘極介電層至閘極介電層與界面層相鄰的第一側;移除摻雜層;形成犧牲層於閘極介電層上,且閘極介電層跟犧牲層相鄰的第二側之殘留的偶極誘發元素與犧牲層的材料反應;移除犧牲層;形成蓋層於閘極介電層上;以及形成閘極層於蓋層上。

Description

半導體裝置與其形成方法
本發明實施例關於半導體裝置,更特別關於調整閘極臨界電壓的方法。
半導體裝置用於多種電子應用,比如個人電腦、手機、數位相機、與其他電子設備。半導體裝置的製作方法通常為依序沉積絕緣或介電層、導電層、與半導體層的材料於半導體基板上,再採用微影圖案化多種材料層以形成電路構件與單元於半導體基板上。
半導體產業持續縮小最小結構尺寸以改善多種電子構件(如電晶體、二極體、電阻、電容、或類似物)的積體密度,以將更多構件整合至給定面積中。然而隨著最小結構尺寸縮小,可能需解決額外產生的問題。
本發明一實施例提供之半導體裝置,包括:第一鰭狀物,自基板延伸;第一界面層,位於第一鰭狀物的第一通道區上,第一界面層摻雜偶極誘發元素,且第一界面層中的偶極誘發元素之第一濃度沿著自第一鰭狀物向外延伸的第一方向增加;第一閘極介電層,位於第一界面層上,第一閘極介電層摻雜偶極誘發元素,且第一閘極介電層中的偶極誘發元素之第二濃度沿著自第一鰭狀物向外延伸的第一方向減少;第一蓋層,位於第一閘極介電層上;以及第一閘極,位於第一蓋層上。
本發明一實施例提供之半導體裝置的形成方法,包括:形成閘極介電層於界面層上;形成摻雜層於閘極介電層上,且摻雜層包括偶極誘發元素;退火摻雜層以驅使偶極誘發元素穿過閘極介電層至閘極介電層與界面層相鄰的第一側;移除摻雜層;形成犧牲層於閘極介電層上,且閘極介電層跟犧牲層相鄰的第二側之殘留的偶極誘發元素與犧牲層的材料反應;移除犧牲層;形成蓋層於閘極介電層上;以及形成閘極層於蓋層上。
本發明一實施例提供之半導體裝置的形成方法,包括:形成界面層於第一通道區與第二通道上;形成閘極介電層於界面層上;形成偶極界面於第一通道區上的界面層與閘極介電層的部份之間;形成犧牲層於閘極介電層上,而與犧牲層相鄰的閘極介電層的一側之殘留的偶極誘發元素與犧牲層的材料反應;移除犧牲層與殘留的偶極誘發元素;形成蓋層於閘極介電層上;以及形成第一閘極與第二閘極於蓋層上,第一閘極位於第一通道區上,而第二閘極位於第二通道區上。
可以理解的是,下述內容提供的不同實施例或實例可實施本發明的不同結構。下述特定構件與排列的實施例係用以簡化本發明內容而非侷限本發明。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸的實施例,或兩者之間隔有其他額外構件而非直接接觸的實施例。此外,本發明實施例之結構形成於另一結構上、連接至另一結構、及/或耦接至另一結構中,結構可直接接觸另一結構,或可形成額外結構於結構及另一結構之間(即結構未接觸另一結構)。此外,本發明之多個實例可重複採用相同標號以求簡潔,但多種實施例及/或設置中具有相同標號的元件並不必然具有相同的對應關係。
此外,空間性的相對用語如「下方」、「其下」、「較下方」、「上方」、「較上方」、或類似用語可用於簡化說明某一元件與另一元件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。元件亦可轉動90°或其他角度,因此方向性用語僅用以說明圖示中的方向。
在一些實施例中,形成摻雜層於閘極介電層上,並以退火製程驅動摻雜層的耦極誘發元素(如鑭、鋁、鈧、釕、鋯、鉺、鎂、鍶、或類似物)穿過閘極介電層。接著移除摻雜層。形成犧牲層於閘極介電層上。移除犧牲層後殘留於閘極介電層上的偶極誘發元素,可與犧牲層反應(比如鍵結或作用)。接著移除犧牲層並取代為蓋層。藉由形成偶極誘發元素於閘極介電層及下方層之間的界面,可控制之後形成的裝置其臨界電壓。此外,自閘極介電層與上方層的界面移除偶極誘發單元,可改善閘極介電層的崩潰電壓。
圖1係一些實施例中,鰭狀場效電晶體的三維圖。鰭狀場效電晶體包含鰭狀物58於基板50上。淺溝槽隔離區56形成於基板50上,而鰭狀物58自相鄰的淺溝槽隔離區56之間凸起高於淺溝槽隔離區56。閘極介電層102沿著鰭狀物58的側壁並位於鰭狀物58的上表面上,且閘極120位於閘極介電層102上。源極/汲極區86相對於閘極介電層102與閘極120,位於鰭狀物58的兩側上。圖1亦顯示後續圖式所用的參考剖面。參考剖面A-A穿過鰭狀場效電晶體的通道、閘極介電層102、與閘極120。參考剖面B-B垂直於參考剖面A-A,其沿著鰭狀物58的縱軸如源極/汲極區86之間的電流方向。參考剖面C-C平行於參考剖面B-B,並延伸穿過鰭狀場效電晶體的源極/汲極區。後續圖式參考這些參考剖面以達清楚目的。
此處所述的一些實施例的內容採用閘極後製製程形成鰭狀場效電晶體。在其他實施例中,可採用閘極優先製程。此外,一些實施例可用於平面裝置如平面場效電晶體。
圖2至6係一些實施例中,形成鰭狀場效電晶體的中間階段之剖視圖。圖2至6沿著圖1所示的參考剖面A-A,差別在具有多個鰭狀物及/或鰭狀場效電晶體。
在圖2中,鰭狀物52形成於基板50中。基板50可為半導體基板如基體半導體基板、絕緣層上半導體基板、或類似物,其可摻雜(如摻雜p型或n型摻質)或未摻雜。基板50可為晶圓如矽晶圓。一般而言,絕緣層上矽基板為半導體材料層形成於絕緣層上。舉例來說,絕緣層可為埋置氧化物層、氧化矽層、或類似物。絕緣層形成於基板上,且基板一般為矽基板或玻璃基板。亦可採用其他基板如多層基板或組成漸變基板。在一些實施例中,基板50的半導體材料可包含矽或鍺;半導體化合物如碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、及/或銻化銦;半導體合金如矽鍺、磷砷化鎵、砷化鋁銦、砷化鋁鎵、砷化鎵銦、磷化鎵銦、及/或磷砷化鎵銦;或上述之組合。
基板50具有區域50B與區域50C。區域50B可用於形成n型裝置,比如n型金氧半電晶體如n型鰭狀場效電晶體。區域50C可用於形成p型裝置,比如p型金氧半電晶體如p型鰭狀場效電晶體。區域50B與區域50C可物理分開(以分隔線表示),且區域50B與50C之間可具有任何數目的裝置結構(比如其他主動裝置、摻雜區、隔離結構、或類似物)。在一些實施例中,區域50B與區域50C用於形成相同型態的裝置,比如均用於n型裝置的區域(或均用於p型裝置的區域)。
鰭狀物52為半導體帶。在一些實施例中,可蝕刻溝槽於基板50中,以形成鰭狀物52於基板50中。蝕刻可為任何可沏受的蝕刻製程,比如反應性離子蝕刻、中性束蝕刻、類似方法、或上述之組合。蝕刻可為非等向性。
在圖3中,絕緣材料54形成於基板50上與相鄰的鰭狀物52之間。絕緣材料54可為氧化物如氧化矽、氮化物、類似物、或上述之組合,且其形成方法可為高密度電漿化學氣相沉積、可流動的化學氣相沉積(比如在遠端電漿系統中沉積化學氣相沉積為主的材料,之後固化材料使其轉變成另一材料如氧化物)、類似方法、或上述之組合。亦可採用任何可接受的製程所形成的其他絕緣材料。在所述實施例中,絕緣材料54為可流動的化學氣相沉積製程所形成的氧化矽。一旦形成絕緣材料即可進行退火製程。在一實施例中,形成絕緣材料54的步驟造成多餘的絕緣材料54覆蓋鰭狀物52。
在圖4中,對絕緣材料54進行平坦化製程。在一些實施例中,平坦化製程包括化學機械研磨、回蝕刻製程、上述之組合、或類似製程。平坦化製程露出鰭狀物52。在完成平坦化製程之後,鰭狀物52的上表面與絕緣材料54的上表面齊平。
在圖5中,可使絕緣材料54凹陷以形成淺溝槽隔離區56。由於絕緣材料54凹陷,區域50B與區域50C中的鰭狀物58可自相鄰的淺溝槽隔離區56之間凸起。此外,淺溝槽隔離區56的上表面可具有平坦表面如圖示、凸起表面、凹陷表面(如碟化)、或上述之組合。藉由合適的蝕刻方法,可讓淺溝槽隔離區56具有平坦、凸起、及/或凹陷的上表面。可採用可接受的蝕刻製程,比如對絕緣材料54的材料具有選擇性的蝕刻製程,使淺溝槽隔離區56凹陷。舉例來說,可採用CERTAS®蝕刻的化學氧化物移除法、Applied Materials SICONI工具、或稀氫氟酸進行蝕刻製程。
本技術領域中具有通常知識者應理解搭配圖2至5說明的上述製程,僅為如何形成鰭狀物58的一例。在一些實施例中,可形成介電層於基板50的上表面上、可蝕刻穿過介電層以形成溝槽、可磊晶成長同質磊晶結構於溝槽中、以及可使介電層凹陷,使同質磊晶結構自介電層凸起以形成鰭狀物。在一些實施例中,異質磊晶結構可用於鰭狀物52。舉例來說,可使圖4中的鰭狀物52凹陷,並磊晶成長不同於鰭狀物52的材料於凹陷處。在其他實施例中,可形成介電層於基板50的上表面上、蝕刻穿過介電層以形成溝槽、採用與基板50不同的材料,以磊晶成長異質磊晶結構於溝槽中、以及使介電層凹陷,使異質磊晶結構自介電層凸起以形成鰭狀物58。在一些實施例中,在磊晶成長同質磊晶或異質磊晶結構時,可在成長材料時原位摻雜成長的材料,並可省略之前與之後的佈植製程。不過亦可搭配採用原位摻雜與佈植摻雜。此外,磊晶成長於n型金氧半區與p型金氧半區中的材料不同具有優點。在多種實施例中,可由矽鍺(Six Ge1-x ,其中x可介於0至1之間)、碳化矽、純或實質上純鍺、III-V族半導體化合物、II-VI族半導體化合物、或類似物形成鰭狀物58。舉例來說,形成III-V族半導體化合物的合適材料可包括但不限於砷化銦、砷化鋁、砷化鎵、磷化銦、氮化鎵、砷化銦鎵、砷化銦鋁、銻化鎵、銻化鋁、磷化鋁、磷化鎵、或類似物。
此外,可形成合適的摻雜區(未圖示,有時稱作井區)於鰭狀物58、鰭狀物52、及/或基板50中。在一些實施例中,p型摻雜區可形成於區域50B中,而n型摻雜區可形成於區域50C中。在一些實施例中,只有p型摻雜區(或n型摻雜區)形成於區域50B與區域50C中。
在摻雜區的型態不同的實施例中,可採用光阻或其他遮罩(未圖示)以達區域50B與50C所用的不同佈植步驟。舉例來說,可形成光阻於區域50B中的鰭狀物58與淺溝槽隔離區56上。圖案化光阻以露出基板50的區域50C如p型金氧半區。光阻的形成方法可採用旋轉塗佈技術,且光阻的圖案化方法可採用可接受的光微影技術。一旦圖案化光阻,可在區域50C中進行n型雜質的佈植,且光阻可作為遮罩以實質上避免n型雜質佈植至區域50B如n型金氧半區中。n型雜質可為磷、砷、或類似物,其佈植至區域中的濃度可小於或等於1018 cm-3 ,比如介於約1017 cm-3 至約1018 cm-3 之間。在佈植之後可移除光阻,且移除方法可為可接受的灰化製程。在佈植區域50C之後,可形成光阻於區域50C中的鰭狀物58與淺溝槽隔離區56上。圖案化光組以露出基板50的區域50B如n型金氧半區。光阻的形成方法可採用旋轉塗佈技術,且光阻的圖案化方法可採用可接受的光微影技術。一旦圖案化光阻,即可在區域50B中進行p型雜質佈植,且光阻可作為遮罩以實質上避免p型雜質佈植至區域50C如p型金氧半區中。p型雜質可為硼、二氟化硼、或類似物,其佈植至區域中的濃度可小於或等於1018 cm-3 ,比如介於約1017 cm-3 至約1018 cm-3 之間。在佈植之後可移除光阻,且移除方法可為可接受的灰化製程。在佈植區域50B與區域50C之後,可進行退火以活化佈植的p型及/或n型雜質。在一些實施例中,可在成長磊晶的鰭狀物時原位摻雜磊晶的鰭狀物之材料,因此可省略佈植製程。不過亦可搭配使用原位摻雜與佈植摻雜。
在圖6中,虛置介電層60形成於鰭狀物58上。舉例來說,虛置介電層60可為氧化矽、氮化矽、上述之組合、或類似物,且其形成方法可為依據可接受的技術所進行的沉積或熱成長。虛置閘極層62形成於虛置介電層60上,而遮罩層64形成於虛置閘極層62上。可沉積虛置閘極層62於虛置介電層60上,再以化學機械研磨等製程平坦化虛置閘極層62。虛置閘極層62可為導電材料,比如多晶矽、多晶矽鍺、金屬氮化物、金屬矽化物、金屬氧化物、或金屬。在一實施例中,可沉積非晶矽後再結晶以產生多晶矽。虛置閘極層62的沉積方法可為物理氣相沉積、化學氣相沉積、濺鍍沉積、或本技術領域中已知用於沉積導電材料的其他技術。虛置閘極層的組成可為其他材料,其於蝕刻隔離區時具有高蝕刻選擇性。遮罩層64可沉積於虛置閘極層62上。舉例來說,遮罩層64可包含氮化矽、氮氧化矽、或類似物。在此例中,形成單一的虛置閘極層62與單一的遮罩層64於整個區域50B與50C。在一些實施例中,可形成分開的虛置閘極層於區域50B與50C中,並可形成分開的遮罩層於區域50B與50C中。
圖7至19、21至23、與25至27係一些實施例中,形成鰭狀場效電晶體的中間步驟之剖視圖。圖7至9A、10至19、21至23、與25至27沿著圖1所示的參考剖面B-B,差別在於其具有多個鰭狀物及/或鰭狀場效電晶體。圖9B與9C沿著圖1所示的參考剖面C-C,差別在於具有多個鰭狀物及/或鰭狀場效電晶體。
圖7至18、21、22、與25至27係一或多個鰭狀物58的區域58B與58C的圖式。區域58B與58C可在相同的鰭狀物58或不同的鰭狀物58中。形成於不同區域58B與58C中的裝置可具有不同的臨界電壓。
在圖7中,可採用可接受的光微影與蝕刻技術圖案化遮罩層64,以形成遮罩74。接著可由可接受的蝕刻技術,將遮罩74的圖案分別轉移至虛置閘極層62與虛置介電層60,以形成虛置閘極72與虛置閘極介電層70。虛置閘極72與虛置閘極介電層70覆蓋鰭狀物58的個別通道區。遮罩74的圖案可用於使相鄰的每一虛置閘極彼此物理分隔。虛置閘極72的縱向亦可實質上垂直於個別磊晶鰭狀物的縱向。
在圖8中,可形成閘極密封間隔物80於虛置閘極72及/或鰭狀物58的露出表面上。熱氧化或沉積後進行非等向蝕刻,可形成閘極密封間隔物80。在一些實施例中,閘極密封間隔物80的組成可為氮化物如氮化矽、氮氧化矽、碳化矽、碳氮化矽、類似物、或上述之組合。閘極密封間隔物80可密封後續形成的閘極堆疊之側壁,且可作為額外的閘極間隔物層。
此外,可進行輕摻雜源極/汲極區82所用的佈植。在不同裝置型態的實施例中,與圖5所述的佈植類似,可形成遮罩如光阻於區域50B上並露出區域50C,並佈植合適型態(如n型或p型)的雜質至區域50C中露出的鰭狀物58中。接著可移除遮罩。接著可形成遮罩如光阻於區域50C上並露出區域50B,且可佈植合適型態的雜質至區域50B中露出的鰭狀物58中。接著可移除遮罩。n型雜質可為任何前述的n型雜質,而p型雜質可為任何前述的p型雜質。輕摻雜源極/汲極區的雜質濃度可介於約1015 cm-3 至約1016 cm-3 之間。退火可用於活化佈植的雜質。
此外,閘極間隔物84沿著虛置閘極72的側壁形成於閘極密封間隔物80上,並形成於輕摻雜源極/汲極區82上。閘極間隔物84的形成方法可為順應性地沉積材料,之後非等向蝕刻材料。閘極間隔物84的材廖可為氮化矽、碳氮化矽、上述之組合、或類似物。蝕刻可對閘極間隔物84的材料具有選擇性,因此在形成閘極間隔物84時不會蝕刻輕摻雜源極/汲極區82。
在圖9A、9B、與9C中,磊晶的源極/汲極區86形成於鰭狀物58中。磊晶的源極/汲極區86形成於鰭狀物58中,使每一虛置閘極72位於每一對相鄰的磊晶的源極/汲極區86之間。在一些實施例中,磊晶的源極/汲極區86可延伸穿過輕摻雜源極/汲極區82。在一些實施例中,閘極密封間隔物80與閘極間隔物84可用於使磊晶的源極/汲極區86與虛置閘極72分開一段合適的橫向距離,使最終鰭狀場效電晶體中磊晶的源極/汲極區86與後續形成的閘極不會短路。
區域50B(如n型金氧半區)中的磊晶的源極/汲極區86,其形成方法如下。可遮罩區域50C(如p型金氧半區),並蝕刻區域50B中的鰭狀物58的源極/汲極區,以形成凹陷於鰭狀物58中。接著可磊晶成長區域50B中的磊晶的源極/汲極區86於凹陷中。磊晶的源極/汲極區86可包含任何可接受的材料,比如適用於n型鰭狀場效電晶體的材料。舉例來說,若鰭狀物58為矽,則區域50B中的磊晶的源極/汲極區86可包含矽、碳化矽、碳磷化矽、磷化矽、或類似物。區域50B中的磊晶的源極/汲極區86可具有自鰭狀物58的個別表面隆起的表面,且可具有晶面。
區域50C如p型金氧半區中的磊晶的源極/汲極區86之形成方法如下。可遮罩區域50B如n型金氧半區,並蝕刻區域50C中的鰭狀物58的源極/汲極區以形成凹陷於鰭狀物58中。接著可磊晶成長區域50C中的磊晶的源極/汲極區86於凹陷中。磊晶的源極/汲極區86可包含任何可接受的材料,比如適用於p型鰭狀場效電晶體的材料。舉例來說,若鰭狀物58為矽,則區域50C中的磊晶的源極/汲極區86可包含矽鍺、硼化矽鍺、鍺、鍺錫、或類似物。區域50C中的磊晶的源極/汲極區86亦可具有自鰭狀物58的個別表面隆起的表面,且可具有晶面。
可在成長磊晶的源極/汲極區86時進行原位摻雜以形成源極/汲極區。磊晶的源極/汲極區86與個別的輕摻雜源極/汲極區82可具有相同的摻雜型態,且可摻雜與輕摻雜源極/汲極區82相同或不同的摻質。磊晶的源極/汲極區86的雜質濃度可介於約1019 cm-3 至約1021 cm-3 之間。源極/汲極區所用的n型及/或p型雜質可為前述的任何雜質。由於在成長磊晶的源極/汲極區86時進行原位摻雜,因此不佈植摻雜磊晶的源極/汲極區。然而一些實施例中,輕摻雜源極/汲極區82的摻雜輪廓與濃度可與佈植摻雜所產生的磊晶的源極/汲極區86的摻雜輪廓與濃度類似。改善輕摻雜源極/汲極區82的摻雜輪廓與濃度,即可改善最終半導體裝置的效能與可信度。
用於形成磊晶的源極/汲極區86於區域50B與區域50C中的磊晶製程,造成磊晶的源極/汲極區的上表面所具有的晶面橫向地延伸超出鰭狀物58的側壁。在一些實施例中,這些晶面使相相同的鰭狀場效電晶體區之相鄰的磊晶的源極/汲極區86合併,如圖9B所示的實施例。在其他實施例中,完成磊晶製程之後的相鄰的磊晶的源極/汲極區86維持分開,如圖9C所示的實施例。
在圖10中,層間介電層90沉積於鰭狀物58上。層間介電層90的組成可為介電材料或半導體材料,且其沉積方法可為任何合適方法如化學氣相沉積、電漿增強化學氣相沉積、或可流動的化學氣相沉積。介電材料可包含磷矽酸鹽玻璃、硼矽酸鹽玻璃、硼磷矽酸鹽玻璃、未摻雜的矽酸鹽玻璃、或類似物。半導體材料可包含非晶矽、矽鍺(Six Ge1-x ,x可介於近似0至1之間)、純鍺、或類似物。亦可採用任何可接受的製程所形成的其他絕緣材料或半導體材料。在一些實施例中,接點蝕刻停止層(未圖示)位於層間介電層90與磊晶的源極/汲極區86、閘極間隔物84、閘極密封間隔物80、及遮罩74之間。
在圖11中,可進行平坦化製程如化學機械研磨,使層間介電層90的上表面與虛置閘極72的上表面齊平。平坦化製程移移除虛置閘極72上的遮罩74,以及沿著遮罩74之側壁的閘極密封間隔物80與閘極間隔物84的部份。在平坦化製程之後,虛置閘極72、閘極密封間隔物80、閘極間隔物84、與層間介電層90的上表面齊平。綜上所述,虛置閘極72的上表面自層間介電層90露出。
在圖12中,以蝕刻步驟移除虛置閘極72與直接位於露出的虛置閘極72下的虛置閘極介電層70的部份,即形成凹陷92。在一些實施例中,以非等向的乾蝕刻製程移除虛置閘極72。舉例來說,蝕刻製程可包含乾蝕刻製程,其採用的反應氣體可選擇性地蝕刻虛置閘極72而不蝕刻層間介電層90、閘極間隔物84、或閘極密封間隔物80。每一凹陷92露出個別鰭狀物58的通道區。每一通道區位於相鄰的一對磊晶的源極/汲極區86之間。在蝕刻虛置閘極72的移除製程時,虛置閘極介電層70可作為蝕刻停止層。在移除虛置閘極72之後,接著可移除虛置閘極介電層70。
在圖13中,界面層100形成於凹陷92中。界面層100順應性地形成於鰭狀物58上,因此界面層100襯墊凹陷92的下表面與側壁。界面層100亦可覆蓋層間介電層90的上表面。在一些實施例中,界面層100為鰭狀物58的材料之氧化物,且其形成方法可為氧化凹陷92中的鰭狀物58。界面層100的形成方法亦可為沉積製程如化學氣相沉積製程、物理氣相沉積製程、原子層沉積製程、或類似製程。
此外,閘極介電層102形成於界面層100上。閘極介電層102可順應性地沉積於凹陷92中,比如形成於凹陷92中的界面層100的側壁上及鰭狀物58的上表面與側壁上。閘極介電層102亦可沿著層間介電層90的上表面。在一些實施例中,閘極介電層102為高介電常數的介電材料,其介電常數大於約7.0,且可包含鉿、鋁、鋯、鑭、鎂、鋇、鈦、鉛、或上述之組合的金屬氧化物或矽酸鹽。閘極介電層102的形成方法可包含分子束沉積、原子層沉積、電漿增強化學氣相沉積、或類似方法。
此外,摻雜層104形成於閘極介電層102上。摻雜層104的組成可為偶極誘發元素如鑭、鋁、鈧、釕、鋯、鉺、鎂、鍶、或上述之組合的氧化物、氮化物、或碳化物。摻雜層104的形成方法可為物理氣相沉積、化學氣相沉積、原子層沉積、或其他合適的沉積方法。在特定實施例中,摻雜層104的組成可為鑭的氧化物,比如氧化鑭。
在圖14中,遮罩層106形成於摻雜層104上。遮罩層106的組成可為硬遮罩材料,且其可包含金屬及/或介電層。在遮罩層106包括金屬的實施例中,其組成可為氮化鈦、鈦、氮化鉭、鉭、氧化鋁、或類似物。在遮罩層106包含介電層的實施例中,其組成可為氧化物、氮化物、或類似物。遮罩層106的形成方法可為物理氣相沉積、射頻物理氣相沉積、原子層沉積、或類似方法。
此外,光阻108形成於遮罩層106上。光阻108可為單層光阻、三層光阻、或類似物。在一實施例中,光阻108為三層光阻,其包括底層、中間層、與上側層(未圖示)。上側層的組成可為光敏材料如光阻,其可包含有機材料。底層可為底抗反射塗層。中間層的組成可為或可包含無機材料,其可為氮化物如氮化矽、氮氧化物如氮氧化矽、氧化物如氧化矽、或類似物。中間層相對於上側層與底層,具有高蝕刻選擇性。如此一來,上側層作為圖案化中間層所用的蝕刻遮罩,而中間層作為圖案化底層所用的蝕刻遮罩。
在形成光阻108之後,採用任何合適的光微影技術圖案化光阻108,以形成開口110露出區域58C中的凹陷92。舉例來說,蝕刻製程包括蝕刻劑如四氟化碳、氟化甲烷、氫氣、氮氣、氬氣、類似物、或上述之組合,其可用於移除光阻108的部份而實質上不損傷遮罩層106。在所示實施例中,開口110形成於鰭狀物58的區域58C中。形成於被覆蓋的區域58B中的裝置閘極可具有調整的功函數。如此一來,區域58B與58C中的最終裝置可具有不同臨界電壓。
在圖15中,進行多重蝕刻製程以將光阻108的圖案轉移至摻雜層104,進而延伸開口110穿過摻雜層104。如此一來,可保留區域58B中的摻雜層104之部份,即金屬閘極功函數需調整處。在一實施例中,進行一或多道濕蝕刻製程,其採用蝕刻劑如氨與過氧化物的混合物、硫酸與過氧化物的混合物、氯化氫與過氧化物的混合物、過氧化氫、臭氧、或類似物。一實施例中進行第一蝕刻製程,其採用氯化氫與過氧化物的混合物,以將光阻108的圖案轉移至遮罩層106。進行第二蝕刻製程,其採用氨與過氧化物的混合物以將遮罩層106的圖案轉移至摻雜層104。
在圖16中,移除遮罩層106與光阻108的保留部份以露出區域58B中的凹陷92。光阻108的保留部份之移除方法可為可接受的灰化製程,而遮罩層106的保留部份的移除方法可為重複第一蝕刻製程(比如以氨與過氧化物的混合物蝕刻遮罩層106)。
在圖17中,進行退火製程以驅使摻雜層104中的偶極誘發元素進入並穿過區域58B中的閘極介電層102的部份。退火製程的溫度可介於約550℃至約1050℃之間。退火製程可歷時小於約5分鐘,且退火時間可取決於退火溫度。可以理解的是,可進行其他溫度與其他時間的其他退火製程。在退火製程之後,移除攙雜層的多餘部份。可重複第二蝕刻製程以完成移除製程,比如採用氯化氫與過氧化物的混合物蝕刻摻雜層104。
在退火製程時,可驅使摻雜層104中的一些偶極誘發元素至閘極介電層102中。因此被摻雜層104覆蓋的閘極介電層102的部份(比如在區域58B中)可摻雜偶極誘發元素。如此一來,退火製程之後的區域58B中的閘極介電層102的第一部份102A之偶極誘發元素濃度,高於區域58C中的閘極介電層102的第二部份102B之偶極誘發元素濃度。
此外,退火製程時可驅使摻雜層104的一些偶極誘發元素穿過閘極介電層102,使偶極誘發元素形成於區域58B中的閘極介電層102與界面層100之間的界面。偶極誘發元素可產生偶極界面於界面層100及閘極介電層102之間,其可調整後續形成的金屬閘極之有效功函數。
在圖18中,犧牲層112形成於閘極介電層102上。犧牲層112為後續製程中移除的犧牲層。雖然進行蝕刻製程移除摻雜層104,但蝕刻製程之後仍保留摻雜層104的殘留部份。具體而言,偶極誘發元素的一些粒子(如殘留物或原子)可保留於區域58B中的閘極介電層102之上表面。犧牲層112的材料係與偶極誘發元素反應(鍵結或作用)的材料。犧牲層112的組成可為鈦鋁、氮化鈦、氮化鈦鋁、摻雜矽的氮化鈦、氮化鉭、或與偶極誘發元素鍵結或作用的另一材料,且其形成方法可為沉積製程如原子層沉積或化學氣相沉積。在一實施例中,犧牲層112的厚度介於約10Å至約30Å之間。
圖19係圖18所示的區域200的細節圖。如圖所示,可驅使偶極誘發元素114穿過區域58B中的閘極介電層102,使其位於區域58B中的閘極介電層102與界面層100的界面。此外,犧牲層112接觸閘極介電層102的部份,與閘極介電層102的上表面之偶極誘發元素114之殘留粒子鍵結或作用。
圖20係與鰭狀物58之通道區隔有不同距離處的偶極誘發元素濃度圖。如圖所示,整個界面層100的濃度增加(如逐漸增加)至第一距離D1 的第一濃度C1 。閘極介電層102的一部份的濃度減少(如逐漸減少)至第二距離D2 的第二濃度C2 ,而閘極介電層102的其餘部份的濃度再增加至第三距離D3 的第三濃度C3 。最後,整個犧牲層112的濃度減少至第四距離D4 的濃度。
在圖21中,移除犧牲層112。犧牲層112可由可接受的蝕刻製程移除。在一實施例中,犧牲層112可由採用氨與過氧化物的混合物的濕蝕刻製程所移除。氨與過氧化物的混合物可包含氫氧化銨、過氧化氫、與水,其比例可介於約1:1:3至1:1:100之間。水量可取決於濕蝕刻的溫度。濕蝕刻的溫度可介於約30℃至約80℃之間,且可歷時約10秒至約500秒之間。應理解的是,可採用其他蝕刻製程參數如蝕刻劑、蝕刻劑比例、溫度、及/或時間。可一同移除偶極誘發元素114的一些殘留粒子與犧牲層112。具體而言,可移除犧牲層112與閘極介電層102的界面之殘留粒子。如此一來,在移除犧牲層112之後,可消除或至少減少殘留於閘極介電層102之上表面的偶極誘發元素114。減少殘留物的狀況可發生在區域58B與58C中。
在圖22中,蓋層116形成於閘極介電層102上。蓋層116的組成可與犧牲層112的材料類似,且其沉積製程可與形成犧牲層112的製程類似。在一實施例中,蓋層116的厚度介於約10Å至約20Å之間。在沉積之後,可視情況退火蓋層116。在退火蓋層116的實施例中,可在沉積蓋層116時進行原位退火製程,比如在相同的腔室中進行沉積與退火,且沉積與退火製程之間不破真空。退火製程的溫度可介於約550℃至約1050℃之間。退火製程可歷時小於約5分鐘,且退火時間可取決於退火溫度。
圖23係圖22所示之區域200的細節圖。如圖所示,移除閘極介電層102之上表面上的偶極誘發元素114的殘留粒子,並保留閘極介電層102與界面層100的界面之偶極誘發元素114的粒子。偶極誘發元素114存在於閘極介電層102與蓋層116的界面,可能會降低閘極介電層102的崩潰電壓。如此一來,移除閘極介電層102之頂部上殘留的偶極誘發元素114,可改善後續形成的鰭狀場效電晶體的可信度。
圖24係移除犧牲層之後,與鰭狀物58之通道區隔有不同距離處的偶極誘發元素濃度圖。如圖所示,整個界面層100的濃度增加(如逐漸增加)至第一距離D1 的第一濃度C1 。整個閘極介電層102的濃度減少(如逐漸減少)至第二距離D2 的第四濃度C4 。整個蓋層116至第三距離D3 的濃度小於整個閘極介電層102的濃度,且可減少至0或近似於0的等級。
在圖25中,閘極層118沉積於蓋層116上及凹陷92中。閘極層118可為含金屬材料如氮化鈦、氮化鉭、碳化鉭、鈷、釕、鋁、上述之組合、或上述之多層。雖然圖式中的閘極層118為單層,但可沉積任何數目的功函數調整層於凹陷92中。
在圖26中,可進行平坦化製程如化學機械研磨,以移除界面層100、閘極介電層102、蓋層116、與閘極層118位於層間介電層90之上表面上的多餘部份。閘極層118的保留部份可形成閘極120,其可與其他層狀物結合形成最終鰭狀場效電晶體的置換閘極。界面層100、閘極介電層102、蓋層116、與閘極120可一起稱作最終鰭狀場效電晶體的閘極或閘極堆疊。閘極堆疊可沿著鰭狀物58的通道區側壁延伸。
在圖27中,層間介電層130形成於閘極堆疊與層間介電層90上。在一些實施例中,層間介電層130為可流動的化學氣相沉積法所形成的可流動膜。在一些實施例中,層間介電層130的組成為介電材料如磷矽酸鹽玻璃、硼矽酸鹽玻璃、硼磷矽酸鹽玻璃、未摻雜的矽酸鹽玻璃、或類似物,且其沉積方法可為任何合適方法如化學氣相沉積或電漿增強化學氣相沉積。
形成源極/汲極接點132與閘極接點134穿過層間介電層90與130。源極/汲極接點132所用的開口穿過層間介電層90與130,而閘極接點134所用的開口穿過層間介電層130。開口的形成方法可採用可接受的光微影與蝕刻技術。可形成襯墊層(如擴散阻障層、黏著層、或類似物)與導電材料於開口中。襯墊層可包含鈦、氮化鈦、鉭、氮化鉭、或類似物。導電材料可為銅、銅合金、銀、金、鎢、鈷、鋁、鎳、或類似物。可進行平坦化製程如化學機械研磨,以自層間介電層130的表面移除多餘材料。保留的襯墊層與導電材料可形成源極/汲極接點132與閘極接點134於開口中。可進行退火製程以形成矽化物於磊晶的源極/汲極區86與源極/汲極接點132之間。源極/汲極接點132物理與電性耦接至磊晶的源極/汲極區86,而閘極接點134物理與電性耦接至閘極120。可在不同製程或相同製程中形成源極/汲極接點132與閘極接點134。雖然圖式中的源極/汲極接點132與閘極接點134形成於相同剖面中,但應理解源極/汲極接點132與閘極接點134可各自形成於不同剖面中,以避免接點短路。
圖28至30係一些實施例中,形成鰭狀場效電晶體的中間階段之剖視圖。圖28至30顯示的實施例中,在不同區域中重複形成摻雜層104與驅使偶極誘發元素至閘極介電層102中的製程。不同區域中可視情況具有不同的摻質濃度。可在移除犧牲層112的製程(見圖21)之後,並在形成蓋層116的製程(見圖22)之前,進行圖28至30所示的製程。
在圖28中,第二摻雜層138形成於閘極介電層102上。第二摻雜層138可與摻雜層104類似。第二摻雜層138的厚度可不同於摻雜層104的厚度,使閘極介電層102的不同區摻雜不同濃度的偶極誘發元素。摻雜濃度可改變鰭狀場效電晶體裝置的臨界電壓。第二遮罩層140形成於第二摻雜層138上。第二遮罩層140可與遮罩層106類似。光阻142形成於第二遮罩層140上。光阻142可與光阻108類似,且可圖案化以具有開口144露出區域58B中的凹陷92。接著可進行多重蝕刻製程,以將光阻142的圖案轉移至第二摻雜層138。蝕刻製程可與圖案化摻雜層104所用的蝕刻製程類似。
在圖29中,進行退火製程以將第二摻雜層138的偶極誘發元素驅入穿過區域58C中的閘極介電層102的部份。第二摻雜層138覆蓋閘極介電層102的部份(如區域58C中的閘極介電層102),因此閘極介電層102的部份可摻雜偶極誘發元素。在退火製程之後,摻雜區域58C中的閘極介電層102的第二部份102B,使其與區域58C中的閘極介電層102的第一部份102A具有不同的偶極誘發元素濃度。
在圖30中,形成第二犧牲層146於閘極介電層102上。第二犧牲層146可與犧牲層112類似。接著移除第二犧牲層146,且其移除方法可與移除犧牲層112的方式類似。在移除第二犧牲層146之後,可繼續形成並處理蓋層116如上述,以形成鰭狀場效電晶體裝置。
應理解的是,摻雜參數可變。此外應理解可不對一些區域進行偶極摻雜。舉例來說,第一區(如區域50B)中的閘極介電層102可具有偶極誘發元素的第一摻質濃度與第一臨界電壓,第二區(如區域50C)中的閘極介電層102可具有偶極誘發元素的第二摻質濃度與第二臨界電壓,而第三區(未圖示)中的閘極介電層102不具有偶極誘發元素且具有第三臨界電壓。此外應理解不同區域可在相同鰭狀物58中(如圖示)或不同鰭狀物58中(未圖示)。在不同區域位於不同鰭狀物58中的實施例中,摻雜的閘極介電層102可越過多個鰭狀物58。
實施例可達多種優點。驅使偶極誘發元素至閘極介電層102中,可形成偶極界面於界面層100與閘極介電層102之間,其可調整閘極120的有效功函數,使不同區域58B與58C中的閘極120具有不同臨界電壓。此外,當閘極介電層102摻雜偶極誘發元素時,閘極介電層102的崩潰電壓會降低。形成犧牲層112並移除犧牲層112的作法,可減少閘極介電層102與蓋層116的界面殘留的偶極誘發元素量,其可改善閘極介電層102與蓋層116之間的界面,增加閘極介電層的崩潰電壓(並因此增加閘極介電層的壽命)、並改善後續形成的鰭狀場效電晶體的可信度。最後形成並移除犧牲層112可減少閘極介電層102與蓋層116的界面殘留的偶極誘發元素量,且實質上不減少界面層100與閘極介電層102的界面殘留的偶極誘發元素量。
在一實施例中,裝置包括:第一鰭狀物,自基板延伸;第一界面層,位於第一鰭狀物的第一通道區上,第一界面層摻雜偶極誘發元素,且第一界面層中的偶極誘發元素之第一濃度沿著自第一鰭狀物向外延伸的第一方向增加;第一閘極介電層,位於第一界面層上,第一閘極介電層摻雜偶極誘發元素,且第一閘極介電層中的偶極誘發元素之第二濃度沿著自第一鰭狀物向外延伸的第一方向減少;第一蓋層,位於第一閘極介電層上;以及第一閘極,位於第一蓋層上。
在裝置的一些實施例中,第一蓋層實質上不含偶極誘發元素。在裝置的一些實施例中,第一蓋層摻雜偶極誘發元素,且第一蓋層中的偶極誘發元素的第三濃度低於第一閘極介電層中的偶極誘發元素的第二濃度。在一些實施例中,裝置更包括:第二鰭狀物,自基板延伸;第二界面層,位於第二鰭狀物的第二通道區上,第二界面層摻雜偶極誘發元素,且第二界面層中的偶極誘發元素的第三濃度沿著自第二鰭狀物向外延伸的第二方向增加,且第三濃度的增加率與第一濃度的增加率不同;第二閘極介電層,位於第二界面層上;第二蓋層,位於第二閘極介電層上;以及第二閘極,位於第二蓋層上。在一些實施例中,裝置更包括:第二鰭狀物,自基板延伸;第二界面層,位於第二鰭狀物的第二通道區上,第二界面層實質上不含偶極誘發元素;第二閘極介電層,位於第二界面層上,且第二閘極介電層實質上不含偶極誘發元素;第二蓋層,位於第二閘極介電層上;以及第二閘極,位於第二蓋層上。在裝置的一些實施例中,偶極誘發元素為鑭。
在一實施例中,方法包括:形成閘極介電層於界面層上;形成摻雜層於閘極介電層上,且摻雜層包括偶極誘發元素;退火摻雜層以驅使偶極誘發元素穿過閘極介電層至閘極介電層與界面層相鄰的第一側;移除摻雜層;形成犧牲層於閘極介電層上,且閘極介電層跟犧牲層相鄰的第二側之殘留的偶極誘發元素與犧牲層的材料反應;移除犧牲層;形成蓋層於閘極介電層上;以及形成閘極層於蓋層上。
在方法的一些實施例中,摻雜層為鑭的氧化物、氮化物、或碳化物。在方法的一些實施例中,犧牲層與蓋層的組成材料相同。在方法的一些實施例中,形成犧牲層的步驟包括:沉積第一氮化鈦矽層於閘極介電層上。在方法的一些實施例中,移除犧牲層的步驟包括:以氨與過氧化物的混合物蝕刻第一氮化鈦矽層,其中氨與過氧化物的混合物包括氫氧化銨、過氧化氫、與水,其比例介於約1:1:3至約1:1:100之間。在方法的一些實施例中,蝕刻第一氮化鈦矽層的時間介於約10秒至約500秒之間,而溫度介於約30℃至約80℃之間。在方法的一些實施例中,第一氮化鈦矽層的沉積厚度介於約10Å至約30Å之間。在方法的一些實施例中,形成蓋層的步驟包括:沉積第二氮化鈦矽層於閘極介電層上,且第二氮化鈦矽層的厚度介於約10Å至約20Å之間。在一些實施例中,方法更包括:退火第二氮化鈦矽層,且退火溫度介於約550℃至約1050℃之間,在相同腔室中沉積與退火第二氮化鈦矽層,且在沉積與退火第二氮化鈦矽層之間不破真空。
在一實施例中,方法包括:形成界面層於第一通道區與第二通道區上;形成閘極介電層於界面層上;形成偶極界面於第一通道區上的界面層與閘極介電層的部份之間;形成犧牲層於閘極介電層上,而與犧牲層相鄰的閘極介電層的一側之殘留的偶極誘發元素與犧牲層的材料反應;移除犧牲層與殘留的偶極誘發元素;形成蓋層於閘極介電層上;以及形成第一閘極與第二閘極於蓋層上,第一閘極位於第一通道區上,而第二閘極位於第二通道區上。
在方法的一些實施例中,在相同的濕蝕刻製程中移除犧牲層與殘留的偶極誘發元素。在方法的一些實施例中,第一通道區與第二通道區在相同的半導體鰭狀物中。在方法的一些實施例中,第一通道區與第二通道區在不同的半導體鰭狀物中。在方法的一些實施例中,第一閘極與第二閘極具有不同功函數。
上述實施例之特徵有利於本技術領域中具有通常知識者理解本發明。本技術領域中具有通常知識者應理解可採用本發明作基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本發明精神與範疇,並可在未脫離本發明之精神與範疇的前提下進行改變、替換、或更動。
A-A、B-B、C-C:參考剖面 C0:通道區的濃度 C1:第一濃度 C2:第二濃度 C3:第三濃度 C4:第四濃度 D0:無距離 D1:第一距離 D2:第二距離 D3:第三距離 D4:第四距離 50:基板 50B、50C、58B、58C:區域 52、58:鰭狀物 54:絕緣材料 56:淺溝槽隔離區 60:虛置介電層 62:虛置閘極層 64、106:遮罩層 70:虛置閘極介電層 72:虛置閘極 74:遮罩 80:閘極密封間隔物 82:輕摻雜源極/汲極區 84:閘極間隔物 86:源極/汲極區 90、130:層間介電層 92:凹陷 100:界面層 102:閘極介電層 102A:第一部份 102B:第二部份 104:摻雜層 108、142:光阻 110:開口 112:犧牲層 114:偶極誘發元素 116:蓋層 118:閘極層 120:閘極 132:源極/汲極接點 134:閘極接點 138:第二摻雜層 140:第二遮罩層 144:開口 146:第二犧牲層 200:區域
圖1係一些實施例中,鰭狀場效電晶體的三維圖。 圖2、3、4、5、6、7、8、9A、9B、9C、10、11、12、13、14、15、16、17、18、與19係一些實施例中,形成鰭狀場效電晶體的中間階段之剖視圖。 圖20係一些實施例中,與通道區隔有不同距離之層狀物的摻雜濃度圖。 圖21、22、與23係一些實施例中,形成鰭狀場效電晶體的中間階段之剖視圖。 圖24係一些實施例中,與通道區隔有不同距離之層狀物的摻雜濃度圖。 圖25、26、與27係一些實施例中,形成鰭狀場效電晶體的中間階段之剖視圖。 圖28、29、與30係一些實施例中,形成鰭狀場效電晶體的中間階段之剖視圖。
D0:無距離
D1:第一距離
D2:第二距離
D3:第三距離
100:界面層
102:閘極介電層
114:偶極誘發元素
116:蓋層

Claims (20)

  1. 一種半導體裝置,包括: 一第一鰭狀物,自一基板延伸; 一第一界面層,位於該第一鰭狀物的一第一通道區上,該第一界面層摻雜一偶極誘發元素,且該第一界面層中的該偶極誘發元素之一第一濃度沿著自該第一鰭狀物向外延伸的第一方向增加; 一第一閘極介電層,位於該第一界面層上,該第一閘極介電層摻雜該偶極誘發元素,且該第一閘極介電層中的該偶極誘發元素之一第二濃度沿著自該第一鰭狀物向外延伸的第一方向減少; 一第一蓋層,位於該第一閘極介電層上;以及 一第一閘極,位於該第一蓋層上。
  2. 如申請專利範圍第1項所述之半導體裝置,其中該第一蓋層實質上不含該偶極誘發元素。
  3. 如申請專利範圍第1項所述之半導體裝置,其中該第一蓋層摻雜該偶極誘發元素,且該第一蓋層中的該偶極誘發元素的一第三濃度低於該第一閘極介電層中的該偶極誘發元素的該第二濃度。
  4. 如申請專利範圍第1項所述之半導體裝置,更包括: 一第二鰭狀物,自該基板延伸; 一第二界面層,位於該第二鰭狀物的一第二通道區上,該第二界面層摻雜該偶極誘發元素,且該第二界面層中的該偶極誘發元素的一第三濃度沿著自該第二鰭狀物向外延伸的第二方向增加,且該第三濃度的增加率與該第一濃度的增加率不同; 一第二閘極介電層,位於該第二界面層上; 一第二蓋層,位於該第二閘極介電層上;以及 一第二閘極,位於該第二蓋層上。
  5. 如申請專利範圍第1項所述之半導體裝置,更包括: 一第二鰭狀物,自該基板延伸; 一第二界面層,位於該第二鰭狀物的一第二通道區上,該第二界面層實質上不含該偶極誘發元素; 一第二閘極介電層,位於該第二界面層上,且該第二閘極介電層實質上不含該偶極誘發元素; 一第二蓋層,位於該第二閘極介電層上;以及 一第二閘極,位於該第二蓋層上。
  6. 如申請專利範圍第1項所述之半導體裝置,其中該偶極誘發元素為鑭。
  7. 一種半導體裝置的形成方法,包括: 形成一閘極介電層於一界面層上; 形成一摻雜層於該閘極介電層上,且該摻雜層包括一偶極誘發元素; 退火該摻雜層以驅使該偶極誘發元素穿過該閘極介電層至該閘極介電層與該界面層相鄰的第一側; 移除該摻雜層; 形成一犧牲層於該閘極介電層上,且該閘極介電層跟該犧牲層相鄰的第二側之殘留的該偶極誘發元素與該犧牲層的材料反應; 移除該犧牲層; 形成一蓋層於該閘極介電層上;以及 形成一閘極層於該蓋層上。
  8. 如申請專利範圍第7項所述之半導體裝置的形成方法,其中該摻雜層為鑭的氧化物、氮化物、或碳化物。
  9. 如申請專利範圍第7項所述之半導體裝置的形成方法,其中該犧牲層與該蓋層的組成材料相同。
  10. 如申請專利範圍第7項所述之半導體裝置的形成方法,其中形成該犧牲層的步驟包括: 沉積一第一氮化鈦矽層於該閘極介電層上。
  11. 如申請專利範圍第10項所述之半導體裝置的形成方法,其中移除該犧牲層的步驟包括: 以氨與過氧化物的混合物蝕刻該第一氮化鈦矽層,其中氨與過氧化物的混合物包括氫氧化銨、過氧化氫、與水,其比例介於約1:1:3至約1:1:100之間。
  12. 如申請專利範圍第11項所述之半導體裝置的形成方法,其中蝕刻該第一氮化鈦矽層的時間介於約10秒至約500秒之間,而溫度介於約30℃至約80℃之間。
  13. 如申請專利範圍第10項所述之半導體裝置的形成方法,其中該第一氮化鈦矽層的沉積厚度介於約10Å至約30Å之間。
  14. 如申請專利範圍第7項所述之半導體裝置的形成方法,其中形成該蓋層的步驟包括: 沉積一第二氮化鈦矽層於該閘極介電層上,且該第二氮化鈦矽層的厚度介於約10Å至約20Å之間。
  15. 如申請專利範圍第14項所述之半導體裝置的形成方法,更包括: 退火該第二氮化鈦矽層,且退火溫度介於約550℃至約1050℃之間,在相同腔室中沉積與退火該第二氮化鈦矽層,且在沉積與退火該第二氮化鈦矽層之間不破真空。
  16. 一種半導體裝置的形成方法,包括: 形成一界面層於一第一通道區與一第二通道區上; 形成一閘極介電層於該界面層上; 形成一偶極界面於該第一通道區上的該界面層與該閘極介電層的部份之間; 形成一犧牲層於該閘極介電層上,而與該犧牲層相鄰的該閘極介電層的一側之殘留的該偶極誘發元素與該犧牲層的材料反應; 移除該犧牲層與殘留的該偶極誘發元素; 形成一蓋層於該閘極介電層上;以及 形成一第一閘極與一第二閘極於該蓋層上,該第一閘極位於該第一通道區上,而該第二閘極位於該第二通道區上。
  17. 如申請專利範圍第16項所述之半導體裝置的形成方法,其中在相同的濕蝕刻製程中移除該犧牲層與殘留的該偶極誘發元素。
  18. 如申請專利範圍第16項所述之半導體裝置的形成方法,其中該第一通道區與該第二通道區在相同的半導體鰭狀物中。
  19. 如申請專利範圍第16項所述之半導體裝置的形成方法,其中該第一通道區與該第二通道區在不同的半導體鰭狀物中。
  20. 如申請專利範圍第16項所述之半導體裝置的形成方法,其中該第一閘極與該第二閘極具有不同功函數。
TW108128686A 2018-08-15 2019-08-13 半導體裝置與其形成方法 TWI701743B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/998,780 2018-08-15
US15/998,780 US10304835B1 (en) 2018-08-15 2018-08-15 Semiconductor device and method

Publications (2)

Publication Number Publication Date
TW202013519A true TW202013519A (zh) 2020-04-01
TWI701743B TWI701743B (zh) 2020-08-11

Family

ID=66636119

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108128686A TWI701743B (zh) 2018-08-15 2019-08-13 半導體裝置與其形成方法

Country Status (3)

Country Link
US (5) US10304835B1 (zh)
CN (1) CN110838488B (zh)
TW (1) TWI701743B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI776496B (zh) * 2020-06-05 2022-09-01 台灣積體電路製造股份有限公司 半導體裝置及其形成方法
TWI777390B (zh) * 2020-05-28 2022-09-11 台灣積體電路製造股份有限公司 半導體元件及其形成方法
US11699735B2 (en) 2020-06-05 2023-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure and method
US11784052B2 (en) 2020-05-28 2023-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Dipole-engineered high-k gate dielectric and method forming same

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10497571B2 (en) 2018-04-27 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Device having work function metal stack and method of forming the same
US10304835B1 (en) * 2018-08-15 2019-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11257921B2 (en) * 2019-04-18 2022-02-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11342188B2 (en) * 2019-09-17 2022-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for doping high-k metal gates for tuning threshold voltages
US11152264B2 (en) * 2020-01-08 2021-10-19 International Business Machines Corporation Multi-Vt scheme with same dipole thickness for gate-all-around transistors
US11610822B2 (en) * 2020-01-31 2023-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Structures for tuning threshold voltage
US11784187B2 (en) * 2020-02-27 2023-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor devices and semiconductor devices
US20210305258A1 (en) * 2020-03-31 2021-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-Layer High-K Gate Dielectric Structure
US11349009B2 (en) 2020-06-15 2022-05-31 Taiwan Semiconductor Manufacturing Co., Ltd. High-k gate dielectric
CN113972273A (zh) * 2020-07-24 2022-01-25 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US20220310457A1 (en) * 2021-03-26 2022-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. High-k dielectric materials with dipole layer
US20220328650A1 (en) * 2021-04-08 2022-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gates and methods of forming the same
CN113943920B (zh) * 2021-08-03 2024-04-26 国家电投集团科学技术研究院有限公司 硅异质结太阳电池中TCO薄膜和Cu种子层的制备方法
US11581416B1 (en) * 2021-08-19 2023-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures in semiconductor devices
US11908702B2 (en) * 2021-08-19 2024-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures in semiconductor devices
US11961895B2 (en) * 2021-09-08 2024-04-16 International Business Machines Corporation Gate stacks with multiple high-κ dielectric layers

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4455427B2 (ja) * 2005-06-29 2010-04-21 株式会社東芝 半導体装置及びその製造方法
CN102339858B (zh) * 2010-07-16 2013-09-04 中国科学院微电子研究所 p型半导体器件及其制造方法
US8987126B2 (en) * 2012-05-09 2015-03-24 GlobalFoundries, Inc. Integrated circuit and method for fabricating the same having a replacement gate structure
CN103855093B (zh) * 2012-11-30 2016-07-06 中国科学院微电子研究所 半导体器件及其制造方法
CN104377236B (zh) * 2013-08-16 2017-08-29 中国科学院微电子研究所 一种栅堆叠及其制造方法
KR102410919B1 (ko) * 2015-10-29 2022-06-21 에스케이하이닉스 주식회사 매립게이트구조를 구비한 반도체구조물 및 그 제조 방법, 그를 구비한 메모리셀
KR102327140B1 (ko) * 2015-11-30 2021-11-16 삼성전자주식회사 Otp 메모리 소자와 그 제조방법 및 그 메모리 소자를 포함한 전자 장치
CN107919323B (zh) * 2016-10-10 2021-06-08 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN108321198B (zh) * 2017-01-17 2021-06-08 株式会社东芝 半导体装置、电源电路、计算机和半导体装置的制造方法
US10304835B1 (en) * 2018-08-15 2019-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI777390B (zh) * 2020-05-28 2022-09-11 台灣積體電路製造股份有限公司 半導體元件及其形成方法
US11784052B2 (en) 2020-05-28 2023-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Dipole-engineered high-k gate dielectric and method forming same
TWI776496B (zh) * 2020-06-05 2022-09-01 台灣積體電路製造股份有限公司 半導體裝置及其形成方法
US11699735B2 (en) 2020-06-05 2023-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure and method

Also Published As

Publication number Publication date
US11502080B2 (en) 2022-11-15
US20200119019A1 (en) 2020-04-16
US20230073400A1 (en) 2023-03-09
US10304835B1 (en) 2019-05-28
CN110838488A (zh) 2020-02-25
US10868013B2 (en) 2020-12-15
US10510756B1 (en) 2019-12-17
US20210134799A1 (en) 2021-05-06
TWI701743B (zh) 2020-08-11
CN110838488B (zh) 2022-04-26
US11855098B2 (en) 2023-12-26

Similar Documents

Publication Publication Date Title
TWI701743B (zh) 半導體裝置與其形成方法
US11315837B2 (en) Semiconductor device and method
TW202020949A (zh) 半導體裝置與其形成方法
KR102370299B1 (ko) 더미 핀들 및 그의 형성 방법들
KR20210098819A (ko) 트랜지스터 게이트 및 이의 형성 방법
TWI817153B (zh) 半導體裝置的形成方法
US20230093717A1 (en) Methods of Forming Semiconductor Devices
TW202314868A (zh) 製造半導體元件的方法
US11043576B2 (en) FinFET device and method
US11557518B2 (en) Gapfill structure and manufacturing methods thereof
US12002719B2 (en) Gapfill structure and manufacturing methods thereof
US12002715B2 (en) Semiconductor device and method
US20230008994A1 (en) Semiconductor device with dielectric layer and method of forming the same
US20200402807A1 (en) Semiconductor FinFET Device and Method
US20230008494A1 (en) Gate structures in transistor devices and methods of forming same
US20220384270A1 (en) Semiconductor Device and Method
TW202243030A (zh) 半導體裝置
TW202145300A (zh) 半導體裝置及其製造方法
TW202322399A (zh) 半導體裝置及其製造方法
TW202307966A (zh) 半導體裝置和製造方法