TWI538046B - 氣體輸送系統之塗覆方法 - Google Patents

氣體輸送系統之塗覆方法 Download PDF

Info

Publication number
TWI538046B
TWI538046B TW100110182A TW100110182A TWI538046B TW I538046 B TWI538046 B TW I538046B TW 100110182 A TW100110182 A TW 100110182A TW 100110182 A TW100110182 A TW 100110182A TW I538046 B TWI538046 B TW I538046B
Authority
TW
Taiwan
Prior art keywords
gas
coating
delivery system
gas passage
gas delivery
Prior art date
Application number
TW100110182A
Other languages
English (en)
Other versions
TW201209910A (en
Inventor
意恩 肯沃西
道恩 奧特卡
郝芳莉
李奧納多 夏普勒斯
杜益君
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201209910A publication Critical patent/TW201209910A/zh
Application granted granted Critical
Publication of TWI538046B publication Critical patent/TWI538046B/zh

Links

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F17STORING OR DISTRIBUTING GASES OR LIQUIDS
    • F17DPIPE-LINE SYSTEMS; PIPE-LINES
    • F17D5/00Protection or supervision of installations
    • F17D5/005Protection or supervision of installations of gas pipelines, e.g. alarm
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/30Processes for applying liquids or other fluent materials performed by gravity only, i.e. flow coating
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D7/00Processes, other than flocking, specially adapted for applying liquids or other fluent materials to particular surfaces or for applying particular liquids or other fluent materials
    • B05D7/22Processes, other than flocking, specially adapted for applying liquids or other fluent materials to particular surfaces or for applying particular liquids or other fluent materials to internal surfaces, e.g. of tubes
    • B05D7/222Processes, other than flocking, specially adapted for applying liquids or other fluent materials to particular surfaces or for applying particular liquids or other fluent materials to internal surfaces, e.g. of tubes of pipes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F17STORING OR DISTRIBUTING GASES OR LIQUIDS
    • F17DPIPE-LINE SYSTEMS; PIPE-LINES
    • F17D1/00Pipe-line systems
    • F17D1/02Pipe-line systems for gases or vapours
    • F17D1/04Pipe-line systems for gases or vapours for distribution of gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Application Of Or Painting With Fluid Materials (AREA)
  • Plasma Technology (AREA)
  • Physical Vapour Deposition (AREA)

Description

氣體輸送系統之塗覆方法
本發明係關於氣體輸送系統之塗覆方法。
電漿常被使用於基板的處理中,此基板如:半導體基板或被使用於製造平面顯示器的玻璃面板。舉例來說,於部分的基板製程中(例如:電漿蝕刻、化學氣相沉積、電漿輔助化學氣相沉積、物理氣相沉積等),基板會被分割成各將成為積體電路之數個晶粒或矩形區域。接著,為了在基板上形成電子元件,基板會以一連串將材料選擇性去除(蝕刻)和附加(沉積)的步驟進行處理。
在一個示範的電漿製程中,基板於蝕刻前被塗覆一層硬化乳劑薄膜(亦即光阻遮罩),然後,硬化乳劑的區域會被選擇性去除,造成部分下層的基板暴露出來。接著,將基板放置於位在一基板支撐結構上的一電漿處理系統的腔室中,該基板支撐結構包含稱為夾盤之單極或雙極電極。接著使適當的製程氣體(例如:C4F8、C4F6、CHF3、CH2F2、CF4、CH3F、C2F4、N2、O2、HBr、Ar、Xe、He、H2、NH3、SF6、BCl3、Cl2等)經由氣體輸送系統的氣體通道流入腔室中,並電離而形成電漿,以蝕刻基板的暴露區域。含鹵素的製程氣體具有很高的腐蝕性且可能損壞氣體通道的內表面。
本發明揭示一氣體輸送系統之氣體通道內表面之塗覆方法,該氣體輸送系統被配置來輸送製程氣體進入電漿處理系統的腔室內,此方法包含:(a)使抗腐蝕材料的流體前驅物流過氣體通道,並沉積一層流體前驅物,以完全塗覆氣體通道內表面;(b)去除內表面上的過量流體前驅物;(c)使流體前驅物的沉積層固化,以形成抗腐蝕材料塗層。
圖1顯示電漿處理系統100的簡化橫剖面圖。一般來說,一或多種製程氣體會透過一進氣口108,從氣體輸送系統122流入腔室102。這些製程氣體接著會被電離以形成電漿110,以處理(例如:蝕刻或沉積)基板114的暴露區域,此基板如置於一靜電夾盤116上的半導體基板或玻璃面板。噴淋頭電極104以及襯管112有助於使電漿110最佳地聚焦於基板114上。
氣體輸送系統122可包括一或多個質流控制器,此質流控制器連接於裝有電漿製程氣體(例如:C4F8、C4F6、CHF3、CH2F3、CF4、HBr、CH3F、C2F4、N2、O2、Ar、Xe、He、H2、NH3、SF6、BCl3、Cl2、WF6等)的壓縮氣體鋼瓶124a-f。氣體鋼瓶124a-f被提供局部排氣的外殼128進一步的保護著。質流控制器126可以是在半導體產業中用來量測與調節氣體到電漿處理系統之質量流量的自含式(self-contained)裝置(由一轉換器、控制閥和控制與訊號處理電子元件所組成)。氣體輸送系統122包含供製程氣體流動的氣體通道。氣體輸送系統的各式各樣元件藉由允許某些元件(例如處理室門)移動的配管及風箱部而互相連接。
在半導體廠中,為了最大化生產率與良率,在電漿處理期間需要輸送超純製程氣體。然而,因為這類氣體的高腐蝕性與易反應性本質,使得這類氣體的輸送引起特別的挑戰。
尤其,氣體輸送系統中的腐蝕現象實質上會降低基板的良率。舉例來說,在蝕刻基板的製程中,污染物可能由製程氣體的材料(例如:碳、氟、氫、氮、氧、矽、硼、氯等)、基板的材料(例如:光阻、矽、氧、氮、鋁、鈦等)、或電漿製程腔室或氣體輸送系統內部的結構材料(例如:不鏽鋼、鋁、石英等)所產生。
在半導體生產中,所製造之裝置特徵部的十分之一大小的微粒可能實質上損壞裝置。接著,為了儘量減少與消除系統污染物的可能來源,一般會精心設計與製程氣體接觸的元件。因為氣體輸送系統可能是重要的污染物來源,氣體通道通常由一組挑選的金屬所組成(例如:電拋光的不鏽鋼、銅、鋁、金屬合金等)。
舉例來說,不鏽鋼是一種鐵(Fe)基合金,具有重要合金添加物鉻(Cr)和鎳(Ni),鉻能使金屬有『不鏽』和抗腐蝕的特性,而鎳可穩定奧斯田鐵(austenite)並讓金屬無磁性且堅韌。電拋光一般來說可改善零件的表面化學,藉以強化表面鈍態氧化膜並由表面去除任何游離鐵。
一般來說,不鏽鋼包含可進一步防止「氧化」或生鏽的「鈍態」膜塗層。此膜在暴露於氧氣時迅速地形成。一旦形成此膜,金屬就成鈍態,且氧化或『生鏽』速率將大大地減緩。
儘管努力減少來自於如同電拋光、鈍化層、鏡面光滑表面光度(Ra<5密耳(mil))的製程之腐蝕,但由於長期暴露於製程氣體,仍會發生腐蝕,尤其在會定期暴露於濕氣中的特定區域,例如:接近熔接接點的部分和不鏽鋼氣體通道的部分。
腐蝕的程度和污染物的量可依很多因素而定,例如:氣體濃度和純度、溼氣含量、溫度、氣體通道材料中的局部不均勻性、系統流速、暴露時間、暴露頻率。舉例來說:例如氯化氫或溴化氫之含鹵素氣體,於溼氣位準超過數個百萬分之一(ppm)時可以腐蝕不鏽鋼。
儘管溼氣可以減少,但其一般無法完全消除。舉例來說,雖然電漿製程氣體通常以純化形式而貯存於壓縮氣體鋼瓶中,當替換鋼瓶或維修處理腔室時,濕氣都可能被引進氣體輸送系統內。
儘管不鏽鋼的真正腐蝕量趨於微小,但從腐蝕區域釋放出來的鐵與鉻,可經由不鏽鋼氣體通道中之氣體流動,而被搬運到其中半導體基板正接受處理之電漿處理室。因此,在電漿處理室中接受處理之半導體基板會發生嚴重的污染。基板上的鐵與鉻污染物之標準容忍值小於5.0x1010原子/平方公分(atoms/cm2)。因此,期望有用以避免或有效地減少不鏽鋼氣體通道的腐蝕的方法。減少氣體輸送系統中的腐蝕之一方法為,防止不鏽鋼氣體通道(例如:配管和風箱)接觸腐蝕性氣體。
一種根據此策略的方法為使用抗腐蝕的配管(例如:聚乙烯配管、聚丙烯配管、彈性玻璃配管、金屬塗覆塑膠配管、陶瓷配 管、有導電遮屏夾在兩層塑膠或電鍍鋁之間的層板配管)。
藉由參考文獻方式併入於此之共同受讓的美國專利第7,234,222號,揭示了將塑膠套管結合或射出成形至不鏽鋼配管之內表面上的方法。
於此描述流動塗覆方法的實施例,其中一抗腐蝕材料的流體前驅物流經氣體輸送系統的氣體通道,以便沉積一層流體前驅物於氣體通道的內表面上;且使沉積的此層流體前驅物固化,以在氣體通道的內表面上形成一層抗腐蝕材料。氣體通道由熔接金屬(例如:不鏽鋼)配管、風箱、配件、凸緣、閥及其類似物等所形成。流動塗覆方法可有效地塗覆具有複雜的幾何形狀和/或小直徑的內表面(例如:風箱內表面或外徑為0.25英吋以下的配管內表面)。
一流動塗覆方法實施例包含以下步驟:(a)用適當的去污劑和/或適當的化學藥品(例如:使用10到20wt.%的硝酸3分鐘和用去離子水沖洗內表面至少5分鐘)來清除氣體輸送系統的氣體通道內表面;(b)乾燥內表面(例如:藉由吹入乾燥的氮氣或乾燥的空氣經過氣體通道,且在至少120℃溫度下的真空烤箱中烘烤氣體通道);(c)使抗腐蝕材料的流體前驅物(例如:PERMABOND製造的HL126液態高分子前驅物或甲基丙烯酸酯)流過氣體通道,並沉積一層流體前驅物以塗覆氣體通道內表面;(d)去除內表面上的過量流體前驅物(例如:藉由吹入乾燥的氮氣或乾燥的空氣到氣體通道中);(e)使流體前驅物的沉積層固化,以形成抗腐蝕材料塗層(例如:藉由在溫度至少100℃與壓力為1到10托耳(Torr)的真空烤箱內烘烤氣體通道,或將氣體通道保持在環境溫度或壓力下至少24小時)。
可以施加相同的第二塗層。合成的塗層可具有0.0014到0.0034英吋的厚度且不容易碎。
然而,如圖2所示,如果氣體通道包含被熔接於氣體通道的 其他元件(例如:配管、配件、閥、凸緣等)之風箱201,流體前驅物會積聚在風箱201之內表面的迴旋段202,並可能在迴旋段202處固化成過量的抗腐蝕材料,其可能剝落並造成微粒污染。
在延長暴露於腐蝕性氣體之後檢驗氣體輸送系統中之未塗覆不鏽鋼風箱,顯示出風箱的迴旋段沒有任何腐蝕的跡象,而其他元件及在此氣體輸送系統的元件之間的熔接接點則顯示出嚴重的腐蝕。因此,選擇性的塗覆方法,其中在氣體輸送系統的氣體通道的所有內表面上塗覆抗腐蝕物質,同時留下其中之風箱的內表面不塗覆,可以消除抗腐蝕材料積聚於風箱迴旋段中的問題。
選擇性塗覆方法的一實施例包含以下步驟:
(a)在將風箱熔接至其餘氣體通道之前,以可避免流體前驅物附著之遮蔽劑(如:光阻、油、矽烷和/或烷氧矽烷(矽烷和/或烷氧矽烷可在風箱的內表面上提供一矽烷化層))塗覆風箱的內表面;
(b)將風箱熔接至其他元件上;
(c)使流體前驅物(例如:HL126液態高分子前驅物或甲基丙烯酸酯)流過氣體通道,並沉積一層流體前驅物,以塗覆氣體通道中除了風箱內表面以外的內表面;
(d)去除過量流體前驅物(例如:藉由吹入乾燥的氮氣或乾燥的空氣到氣體通道中);
(e)使流體前驅物的沉積層固化(例如:藉由在溫度至少100℃、壓力為1到10托耳(Torr)的真空烤箱內烘烤氣體通道,或將氣體通道保持在環境溫度和壓力下至少24小時)。
(f)非必要地,去除風箱內表面的遮蔽劑。
遮蔽劑可以是光阻、油、矽烷、烷氧矽烷或其他合適的物質。流體前驅物可以是高分子HL126或甲基丙烯酸酯或其他合適的物質。遮蔽劑可以用丙酮、光阻去除劑或其他合適的材料加以去除(例如:溶解、蝕刻等)。
雖然氣體輸送系統的氣體通道內表面塗覆方法已參照具體的實施例詳細描述,熟悉本技藝者將明瞭:在不離開隨附之申請專 利範圍之情況下,可進行各種變化與修改、且可使用等效物。
100‧‧‧電漿處理系統
102‧‧‧腔室
104‧‧‧噴淋頭電極
108‧‧‧進氣口
110‧‧‧電漿
112‧‧‧襯管
114‧‧‧基板
116‧‧‧夾盤
122‧‧‧氣體輸送系統
124a、124f‧‧‧氣體鋼瓶
126‧‧‧質流控制器
128‧‧‧外殼
201‧‧‧風箱
202‧‧‧迴旋段
圖1顯示電漿處理系統的簡化橫剖面圖。
圖2顯示抗腐蝕材料之流體前驅物積聚在風箱迴旋段內。
100‧‧‧電漿處理系統
102‧‧‧腔室
104‧‧‧噴淋頭電極
108‧‧‧進氣口
110‧‧‧電漿
112‧‧‧襯管
114‧‧‧基板
116‧‧‧夾盤
122‧‧‧氣體輸送系統
124a、124f‧‧‧氣體鋼瓶
126‧‧‧質流控制器
128‧‧‧外殼

Claims (17)

  1. 一種氣體輸送系統之氣體通道之內表面的塗覆方法,該氣體輸送系統被配置來輸送製程氣體到於其中對半導體基板進行處理的電漿處理系統的腔室,該方法包含:(a)使抗腐蝕材料的流體前驅物流過該氣體通道,並沉積一層該流體前驅物,以塗覆該氣體通道之該內表面;(b)去除該內表面上的過量流體前驅物;及(c)使該流體前驅物沉積層固化,以形成抗腐蝕材料塗層,其中該氣體通道將製程氣體輸送到該電漿處理系統的該腔室,且其中該流體前驅物為液體。
  2. 如申請專利範圍第1項之氣體輸送系統之氣體通道之內表面的塗覆方法,更包含在使該流體前驅物流入之前,先清理該內表面與乾燥該內表面。
  3. 如申請專利範圍第2項之氣體輸送系統之氣體通道之內表面的塗覆方法,其中:藉由接觸10到20wt.%的硝酸3分鐘和用去離子水沖洗至少5分鐘,來清除氣體通道內表面;及藉由吹入乾燥的氮氣或乾燥的空氣經過該氣體通道,且在至少120℃溫度下的真空烤箱中烘烤該氣體通道,以使該內表面乾燥。
  4. 如申請專利範圍第1項之氣體輸送系統之氣體通道之內表面的塗覆方法,其中該氣體通道為不鏽鋼配管之形式,其具有0.25英吋以下的外徑。
  5. 如申請專利範圍第1項之氣體輸送系統之氣體通道之內表面的塗覆方法,其中重複步驟(a)到(c)。
  6. 如申請專利範圍第1項之氣體輸送系統之氣體通道之內表面的塗覆方法,其中該塗層為抗含鹵素製程氣體者。
  7. 如申請專利範圍第1項之氣體輸送系統之氣體通道內表面的塗覆方法,其中該抗腐蝕材料塗層之厚度為0.0014到0.0034英吋。
  8. 一種氣體輸送系統之氣體通道之內表面的塗覆方法,該氣體輸送系統被配置來輸送製程氣體到電漿處理系統的腔室,該方法包含:(a)使抗腐蝕材料的流體前驅物流過該氣體通道,並沉積一層該流體前驅物,以塗覆該氣體通道之該內表面;(b)去除該內表面上的過量流體前驅物;及(c)使該流體前驅物沉積層固化,以形成抗腐蝕材料塗層,其中:該流體前驅物包含一或多個甲基丙烯酸酯;藉由吹入乾燥的氮氣或乾燥的空氣到該氣體通道中,以去除過量流體前驅物;及藉由在溫度為至少100℃及壓力為1到10托耳(Torr)的真空烤箱內烘烤該氣體通道,或者藉由將該氣體通道保持在環境溫度及壓力下至少24小時,以使該流體前驅物沉積層固化。
  9. 一種氣體輸送系統之氣體通道之內表面的塗覆方法,該氣體輸送系統被配置來輸送製程氣體到電漿處理系統的腔室,該方法包含:(a)使抗腐蝕材料的流體前驅物流過該氣體通道,並沉積一層該流體前驅物,以塗覆該氣體通道之該內表面;(b)去除該內表面上的過量流體前驅物;及(c)使該流體前驅物沉積層固化,以形成抗腐蝕材料塗層,其中該氣體通道為不鏽鋼配管之形式,其具有0.25英吋以下的外徑,且其中將至少一不鏽鋼風箱熔接至該不鏽鋼配管。
  10. 如申請專利範圍第9項之氣體輸送系統之氣體通道之內表面的塗覆方法,更包含:在將該風箱熔接至該配管之前,以防止該流體前驅物之附著的遮蔽劑塗覆該風箱的內表面;及非必要的,在該固化步驟之後,去除該風箱之該內表面的該遮蔽劑。
  11. 如申請專利範圍第10項之氣體輸送系統之氣體通道之內表面的塗覆方法,其中該遮蔽劑為光阻、油、矽烷和/或烷氧矽烷。
  12. 一種氣體輸送系統,被配置來輸送製程氣體進入於其中對半導體基板進行處理的電漿處理系統的腔室內,該氣體輸送系統包含其內表面塗覆有一層抗腐蝕材料塗層的氣體通道,該塗層為藉由將沉積於該內表面上的一層流體前驅物加以固化所形成,其中該流體前驅物為液體。
  13. 如申請專利範圍第12項之氣體輸送系統,其中該氣體通道為不鏽鋼配管之形式,其具有0.25英吋以下的外徑。
  14. 如申請專利範圍第12項之氣體輸送系統,其中該塗層為抗含鹵素製程氣體者。
  15. 一種氣體輸送系統,被配置來輸送製程氣體進入電漿處理系統的腔室內,該氣體輸送系統包含其內表面塗覆有一抗腐蝕材料塗層的氣體通道,該抗腐蝕材料塗層為藉由將沉積於該內表面上的一層流體前驅物加以固化所形成,該氣體輸送系統更包含至少一個熔接至不鏽鋼配管上之不鏽鋼風箱,該不鏽鋼配管中具有有該氣體通道,且該風箱之內表面並未由該塗層加以塗覆。
  16. 一種電漿處理系統,包含一氣體輸送系統,該氣體輸送系統被配置來輸送製程氣體進入該電漿處理系統的腔室內,該氣體輸送系統包含其內表面塗覆有一抗腐蝕材料塗層的氣體通道,該抗腐蝕材料塗層為藉由將沉積於該內表面上的一層流體前驅物加以固化所形成,其中該氣體輸送系統輸送含鹵素製程氣體進入電漿處理系統的腔室中,且將該製程氣體激發成電漿,以處理被支撐於該腔室中之各半導體基板。
  17. 如申請專利範圍第16項之電漿處理系統,其中該基板係藉由電漿加以蝕刻。
TW100110182A 2010-04-23 2011-03-24 氣體輸送系統之塗覆方法 TWI538046B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/766,529 US8852685B2 (en) 2010-04-23 2010-04-23 Coating method for gas delivery system

Publications (2)

Publication Number Publication Date
TW201209910A TW201209910A (en) 2012-03-01
TWI538046B true TWI538046B (zh) 2016-06-11

Family

ID=44814778

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100110182A TWI538046B (zh) 2010-04-23 2011-03-24 氣體輸送系統之塗覆方法

Country Status (7)

Country Link
US (2) US8852685B2 (zh)
JP (1) JP5855644B2 (zh)
KR (1) KR101787139B1 (zh)
CN (1) CN102859033B (zh)
SG (2) SG184948A1 (zh)
TW (1) TWI538046B (zh)
WO (1) WO2011133207A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI777258B (zh) * 2019-10-24 2022-09-11 大陸商中微半導體設備(上海)股份有限公司 耐腐蝕氣體輸送部件及其等離子體處理裝置

Families Citing this family (260)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9293305B2 (en) 2011-10-31 2016-03-22 Lam Research Corporation Mixed acid cleaning assemblies
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10128087B2 (en) 2014-04-07 2018-11-13 Lam Research Corporation Configuration independent gas delivery system
US9355823B2 (en) 2014-05-09 2016-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for removing particles from etching chamber
US9999546B2 (en) 2014-06-16 2018-06-19 Illinois Tool Works Inc. Protective headwear with airflow
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10557197B2 (en) 2014-10-17 2020-02-11 Lam Research Corporation Monolithic gas distribution manifold and various construction techniques and use cases therefor
US20160138161A1 (en) * 2014-11-19 2016-05-19 Applied Materials, Inc. Radical assisted cure of dielectric films
JP6396819B2 (ja) * 2015-02-03 2018-09-26 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
WO2016131024A1 (en) * 2015-02-13 2016-08-18 Entegris, Inc. Coatings for enhancement of properties and performance of substrate articles and apparatus
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
NL2014497B1 (en) * 2015-03-20 2017-01-19 Asm Int Nv Method for cleaning deposition apparatus.
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10022689B2 (en) 2015-07-24 2018-07-17 Lam Research Corporation Fluid mixing hub for semiconductor processing tool
US10118263B2 (en) 2015-09-02 2018-11-06 Lam Researech Corporation Monolithic manifold mask and substrate concepts
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10215317B2 (en) 2016-01-15 2019-02-26 Lam Research Corporation Additively manufactured gas distribution manifold
US9879795B2 (en) 2016-01-15 2018-01-30 Lam Research Corporation Additively manufactured gas distribution manifold
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11812816B2 (en) 2017-05-11 2023-11-14 Illinois Tool Works Inc. Protective headwear with airflow
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
JP7058545B2 (ja) 2018-04-25 2022-04-22 東京エレクトロン株式会社 ガス供給管のクリーニング方法および処理システム
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
JP6905505B2 (ja) * 2018-12-13 2021-07-21 株式会社Kokusai Electric 半導体装置の製造方法、表面処理方法、基板処理装置、およびプログラム
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
CN110597113B (zh) * 2019-09-03 2021-03-02 国网福建省电力有限公司检修分公司 一种sf6尾气电子控制式集气袋及其控制方法
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
CN112713074B (zh) * 2019-10-25 2023-03-07 中微半导体设备(上海)股份有限公司 气体喷淋头组件及等离子体处理设备
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
CN111359859B (zh) * 2020-03-20 2021-04-06 江苏时羿医疗软管有限公司 一种波纹管生产制造工艺
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114405796B (zh) * 2021-12-24 2023-04-14 北京北方华创微电子装备有限公司 保护涂层形成方法、管状连接件以及半导体工艺设备

Family Cites Families (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3930754A (en) 1974-12-23 1976-01-06 Universal Oil Products Company Portable water sampling apparatus
SE7607204L (sv) 1975-07-04 1977-01-05 Daiwa Kogyo Co Sett och apparat for att forse metallror med invendigt skyddsoverdrag
JPS5443943A (en) * 1977-09-13 1979-04-06 Osaka Gas Co Ltd Surface coating
JPS63223145A (ja) * 1987-03-10 1988-09-16 Sumitomo Metal Ind Ltd 耐食性の優れたベロ−ズおよびその製法
JPH05220451A (ja) 1992-02-14 1993-08-31 Dainippon Ink & Chem Inc ウレタンエラストマーの金属管または金属バルブへのライニング方法
US5451259A (en) * 1994-02-17 1995-09-19 Krogh; Ole D. ECR plasma source for remote processing
US5633038A (en) 1994-10-25 1997-05-27 Atlantic Richfield Company Method of treatment of pipelines and other steel surfaces for improved coating adhesion
US5696207A (en) 1994-12-09 1997-12-09 Geo-Centers, Inc. Fluroropolymeric substrates with metallized surfaces and methods for producing the same
KR19980033213A (ko) 1996-10-31 1998-07-25 조셉제이.스위니 스퍼터링 챔버내의 미립자 물질 발생 감소 방법
JP4125406B2 (ja) 1997-08-08 2008-07-30 忠弘 大見 フッ化不働態処理が施された溶接部材の溶接方法および再フッ化不働態処理方法ならびに溶接部品
US6020034A (en) 1997-11-14 2000-02-01 E. I. Du Pont De Nemours And Company Process for producing corrosion- and creep resistant coatings
TW465017B (en) 1999-04-13 2001-11-21 Applied Materials Inc A corrosion-resistant protective coating for an apparatus and method for processing a substrate
US6290088B1 (en) 1999-05-28 2001-09-18 American Air Liquide Inc. Corrosion resistant gas cylinder and gas delivery system
JP3662779B2 (ja) * 1999-06-22 2005-06-22 シャープ株式会社 プラズマ処理装置
US6444083B1 (en) * 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
JP2002079205A (ja) * 2000-09-07 2002-03-19 Sekisui Chem Co Ltd 管の内面洗浄方法
CN1279589C (zh) * 2001-01-19 2006-10-11 东京毅力科创株式会社 基板的处理方法和基板的处理装置
WO2002097855A1 (en) 2001-05-29 2002-12-05 Tokyo Electron Limited Plasma processing apparatus and method
FR2828924B1 (fr) 2001-08-27 2003-10-31 Coflexip Conduite flexible pour le transport d'un fluide
US6682627B2 (en) 2001-09-24 2004-01-27 Applied Materials, Inc. Process chamber having a corrosion-resistant wall and method
KR100468319B1 (ko) * 2002-03-12 2005-01-27 (주)누리셀 파릴렌 고분자막 코팅 장치
JP4338355B2 (ja) * 2002-05-10 2009-10-07 東京エレクトロン株式会社 プラズマ処理装置
US6825051B2 (en) 2002-05-17 2004-11-30 Asm America, Inc. Plasma etch resistant coating and process
US6905773B2 (en) 2002-10-22 2005-06-14 Schlage Lock Company Corrosion-resistant coatings and methods of manufacturing the same
EP1602748B1 (en) * 2003-03-12 2014-07-09 Toyo Seikan Group Holdings, Ltd. Microwave plasma processing device
US7234222B1 (en) 2003-09-26 2007-06-26 Lam Research Corporation Methods and apparatus for optimizing the delivery of a set of gases in a plasma processing system
US7135426B2 (en) 2004-05-25 2006-11-14 Applied Materials, Inc. Erosion resistant process chamber components
US7300684B2 (en) 2004-07-15 2007-11-27 Sub-One Technology, Inc. Method and system for coating internal surfaces of prefabricated process piping in the field
JP2006049489A (ja) * 2004-08-03 2006-02-16 Hitachi Kokusai Electric Inc 基板処理装置
US20060065523A1 (en) 2004-09-30 2006-03-30 Fangli Hao Corrosion resistant apparatus for control of a multi-zone nozzle in a plasma processing system
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
GB2428604B (en) 2005-08-05 2010-12-08 Visteon Global Tech Inc Anti-Fouling coating
US20080092806A1 (en) 2006-10-19 2008-04-24 Applied Materials, Inc. Removing residues from substrate processing components
FR2908787B1 (fr) 2006-11-22 2009-01-16 Bs Coatings Soc Par Actions Si Procede d'application d'un revetement anticorrosion sur les pieces d'une canalisation, incluant l'utilisation de solution aqueuse de silane et de peinture poudre epoxy.
US8518484B2 (en) 2007-01-29 2013-08-27 Praxair Technology, Inc. Bubbler apparatus and delivery method
JP5029041B2 (ja) 2007-01-30 2012-09-19 Tdk株式会社 プラズマcvd装置、及び、薄膜製造方法
US8128750B2 (en) 2007-03-29 2012-03-06 Lam Research Corporation Aluminum-plated components of semiconductor material processing apparatuses and methods of manufacturing the components
KR100907458B1 (ko) * 2007-05-25 2009-07-10 우원전자 주식회사 가스 스쿠루버용 금속 배관
JP6328001B2 (ja) * 2013-08-30 2018-05-23 キヤノン株式会社 インプリント用硬化性組成物、膜、膜の製造方法
EP3056069A4 (en) * 2013-10-07 2017-06-21 Aeonclad Coatings, LLC Low-cost plasma reactor

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI777258B (zh) * 2019-10-24 2022-09-11 大陸商中微半導體設備(上海)股份有限公司 耐腐蝕氣體輸送部件及其等離子體處理裝置

Also Published As

Publication number Publication date
US9689533B2 (en) 2017-06-27
WO2011133207A3 (en) 2012-02-23
US20110259519A1 (en) 2011-10-27
KR20130093515A (ko) 2013-08-22
CN102859033B (zh) 2015-06-03
JP5855644B2 (ja) 2016-02-09
SG10201503199PA (en) 2015-06-29
CN102859033A (zh) 2013-01-02
JP2013529379A (ja) 2013-07-18
US20140366968A1 (en) 2014-12-18
WO2011133207A2 (en) 2011-10-27
TW201209910A (en) 2012-03-01
KR101787139B1 (ko) 2017-10-18
US8852685B2 (en) 2014-10-07
SG184948A1 (en) 2012-11-29

Similar Documents

Publication Publication Date Title
TWI538046B (zh) 氣體輸送系統之塗覆方法
JP3308091B2 (ja) 表面処理方法およびプラズマ処理装置
US7732056B2 (en) Corrosion-resistant aluminum component having multi-layer coating
JP4985928B2 (ja) 多層コート耐食性部材
JP5028755B2 (ja) 半導体処理装置の表面処理方法
WO2006137541A1 (ja) 半導体処理装置用の構成部材及びその製造方法
KR20070043670A (ko) 내식성 부재
JP2001164354A (ja) プラズマ処理容器内部材およびその製造方法
CN104241069B (zh) 等离子体装置内具有氧化钇包覆层的部件及其制造方法
JP2007324353A (ja) 半導体加工装置用部材およびその製造方法
JP5040119B2 (ja) 耐環境部材、半導体製造装置及び耐環境部材の製造方法
JP2004003022A (ja) プラズマ処理容器内部材
JP4512603B2 (ja) 耐ハロゲンガス性の半導体加工装置用部材
JP2003321760A (ja) プラズマ処理容器内部材およびその製造方法
JP2006052435A (ja) 半導体加工装置用部材及びその製造方法
JP3500266B2 (ja) 半導体製造プロセス用部材
JP5614873B2 (ja) 半導体加工装置用部材およびその製造方法
KR100652230B1 (ko) 플라즈마방전 증착에 의해 금속코팅층을 갖는 박막에 의해 내벽이 보호된 파이프
JP6567951B2 (ja) ガス排気方法
JP2002241971A (ja) 耐プラズマ性部材
TWI705850B (zh) 耐腐蝕的氣體混合裝置及等離子處理設備
JP3946660B2 (ja) 耐ハロゲンガス性の半導体加工装置用部材の製造方法
JP3134116B2 (ja) 腐食性ガス供給系での腐食低減方法
JP2007036197A (ja) 半導体製造装置の構成部材及び半導体製造装置
JP2021515849A (ja) 反応室コンポーネント、作製方法、及び反応室