JP2013529379A - ガス供給システムのためのコーティング方法 - Google Patents

ガス供給システムのためのコーティング方法 Download PDF

Info

Publication number
JP2013529379A
JP2013529379A JP2013506134A JP2013506134A JP2013529379A JP 2013529379 A JP2013529379 A JP 2013529379A JP 2013506134 A JP2013506134 A JP 2013506134A JP 2013506134 A JP2013506134 A JP 2013506134A JP 2013529379 A JP2013529379 A JP 2013529379A
Authority
JP
Japan
Prior art keywords
flow path
gas flow
gas
supply system
fluid precursor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2013506134A
Other languages
English (en)
Other versions
JP5855644B2 (ja
Inventor
ケンワーシー・イアン
アウトゥカ・デュアン
ハオ・ファーンリ
シャープレス・レオナード
デゥ・イージュン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2013529379A publication Critical patent/JP2013529379A/ja
Application granted granted Critical
Publication of JP5855644B2 publication Critical patent/JP5855644B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F17STORING OR DISTRIBUTING GASES OR LIQUIDS
    • F17DPIPE-LINE SYSTEMS; PIPE-LINES
    • F17D5/00Protection or supervision of installations
    • F17D5/005Protection or supervision of installations of gas pipelines, e.g. alarm
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/30Processes for applying liquids or other fluent materials performed by gravity only, i.e. flow coating
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D7/00Processes, other than flocking, specially adapted for applying liquids or other fluent materials to particular surfaces or for applying particular liquids or other fluent materials
    • B05D7/22Processes, other than flocking, specially adapted for applying liquids or other fluent materials to particular surfaces or for applying particular liquids or other fluent materials to internal surfaces, e.g. of tubes
    • B05D7/222Processes, other than flocking, specially adapted for applying liquids or other fluent materials to particular surfaces or for applying particular liquids or other fluent materials to internal surfaces, e.g. of tubes of pipes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F17STORING OR DISTRIBUTING GASES OR LIQUIDS
    • F17DPIPE-LINE SYSTEMS; PIPE-LINES
    • F17D1/00Pipe-line systems
    • F17D1/02Pipe-line systems for gases or vapours
    • F17D1/04Pipe-line systems for gases or vapours for distribution of gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Engineering & Computer Science (AREA)
  • Wood Science & Technology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Application Of Or Painting With Fluid Materials (AREA)
  • Physical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Abstract

【解決手段】プラズマ処理システム(プラズマエッチングシステムなど)のためのガス供給システムのガス流路の内面を被覆する方法は、(a)耐食性材料の流体前駆体をガス流路内に流し、流体前駆体の層を堆積させて、ガス流路の内面を被覆する工程と、(b)過剰な流体前駆体を内面から除去する工程と、(c)耐食性材料コーティングを形成するために、流体前駆体の堆積層を硬化させる工程と、を備える。
【選択図】図1

Description

基板(例えば、半導体基板、または、平面ディスプレイの製造に用いるようなガラスパネルなど)の処理では、しばしば、プラズマが利用される。例えば、基板の処理(プラズマエッチング、化学蒸着、プラズマ化学蒸着、物理蒸着など)の一部として、基板は、各々が集積回路になる複数のダイすなわち長方形の領域に分割される。次いで、材料の選択的な除去(エッチング工程)や追加(蒸着工程)を含む一連の工程で基板を処理して、基板上に電気構成要素を形成する。
プラズマ処理の一例では、基板は、エッチングの前に、硬化エマルションの薄膜(すなわち、フォトレジストマスクなど)で被覆される。次いで、硬化エマルションの複数領域を選択的に除去して、下層の基板の複数部分を露出させる。次に、基板は、プラズマ処理システムのチャンバ内で、単極または双極の電極を備える基板支持構造(いわゆる、チャック)上に配置される。次いで、適切な処理ガス(例えば、C48、C46、CHF3、CH22、CF4、CH3F、C24、N2、O2、HBr、Ar、Xe、He、H2、NH3、SF6、BCl3、Cl2、など)が、ガス供給システムのガス流路を通してチャンバ内に流されイオン化されて、基板の露出した領域をエッチングするためのプラズマを形成する。ハロゲン含有処理ガスは、腐食性が高く、ガス流路の内面を損傷しうる。
プラズマ処理システムのチャンバ内に処理ガスを供給するよう構成されたガス供給システムのガス流路の内面を被覆するための方法が開示されており、その方法は、(a)耐食性材料の流体前駆体をガス流路内に流し、流体前駆体の層を堆積させて、ガス流路の内面を被覆する工程と、(b)過剰な流体前駆体を内面から除去する工程と、(c)耐食性材料コーティングを形成するために、流体前駆体の堆積層を硬化させる工程と、を備える。
プラズマ処理システムの簡略な断面図。
ベローズの山部における耐食性材料の流体前駆体の蓄積を示す図。
図1は、プラズマ処理システム100の簡略な断面図を示す。一般に、1または複数の処理ガスが、ガス供給システム122から流入口108を通してチャンバ102内に流される。次いで、これらの処理ガスは、静電チャック116上に配置された基板114(半導体基板またはガラスパネルなど)の露出領域を処理(例えば、エッチングまたは蒸着)するために、イオン化されてプラズマ110を形成する。シャワーヘッド電極120は、ライナ112と共に、基板114上にプラズマ110を最適に集中させるのに役立つ。
ガス供給システム122は、プラズマ処理ガス(例えば、C48、C46、CHF3、CH23、CF4、HBr、CH3F、C24、N2、O2、Ar、Xe、He、H2、NH3、SF6、BCl3、Cl2、WF6など)を含む圧縮ガスシリンダ124a〜fに接続された1または複数のマスフローコントローラを備えうる。ガスシリンダ124a〜fは、局所排気を提供する容器128によってさらに保護されてよい。マスフローコントローラ126は、プラズマ処理システムへのガスの質量流量を測定および制御するために半導体業界で用いられる自立型装置(変換器、制御バルブ、ならびに、制御および信号処理のための電子機器からなる)であってよい。ガス供給システム122は、処理ガスが流れるガス流路を備える。ガス供給システムの様々な構成要素は、管と、いくつかの構成要素(処理チャンバのドアなど)の移動を可能にするベローズとによって相互接続される。
半導体製造において生産性および歩留まりを最大化するには、プラズマ処理中に超高純度の処理ガスを供給することが必要である。しかしながら、ガスの腐食性および反応性が高いという性質のため、かかるガスの供給には、特別な課題がある。
特に、ガス供給システム内で起きる腐食が、基板の歩留まりを実質的に減少させうる。例えば、基板のエッチング処理では、処理ガスの材料(例えば、炭素、フッ素、水素、窒素、酸素、シリコン、ホウ素、塩素など)から、基板の材料(例えば、フォトレジスト、シリコン、酸素、窒素、アルミニウム、チタンなど)から、もしくは、プラズマ処理チャンバまたはガス供給システム内の構造材料(例えば、ステンレス鋼、アルミニウム、石英など)から、汚染物質が生じうる。
半導体製造では、製造されるデバイスフィーチャのサイズの10分の1の粒子が、デバイスを実質的に損傷しうる。したがって、処理ガスと接触する構成要素は、一般的に、潜在的なシステム汚染源を最小化または排除するように設計される。ガス供給システムは、大きい汚染源になりうるため、ガス流路は、しばしば、1組の選択された金属(例えば、電解研磨ステンレス鋼、銅(Cu)、アルミニウム(Al)、金属合金など)で形成される。
例えば、ステンレス鋼は、鉄(Fe)系の合金であり、金属に「ステンレス」すなわち腐食耐性の特徴を与えるクロム(Cr)と、オーステナイトを安定化させ、金属を非磁性かつ強靱にするニッケル(Ni)とを、重要な合金添加元素として含む。電解研磨は、一般に、部品の界面化学を改善し、不動態酸化膜を強化し、任意の遊離鉄を表面から除去する。
一般に、ステンレス鋼は、さらなる「酸化」すなわち錆び付きに耐性のある「不動態」薄膜コーティングを備える。この薄膜は、酸素に暴露されるとすぐに形成される。一旦形成されると、金属は「不動態化」され、酸化すなわち「錆び付き」の速度が、実質的に遅くなる。
電解研磨、不動態化、鏡面仕上げ(Ra<5mil)など、腐食を低減する努力にもかかわらず、処理ガスに長期間暴露することによって、特に、溶接継ぎ手付近の部分および周期的に湿気に暴露されるステンレス鋼ガス流路の部分など特定の領域で、腐食が起きる。
腐食の程度、ひいては、汚染の量は、ガスの濃度および純度、水分含有量、温度、ガス流路材料の局所的な不均一、システム流量、暴露時間、暴露の頻度など、多くの要因に依存しうる。例えば、塩化水素または臭化水素などのハロゲン含有ガスは、水分レベルが数パーツ・パ−・ミリオン(ppm)を超えると、ステンレス鋼を腐食しうる。
水分を減少させることはできるが、一般には、完全に排除することができない。例えば、プラズマ処理ガスは、通常、圧縮ガスシリンダ内に精製された形態で貯蔵されるが、シリンダを交換する時、または、処理チャンバのメンテナンスを実行する時に、ガス供給システムに水分が入りうる。
ステンレス鋼における実際の腐食の量は小さい傾向にあるが、腐食した領域から放出された鉄およびクロムは、ステンレス鋼ガス流路内のガスの流れによって、半導体基板が処理を受けるプラズマ処理チャンバへ運ばれうる。結果として、プラズマ処理チャンバ内で処理される半導体基板に深刻な汚染が発生しうる。基板上の鉄またはクロム汚染の典型的な許容量は、5.0×1010atoms/cm2未満である。したがって、ステンレス鋼ガス流路の腐食を防ぐかまたは大幅に低減する対策が望まれる。ガス供給システム内の腐食を低減するための1つのアプローチは、ステンレス鋼ガス流路(例えば、管およびベローズ)と腐食性ガスとの接触を防ぐことである。
このアプローチに従った1つの方法は、耐食管(例えば、ポリエチレン管、ポリプロピレン管、柔軟なガラス管、金属被覆プラスチック管、セラミック管、2層のプラスチックの間に導電性のシールドを挟んだ積層管、または、陽極酸化アルミニウム)を用いることである。
参照によって本明細書に組み込まれた同一出願人による米国特許第7,234,222号は、ステンレス鋼管の内面にプラスチックスリーブを接着または射出成形する方法を開示している。
耐食性材料の流体前駆体をガス供給システムのガス流路に通してガス流路の内面に流体前駆体の層を堆積させるフローコーティング方法の実施形態が本明細書に記載されており、堆積された流体前駆体の層は、硬化されて、ガス流路の内面上に耐食性材料の層を形成する。ガス流路は、金属(ステンレス鋼など)の管、ベローズ、接続具、フランジ、バルブなどを溶接することよって形成されうる。フローコーティング方法は、複雑な形状および/または小さい直径を有する内面(例えば、外径が0.25インチ(6.35mm)以下のベローズの内面または管の内面)を被覆するのに有効である。
フローコーティング方法の一実施形態は、以下の工程を備える。(a)適切な洗浄剤および/または適切な化学剤でガス供給システムのガス流路の内面を洗浄する工程(例えば、10〜20重量%の硝酸で3分間洗浄し、脱イオン水で内面を少なくとも5分間リンスする工程)、(b)内面を乾燥する工程(例えば、ガス流路に乾燥窒素または乾燥空気を吹き込み、真空オーブンで少なくとも120℃でガス流路を焼くことによる工程)、(c)耐食性材料の流体前駆体(例えば、PERMABOND社製のHL126液体ポリマ前駆体、または、メタクリレートエステル)をガス流路内に流し、流体前駆体の層を堆積させて、ガス流路の内面を被覆する工程、(d)過剰な流体前駆体を内面から除去する工程(例えば、ガス流路に乾燥窒素または乾燥空気を吹き込むことによる工程)、(e)流体前駆体の堆積層を硬化させて耐食性材料コーティングを形成する工程(例えば、少なくとも100℃の温度および1〜10Torrの圧力で真空オーブン内でガス流路を焼成することによる、もしくは、少なくとも24時間ガス流路を常温および常圧に維持することによる)。同様に、2回目のコーティングを行うことができる。結果として得られるコーティングは、壊れにくく、0.0014(0.03556mm)から0.0034インチ(0.08636mm)の厚さを有しうる。
しかしながら、図2に示すように、ガス流路が、ガス流路の他の構成要素(例えば、管、接続具、バルブ、フランジなど)に溶接されたベローズ201を備える場合、流体前駆体は、ベローズ201の内面の山部202に蓄積し、硬化して山部202に過剰な耐食性材料を形成する場合があり、これが剥離して粒状汚染物質になりうる。
腐食性ガスへの長期間の暴露の後にガス供給システム内の被覆されていないステンレス鋼ベローズを調べると、ベローズの山部は腐食の徴候を示さないが、このガス供給システムの他の構成要素および構成要素間の溶接継ぎ手は深刻な腐食を示したことがわかった。したがって、ベローズの内面を被覆することなく、ガス供給システムのガス流路のすべての内面に耐食性材料コーティングを施す選択的なコーティング方法によれば、ベローズ山部における耐食性材料の蓄積の問題を解決できる。
選択的コーティング方法の一実施形態は、以下の工程を備える。(a)ベローズを残りのガス流路に溶接する前に、流体前駆体の付着を防ぐフォトレジスト、オイル、シラン、および/または、アルコキシシランなどのマスキング剤でベローズの内面をコーティングする工程(シランおよび/またはアルコキシシランは、ベローズの内面にシラン処理層を提供しうる)、(b)ベローズを他の構成要素に溶接する工程、(c)流体前駆体(例えば、HL126液体ポリマ前駆体またはメタクリレートエステル)をガス流路に流し、流体前駆体の層を堆積させて、ベローズの内面を除くガス流路の内面を被覆する工程、(d)過剰な流体前駆体を除去する工程(例えば、ガス流路に乾燥窒素または乾燥空気を吹き込むことによる)、(e)流体前駆体の堆積層を硬化させる工程(例えば、少なくとも100℃の温度および1〜10Torrの圧力で真空オーブン内でガス流路を焼成することによる、もしくは、少なくとも24時間ガス流路を常温および常圧に維持することによる)。(f)任意選択的に、ベローズの内面からマスキング剤を除去する工程。
マスキング剤は、フォトレジスト、オイル、シラン、アルコキシシラン、または、その他の適切な材料であってよい。流体前駆体は、HL126ポリマまたはメタクリレートエステルまたはその他の適切な材料であってよい。マスキング剤は、アセトン、フォトレジスト剥離剤、または、その他の適切な材料によって除去(例えば、溶解、エッチングなど)されてよい。
ガス供給システムのガス流路の内面を被覆する方法について、その具体的な実施形態を参照しつつ詳細に説明したが、添付の特許請求の範囲を逸脱することなく、様々な変更および変形を行うこと、ならびに、等価物を用いることが可能であることは、当業者にとって明らかである。

Claims (17)

  1. プラズマ処理システムのチャンバ内に処理ガスを供給するよう構成されているガス供給システムのガス流路の内面を被覆するための方法であって、
    (a)耐食性材料の流体前駆体を前記ガス流路内に流し、前記流体前駆体の層を堆積させて、前記ガス流路の前記内面を被覆する工程と、
    (b)過剰な流体前駆体を前記内面から除去する工程と、
    (c)耐食性材料コーティングを形成するために、前記流体前駆体の前記堆積された層を硬化させる工程と、
    を備える、方法。
  2. 請求項1に記載の方法であって、
    前記流体前駆体は、1または複数のメタクリレートエステルを含み、
    前記ガス流路に乾燥窒素または乾燥空気を吹き込むことによって、過剰な流体前駆体が除去され、
    前記流体前駆体の前記堆積された層は、少なくとも100℃の温度および1〜10Torrの圧力で前記ガス流路を真空オーブン内で焼成することによって、もしくは、少なくとも24時間、前記ガス流路を常温および常圧に維持することによって硬化される、方法。
  3. 請求項1に記載の方法であって、さらに、前記流体前駆体を流す前に、前記内面を洗浄し、前記内面を乾燥させる工程を備える、方法。
  4. 請求項3に記載の方法であって、
    前記内面は、10〜20重量%の硝酸で3分間洗浄され、脱イオン水で少なくとも5分間リンスされ、
    前記内面は、前記ガス流路に乾燥窒素または乾燥空気を吹き込み、真空オーブンで少なくとも120℃の温度で前記ガス流路を焼くことによって乾燥される、方法。
  5. 請求項1に記載の方法であって、前記ガス流路は、0.25インチ(6.35mm)以下の外径を有するステンレス鋼管の中にある、方法。
  6. 請求項5の方法であって、少なくとも1つのステンレス鋼ベローズが、前記ステンレス鋼管に溶接される、方法。
  7. 請求項6に記載の方法であって、さらに、
    前記ベローズを前記管に溶接する前に、前記流体前駆体の付着を防ぐマスキング剤で前記ベローズの内面を被覆する工程と、
    任意選択的に、前記硬化工程の後に、前記ベローズの前記内面から前記マスキング剤を除去する工程と、
    を備える、方法。
  8. 請求項7に記載の方法であって、前記マスキング剤は、フォトレジスト、オイル、シラン、および/または、アルコキシシランである、方法。
  9. 請求項1に記載の方法であって、工程(a)〜(c)が繰り返される、方法。
  10. 請求項1に記載の方法であって、前記コーティングは、ハロゲン含有処理ガスに耐性を有する、方法。
  11. 請求項1に記載の方法であって、前記耐食性材料コーティングは、0.0014インチ(0.03556mm)から0.0034インチ(0.08636mm)の厚さを有する、方法。
  12. プラズマ処理システムのチャンバに処理ガスを供給するよう構成されているガス供給システムであって、ガス流路を備え、前記ガス流路の内面は、前記内面上に堆積された流体前駆体の層を硬化させることによって形成された耐食性材料コーティングで被覆されている、ガス供給システム。
  13. 請求項12に記載のガス供給システムであって、さらに、前記ガス流路を内側に有するステンレス鋼管に溶接された少なくとも1つのステンレス鋼ベローズを備え、
    前記ベローズの内面は、前記コーティングによって被覆されていない、ガス供給システム。
  14. 請求項12に記載のガス供給システムであって、前記ガス流路は、0.25インチ(6.35mm)以下の外径を有するステンレス鋼管の内側にある、ガス供給システム。
  15. 請求項12に記載のガス供給システムであって、前記コーティングは、ハロゲン含有処理ガスに耐性を有する、ガス供給システム。
  16. 請求項12に記載のガス供給システムを備えるプラズマ処理システムであって、前記ガス供給システムは、前記プラズマ処理システムのチャンバ内にハロゲン含有処理ガスを供給し、前記処理ガスは、前記チャンバ内に支持された個々の半導体基板を処理するためにプラズマに励起される、プラズマ処理システム。
  17. 請求項16に記載のプラズマ処理システムであって、前記基板は、前記プラズマによってエッチングされる、プラズマ処理システム。
JP2013506134A 2010-04-23 2011-04-15 ガス供給システムのためのコーティング方法 Active JP5855644B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/766,529 2010-04-23
US12/766,529 US8852685B2 (en) 2010-04-23 2010-04-23 Coating method for gas delivery system
PCT/US2011/000683 WO2011133207A2 (en) 2010-04-23 2011-04-15 A coating method for gas delivery system

Publications (2)

Publication Number Publication Date
JP2013529379A true JP2013529379A (ja) 2013-07-18
JP5855644B2 JP5855644B2 (ja) 2016-02-09

Family

ID=44814778

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013506134A Active JP5855644B2 (ja) 2010-04-23 2011-04-15 ガス供給システムのためのコーティング方法

Country Status (7)

Country Link
US (2) US8852685B2 (ja)
JP (1) JP5855644B2 (ja)
KR (1) KR101787139B1 (ja)
CN (1) CN102859033B (ja)
SG (2) SG10201503199PA (ja)
TW (1) TWI538046B (ja)
WO (1) WO2011133207A2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020080408A (ja) * 2015-02-13 2020-05-28 インテグリス・インコーポレーテッド 基材物品および装置の特性および性能を増強するためのコーティング

Families Citing this family (270)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9293305B2 (en) 2011-10-31 2016-03-22 Lam Research Corporation Mixed acid cleaning assemblies
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
TWI693638B (zh) 2014-04-07 2020-05-11 美商蘭姆研究公司 獨立於配置的氣體輸送系統
US9355823B2 (en) 2014-05-09 2016-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for removing particles from etching chamber
US9999546B2 (en) 2014-06-16 2018-06-19 Illinois Tool Works Inc. Protective headwear with airflow
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10557197B2 (en) 2014-10-17 2020-02-11 Lam Research Corporation Monolithic gas distribution manifold and various construction techniques and use cases therefor
US20160138161A1 (en) * 2014-11-19 2016-05-19 Applied Materials, Inc. Radical assisted cure of dielectric films
JP6396819B2 (ja) * 2015-02-03 2018-09-26 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
NL2014497B1 (en) * 2015-03-20 2017-01-19 Asm Int Nv Method for cleaning deposition apparatus.
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10022689B2 (en) 2015-07-24 2018-07-17 Lam Research Corporation Fluid mixing hub for semiconductor processing tool
US10118263B2 (en) 2015-09-02 2018-11-06 Lam Researech Corporation Monolithic manifold mask and substrate concepts
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9879795B2 (en) 2016-01-15 2018-01-30 Lam Research Corporation Additively manufactured gas distribution manifold
US10215317B2 (en) 2016-01-15 2019-02-26 Lam Research Corporation Additively manufactured gas distribution manifold
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11812816B2 (en) 2017-05-11 2023-11-14 Illinois Tool Works Inc. Protective headwear with airflow
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
JP7058545B2 (ja) 2018-04-25 2022-04-22 東京エレクトロン株式会社 ガス供給管のクリーニング方法および処理システム
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP6905505B2 (ja) * 2018-12-13 2021-07-21 株式会社Kokusai Electric 半導体装置の製造方法、表面処理方法、基板処理装置、およびプログラム
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
CN110597113B (zh) * 2019-09-03 2021-03-02 国网福建省电力有限公司检修分公司 一种sf6尾气电子控制式集气袋及其控制方法
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
CN112713073B (zh) 2019-10-24 2024-03-12 中微半导体设备(上海)股份有限公司 一种耐腐蚀气体输送部件及其等离子体处理装置
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
CN112713074B (zh) * 2019-10-25 2023-03-07 中微半导体设备(上海)股份有限公司 气体喷淋头组件及等离子体处理设备
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
CN111359859B (zh) * 2020-03-20 2021-04-06 江苏时羿医疗软管有限公司 一种波纹管生产制造工艺
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114405796B (zh) * 2021-12-24 2023-04-14 北京北方华创微电子装备有限公司 保护涂层形成方法、管状连接件以及半导体工艺设备

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5443943A (en) * 1977-09-13 1979-04-06 Osaka Gas Co Ltd Surface coating
JPS63223145A (ja) * 1987-03-10 1988-09-16 Sumitomo Metal Ind Ltd 耐食性の優れたベロ−ズおよびその製法
JP2002079205A (ja) * 2000-09-07 2002-03-19 Sekisui Chem Co Ltd 管の内面洗浄方法
JP2003332326A (ja) * 2002-05-10 2003-11-21 Tokyo Electron Ltd プラズマ処理装置およびプラズマ処理方法
JP2006049489A (ja) * 2004-08-03 2006-02-16 Hitachi Kokusai Electric Inc 基板処理装置

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3930754A (en) 1974-12-23 1976-01-06 Universal Oil Products Company Portable water sampling apparatus
SE7607204L (sv) 1975-07-04 1977-01-05 Daiwa Kogyo Co Sett och apparat for att forse metallror med invendigt skyddsoverdrag
JPH05220451A (ja) 1992-02-14 1993-08-31 Dainippon Ink & Chem Inc ウレタンエラストマーの金属管または金属バルブへのライニング方法
US5451259A (en) * 1994-02-17 1995-09-19 Krogh; Ole D. ECR plasma source for remote processing
US5633038A (en) 1994-10-25 1997-05-27 Atlantic Richfield Company Method of treatment of pipelines and other steel surfaces for improved coating adhesion
US5696207A (en) 1994-12-09 1997-12-09 Geo-Centers, Inc. Fluroropolymeric substrates with metallized surfaces and methods for producing the same
KR19980033213A (ko) 1996-10-31 1998-07-25 조셉제이.스위니 스퍼터링 챔버내의 미립자 물질 발생 감소 방법
JP4125406B2 (ja) 1997-08-08 2008-07-30 忠弘 大見 フッ化不働態処理が施された溶接部材の溶接方法および再フッ化不働態処理方法ならびに溶接部品
US6020034A (en) 1997-11-14 2000-02-01 E. I. Du Pont De Nemours And Company Process for producing corrosion- and creep resistant coatings
TW465017B (en) 1999-04-13 2001-11-21 Applied Materials Inc A corrosion-resistant protective coating for an apparatus and method for processing a substrate
US6290088B1 (en) 1999-05-28 2001-09-18 American Air Liquide Inc. Corrosion resistant gas cylinder and gas delivery system
JP3662779B2 (ja) * 1999-06-22 2005-06-22 シャープ株式会社 プラズマ処理装置
US6444083B1 (en) * 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
CN1279589C (zh) * 2001-01-19 2006-10-11 东京毅力科创株式会社 基板的处理方法和基板的处理装置
WO2002097855A1 (en) 2001-05-29 2002-12-05 Tokyo Electron Limited Plasma processing apparatus and method
FR2828924B1 (fr) 2001-08-27 2003-10-31 Coflexip Conduite flexible pour le transport d'un fluide
US6682627B2 (en) 2001-09-24 2004-01-27 Applied Materials, Inc. Process chamber having a corrosion-resistant wall and method
KR100468319B1 (ko) * 2002-03-12 2005-01-27 (주)누리셀 파릴렌 고분자막 코팅 장치
US6825051B2 (en) 2002-05-17 2004-11-30 Asm America, Inc. Plasma etch resistant coating and process
US6905773B2 (en) 2002-10-22 2005-06-14 Schlage Lock Company Corrosion-resistant coatings and methods of manufacturing the same
EP2503023B1 (en) * 2003-03-12 2016-02-24 Toyo Seikan Kaisha, Ltd. Processing gas supply member for a microwave plasma processing device
US7234222B1 (en) 2003-09-26 2007-06-26 Lam Research Corporation Methods and apparatus for optimizing the delivery of a set of gases in a plasma processing system
US7135426B2 (en) 2004-05-25 2006-11-14 Applied Materials, Inc. Erosion resistant process chamber components
US7300684B2 (en) 2004-07-15 2007-11-27 Sub-One Technology, Inc. Method and system for coating internal surfaces of prefabricated process piping in the field
US20060065523A1 (en) 2004-09-30 2006-03-30 Fangli Hao Corrosion resistant apparatus for control of a multi-zone nozzle in a plasma processing system
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
GB2428604B (en) 2005-08-05 2010-12-08 Visteon Global Tech Inc Anti-Fouling coating
US20080092806A1 (en) 2006-10-19 2008-04-24 Applied Materials, Inc. Removing residues from substrate processing components
FR2908787B1 (fr) 2006-11-22 2009-01-16 Bs Coatings Soc Par Actions Si Procede d'application d'un revetement anticorrosion sur les pieces d'une canalisation, incluant l'utilisation de solution aqueuse de silane et de peinture poudre epoxy.
US7959994B2 (en) 2007-01-29 2011-06-14 Praxair Technology, Inc. Diptube apparatus and delivery method
JP5029041B2 (ja) 2007-01-30 2012-09-19 Tdk株式会社 プラズマcvd装置、及び、薄膜製造方法
US8128750B2 (en) 2007-03-29 2012-03-06 Lam Research Corporation Aluminum-plated components of semiconductor material processing apparatuses and methods of manufacturing the components
KR100907458B1 (ko) * 2007-05-25 2009-07-10 우원전자 주식회사 가스 스쿠루버용 금속 배관
JP6328001B2 (ja) * 2013-08-30 2018-05-23 キヤノン株式会社 インプリント用硬化性組成物、膜、膜の製造方法
EP3056069A4 (en) * 2013-10-07 2017-06-21 Aeonclad Coatings, LLC Low-cost plasma reactor

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5443943A (en) * 1977-09-13 1979-04-06 Osaka Gas Co Ltd Surface coating
JPS63223145A (ja) * 1987-03-10 1988-09-16 Sumitomo Metal Ind Ltd 耐食性の優れたベロ−ズおよびその製法
JP2002079205A (ja) * 2000-09-07 2002-03-19 Sekisui Chem Co Ltd 管の内面洗浄方法
JP2003332326A (ja) * 2002-05-10 2003-11-21 Tokyo Electron Ltd プラズマ処理装置およびプラズマ処理方法
JP2006049489A (ja) * 2004-08-03 2006-02-16 Hitachi Kokusai Electric Inc 基板処理装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020080408A (ja) * 2015-02-13 2020-05-28 インテグリス・インコーポレーテッド 基材物品および装置の特性および性能を増強するためのコーティング

Also Published As

Publication number Publication date
US20140366968A1 (en) 2014-12-18
CN102859033B (zh) 2015-06-03
SG184948A1 (en) 2012-11-29
TWI538046B (zh) 2016-06-11
TW201209910A (en) 2012-03-01
JP5855644B2 (ja) 2016-02-09
KR101787139B1 (ko) 2017-10-18
SG10201503199PA (en) 2015-06-29
KR20130093515A (ko) 2013-08-22
US9689533B2 (en) 2017-06-27
CN102859033A (zh) 2013-01-02
US8852685B2 (en) 2014-10-07
US20110259519A1 (en) 2011-10-27
WO2011133207A3 (en) 2012-02-23
WO2011133207A2 (en) 2011-10-27

Similar Documents

Publication Publication Date Title
JP5855644B2 (ja) ガス供給システムのためのコーティング方法
JP3308091B2 (ja) 表面処理方法およびプラズマ処理装置
JP4985928B2 (ja) 多層コート耐食性部材
US7732056B2 (en) Corrosion-resistant aluminum component having multi-layer coating
US6902628B2 (en) Method of cleaning a coated process chamber component
JP2019094566A (ja) フッ素プラズマに対する保護に適した保護コーティングを有するチャンバ構成要素
JP2007115973A (ja) 耐食性部材
JP2010522989A (ja) 半導体材料処理装置のアルミニウムめっき構成要素および該構成要素を製造する方法
KR20070045369A (ko) 플라즈마 처리 용기내용 용사 피막 피복 부재 및 그 제조방법
TW201447968A (zh) 等離子體裝置內具有氧化釔包覆層的部件及其製造方法
JP5040119B2 (ja) 耐環境部材、半導体製造装置及び耐環境部材の製造方法
JP2004003022A (ja) プラズマ処理容器内部材
JPH0285358A (ja) 減圧装置
JP2003321760A (ja) プラズマ処理容器内部材およびその製造方法
TW201330092A (zh) 包含塗矽氣體供應管之系統及施加塗層用方法
TWI679702B (zh) 用於處理腔室中的腔室部件以及處理腔室部件的方法
JP3500266B2 (ja) 半導体製造プロセス用部材
JP5614873B2 (ja) 半導体加工装置用部材およびその製造方法
JP2002093719A (ja) 成膜装置用金属材料部材及びそれを用いた成膜装置
JP7066868B2 (ja) 反応室コンポーネント、作製方法、及び反応室
KR101972455B1 (ko) 친환경적인 제조공정을 갖는 비드와이어 및 이의 제조방법
JP6362461B2 (ja) 腐食防止方法
JP2007119924A (ja) プラズマ処理容器内用高純度溶射皮膜被覆部材およびその製造方法
JPH07283157A (ja) 半導体装置用拡散炉の排気装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20140411

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150224

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20150227

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150520

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20151110

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20151209

R150 Certificate of patent or registration of utility model

Ref document number: 5855644

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250