CN102859033A - 用于气体输送系统的涂布的方法 - Google Patents

用于气体输送系统的涂布的方法 Download PDF

Info

Publication number
CN102859033A
CN102859033A CN2011800201772A CN201180020177A CN102859033A CN 102859033 A CN102859033 A CN 102859033A CN 2011800201772 A CN2011800201772 A CN 2011800201772A CN 201180020177 A CN201180020177 A CN 201180020177A CN 102859033 A CN102859033 A CN 102859033A
Authority
CN
China
Prior art keywords
gas
internal surface
gas passage
delivery system
fluid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2011800201772A
Other languages
English (en)
Other versions
CN102859033B (zh
Inventor
伊恩·肯沃西
杜安·奥特卡
郝方力
伦纳德·沙普利斯
杜义军
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN102859033A publication Critical patent/CN102859033A/zh
Application granted granted Critical
Publication of CN102859033B publication Critical patent/CN102859033B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F17STORING OR DISTRIBUTING GASES OR LIQUIDS
    • F17DPIPE-LINE SYSTEMS; PIPE-LINES
    • F17D5/00Protection or supervision of installations
    • F17D5/005Protection or supervision of installations of gas pipelines, e.g. alarm
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/30Processes for applying liquids or other fluent materials performed by gravity only, i.e. flow coating
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D7/00Processes, other than flocking, specially adapted for applying liquids or other fluent materials to particular surfaces or for applying particular liquids or other fluent materials
    • B05D7/22Processes, other than flocking, specially adapted for applying liquids or other fluent materials to particular surfaces or for applying particular liquids or other fluent materials to internal surfaces, e.g. of tubes
    • B05D7/222Processes, other than flocking, specially adapted for applying liquids or other fluent materials to particular surfaces or for applying particular liquids or other fluent materials to internal surfaces, e.g. of tubes of pipes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F17STORING OR DISTRIBUTING GASES OR LIQUIDS
    • F17DPIPE-LINE SYSTEMS; PIPE-LINES
    • F17D1/00Pipe-line systems
    • F17D1/02Pipe-line systems for gases or vapours
    • F17D1/04Pipe-line systems for gases or vapours for distribution of gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Engineering & Computer Science (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Application Of Or Painting With Fluid Materials (AREA)
  • Plasma Technology (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

一种涂布用于等离子体工艺系统的气体输送系统的气体通道的内表面的方法,该等离子体处理系统诸如等离子体蚀刻系统,该方法包括(a)使耐腐蚀材料的流体前驱体流动通过气体通道,并沉积流体前驱体的层完全地覆盖该气体通道的内表面;(b)从内表面去除过量的流体前驱体;(c)固化该流体前驱体的沉积层,以形成耐腐蚀的材料涂层。

Description

用于气体输送系统的涂布的方法
背景技术
在衬底的处理中,例如,在半导体衬底或诸如在平板显示器制造中使用的玻璃面板,通常采用等离子体。例如,作为衬底处理的一部分(等离子体蚀刻,化学气相沉积,等离子体增强化学气相沉积,物理气相沉积,等),衬底被分成多个管芯或矩形区域,该多个管芯或矩形区域中的每个将成为集成电路。然后,该衬底在一系列的步骤中处理,其中,选择性地去除(蚀刻)和添加(沉积)材料,以形成其上的电气元件。
在示例性的等离子体工艺中,在蚀刻之前,衬底涂有硬化的感光乳液(即,如光致抗蚀剂掩模等)的薄膜。然后,选择性地去除硬化的感光乳液的区域,从而导致下伏衬底的一部分暴露。然后,在等离子处理系统的腔室中放置衬底在包括单极或双极型电极的被称为卡盘的衬底支撑结构上。然后使合适的工艺气体(如C4F8、C4F6、CHF3、CH2F2、CF4、CH3F、C2F4、N2、O2、HBr、Ar、Xe、He、H2、NH3、SF6、BCl3、Cl2,等等)通过气体输送系统的气体通道流入腔室,并使之电离形成等离子体以蚀刻衬底的暴露的区域。含卤素的工艺气体是高腐蚀性的,并且会损坏气体通道的内表面。
发明内容
公开了一种用于涂布气体输送系统的气体通道的内表面的方法,该气体输送系统被配置成输送气体进入等离子处理系统的腔室,该方法包括:(a)使耐腐蚀材料的流体前驱体流动通过气体通道,并沉积流体前驱体的层以完全覆盖气体通道的内表面;(b)从内表面去除过量的流体前驱体;(c)固化流体前驱体的所沉积的层,以形成耐腐蚀的材料涂层。
附图说明
图1是等离子体处理系统的简化横截面示意图。
图2示意了在波纹管的盘旋结构中耐腐蚀材料的流体前驱体的聚积。
具体实施方式
图1示出了等离子体处理系统100的简化的横截面视图。通常地,使一种或多种工艺气体从气体输送系统122通过入口108流入腔室102。这些工艺气体随后会被电离以形成等离子体110,以对衬底114的暴露的区域进行处理(例如,蚀刻或沉积等),衬底114诸如半导体衬底或玻璃面板等,其放置在静电卡盘116上。网状电极120,以及衬板112,有助于使等离子体110最优化地集中在衬底114上。
气体输送系统122可以包括一个或多个质量流量控制器,该控制器连接到含有等离子体处理气体(如C4F8、C4F6、CHF3、CH2F2、CF4、HBr、CH3F、C2F4、N2、O2、Ar、Xe、He、H2、NH3、SF6、BCl3、Cl2,等等)的压缩气体瓶124a-f。气瓶124a-f可通过外壳128得到进一步的保护,外壳128提供局部排气通风。质量流量控制器126可以是在半导体工业中使用的以便测量和调节到等离子体处理系统中的气体的质量流量的配套装置(由换能器、控制阀、和控制与处理信号的电子设备组成)。气体输送系统122包括工艺气体流过其中的气体通道。气体输送系统中的各个组成部分通过管材和波纹管区相互关联,其中,这些区容许诸如处理腔室门等某些组件的运动。
等离子体处理过程中,需要输送超纯的工艺气体,以最大限度地提高半导体工厂的生产效率和产量。然而,因为其高度腐蚀性和反应性,这类气体的输送产生了特殊的挑战。
特别地,在气体输送系统中产生的腐蚀可能会大幅降低衬底的产量。例如,在蚀刻衬底的工艺中,从在工艺气体中的材料(例如,碳,氟,氢,氮,氧,硅,硼,氯,等)中,从在衬底上的材料(如光致抗蚀剂,硅,氧,氮,铝,钛等)中,或从等离子体处理室或气体输送系统内的结构材料(例如,不锈钢,铝,石英等)中,可能会产生污染物。
在半导体生产中,具有被制造的器件特征尺寸的十分之一的颗粒可显著地损坏该器件。接着,与工艺气体接触的组件通常设计为以减少或消除系统污染的潜在来源。由于气体输送系统会是污染的重要来源,气体通道通常由一组选定的金属(例如,电抛光不锈钢,铜(Cu),铝(Al),金属合金等)组成。
例如,不锈钢是铁(Fe)系合金,具有众多铬(Cr)和镍(Ni)的合金化添加剂,其中,铬(Cr)给金属提供“不锈”或耐腐蚀的特性,以及镍(Ni)使奥氏体稳定并使金属无磁性和牢固。电解抛光通常可以改善部件的表面化学性质,增强钝化氧化膜,并从表面去除任何游离铁。
在一般情况下,不锈钢包括“钝化”膜涂层,该涂层可对进一步的“氧化”或生锈有抗性。当暴露在氧气中该膜可快速地形成。一旦该膜形成,金属变成“钝化的”时,氧化或“生锈”的速度将大大减慢。
尽管减少腐蚀做出的努力,诸如电解抛光、钝化、镜面光滑表面处理(粗糙度Ra<5密耳)等,但由于长期暴露于工艺气体,腐蚀仍然发生,特别是在某些区域,如靠近焊接接头的部分和周期性地暴露于湿气中的不锈钢气体通道的部分等。
腐蚀的程度和因此形成的污染物的量可取决于许多因素,如气体的浓度和纯度、含湿量、温度、气体通道材料的局部不均匀性、系统流速、曝露时间、和曝光频率等。例如,当含湿量超过百万分之几(ppm)时,诸如氯化氢或溴化氢等含卤素的气体可腐蚀不锈钢。
虽然湿气可以减少,但通常不能完全被去除。例如,虽然等离子体处理气体通常以纯净的形式存储在压缩气瓶中,但当气瓶被更换时或对处理腔室进行维护时,会引入湿气到气体输送系统中。
虽然在不锈钢中腐蚀的实际量往往是小的,但从腐蚀区域释放的铁和铬的量可以由不锈钢气体通道的气流携带到其中进行半导体衬底处理的等离子处理腔室中。结果在等离子体处理室中处理的半导体衬底会发生严重污染。在衬底上的铁或铬污染的典型容限小于5.0x1010原子/平方厘米。因此,为防止或大大减少不锈钢气体通道的腐蚀采取的措施是合乎需要的。减少气体输送系统中的腐蚀的途径之一,是防止不锈钢气体通道(例如管材和波纹管)接触腐蚀性气体。
根据此途径的一种方法是使用耐腐蚀管材(例如,聚乙烯管材、聚丙烯管材、弹性玻璃管材、金属涂布的塑料管材、陶瓷管材、具有传导屏蔽层夹在两层塑料之间的叠层管材、或阳极铝等)。
通过引用并入此处的普通转让的美国专利No.7,234,222,公开了将塑料套粘接或注射成型到不锈钢管材的内部表面上的方法。
本文描述了流动涂布法的实施方式,其中耐腐蚀材料的流体前驱体经过气体输送系统中的气体通道,以在气体通道的内表面上沉积流体前驱体的层,且使所沉积的该流体前驱体层固化以在气体通道的内表面上形成耐腐蚀材料的层。可以用焊接金属(如不锈钢)管材、波纹管,管接头,法兰,阀门等形成气体通道。流动涂布方法对涂布具有复杂的几何形状和/或小直径(例如,波纹管的内表面,或者具有0.25英寸或更小的外径的管材的内表面)的内表面是有效的。
流动涂布方法的实施方式包括以下步骤:(a)用合适的洗涤剂和/或合适的化学品(例如,用10至20wt.%的硝酸进行3分钟,并用去离子水冲洗内部表面至少5分钟)清洁气体输送系统的气体通道的内表面;(b)使内表面干燥(例如,通过吹入干燥氮气或干燥空气经过气体通道,并且在真空烘箱中以至少120℃的温度烘烤气体通道);(c)使耐腐蚀材料流体前驱体(例如,PERMABOND生产的HL126液体聚合物前驱体或甲基丙烯酸酯)流动通过气体通道,并沉积流体前驱体的层以覆盖气体通道的内表面;(d)从内表面去除过量的流体前驱体(例如,通过吹入干燥氮气或干燥空气进入气体通道);(e)固化流体前驱体的沉积层,以形成耐腐蚀的材料涂层(例如,通过在真空烘箱中在至少100℃的温度和1至10托的压强下烘烤气体通道,或保持气体通路在环境温度和压强下至少24小时)。可施加相同的第二涂层。生成的涂层可具有从0.0014至0.0034英寸厚的厚度,并且非脆性。
然而,如图2所示,如果气体通道包括焊接到气体通道的其他组件(如管材,配件,阀门,法兰等)的波纹管201,则流体前驱体会在波纹管201的内表面的盘旋结构202积聚,并在盘旋结构202上固化成过量的耐腐蚀材料,其可能会剥落并成为微粒污染物。
经过长时间暴露于腐蚀性气体之后,气体输送系统中的未涂布的不锈钢波纹管的检测展现波纹管的盘旋结构没有显示任何腐蚀迹象,而在该气体输送系统中的其他组件和组件之间的焊接接头存在严重的腐蚀。因此,选择性地涂布的方法可以消除在波纹管的盘旋结构中耐腐蚀材料的积聚的问题,其中,耐腐蚀材料的涂层被施加在气体输送系统中的气体通路的除了其中的波纹管的内表面以外的所有的内表面上。
选择性涂布方法的实施方式包括以下步骤:(a)在将波纹管焊接到气体通道的其余部分之前,用掩蔽剂涂布波纹管的内表面以防止流体前驱体的粘附,掩蔽剂如光致抗蚀剂、油、硅烷和/或烷氧基硅烷(硅烷和/或烷氧基硅烷可在波纹管的内表面上提供硅烷化的层)等;(b)将波纹管焊接到其他组件;(c)使流体前驱体(例如HL126液体聚合物前驱体或甲基丙烯酸酯)流动,通过气体通道,且沉积流体前驱体的层以覆盖除了波纹管的内表面的气体通道的内表面;(d)去除过量的流体前驱体(例如,通过吹入干燥氮气或干燥的空气进入气体通道);(e)固化流体前驱体的沉积层(例如,在真空烘箱中在至少100℃的温度和在1至10托的压强下烘烤气体通道,或通过保持该气体通道在环境温度和压强下至少24小时);(f)可选地,从波纹管的内表面去除掩蔽剂。
掩蔽剂可以是光致抗蚀剂、油、硅烷、烷氧基硅烷或其他适合的材料。流体前驱体可以是HL126聚合物或甲基丙烯酸酯或其它合适的材料。掩蔽剂可由丙酮、光致抗蚀剂去除剂或其它合适的材料去除(例如溶解、蚀刻,等)。
虽然参照其中特定的实施方式,对涂布气体输送系统的气体通道的内表面的方法已进行了详细描述,但对本领域技术人员而言,在不脱离所附的权利要求的范围下,可以做出各种变化和修改,以及使用等同方法是显而易见的。

Claims (17)

1.一种用于涂布气体输送系统的气体通道的内表面的方法,该气体输送系统被配置成输送气体进入等离子处理系统的腔室,所述方法包括:
(a)使耐腐蚀材料的流体前驱体流动通过所述气体通道,并沉积所述流体前驱体的层以覆盖所述气体通道的所述内表面;
(b)从所述内表面去除过量的流体前驱体;
(c)固化所述流体前驱体的所述沉积层,以形成耐腐蚀的材料涂层。
2.如权利要求1的方法,其中:
所述流体前体包括一种或多种甲基丙烯酸酯;
通过吹入干燥氮气或干燥空气到所述气体通道将过量的流体前驱体去除;
通过在真空烘箱中在至少100℃的温度和在1至10托的压强下烘烤所述气体通道,或通过保持所述气体通道在环境温度和压强下至少24小时,使所述流体前体的所述沉积层固化。
3.如权利要求1所述的方法,进一步包括在使所述流体前驱体流动之前,清洁所述内表面和干燥所述内表面。
4.如权利要求3所述的方法,其中:
用10至20wt.%的硝酸清洁所述内表面持续3分钟,并用去离子水冲洗所述内表面至少5分钟;以及,
通过吹入干燥的氮气或干燥的空气经过所述气体通道,并在真空烘箱中在至少120℃的温度下烘烤所述气体通道,使所述内部表面干燥。
5.如权利要求1所述的方法,其中,所述气体通道在具有0.25英寸或更小的外径的不锈钢管材中。
6.如权利要求5所述的方法,其中,至少一个不锈钢波纹管焊接到所述不锈钢管材中。
7.如权利要求6所述的方法,还包括:
在焊接所述波纹管到所述管材之前,用防止所述流体前驱体粘附的掩蔽剂涂布所述波纹管的所述内表面;以及可选地,在所述固化步骤后,从所述波纹管的所述内表面去除所述掩蔽剂。
8.如权利要求7所述方法,其中,所述掩模剂为光致抗蚀剂、油、硅烷和/或烷氧基硅烷。
9.如权利要求1所述的方法,其中,重复步骤(a)-(c)。
10.如权利要求1所述的方法,其中,所述涂层对含卤素的工艺气体有抗性。
11.如权利要求1所述的方法,其中,所述的耐腐蚀材料涂层具有0.0014至0.0034英寸的厚度。
12.一种配置成输送工艺气体到等离子体处理系统的腔室的气体输送系统,所述气体输送系统包括气体通道,其内表面涂布有通过将沉积在所述内表面的流体前驱体的层固化而形成的耐腐蚀材料涂层。
13.如权利要求12所述的气体输送系统,还包括焊接到其中具有所述气体通路的不锈钢管材的至少一个不锈钢波纹管,且所述波纹管的所述内表面未涂布所述涂层。
14.如权利要求12所述的气体输送系统,其中,所述气体通道是在具有0.25英寸或更小的外径的不锈钢管材中。
15.如权利要求12所述的气体输送系统,其中,所述涂层对含卤素的工艺气体有抗性。
16.一种包括如权利要求12所述的气体输送系统的等离子处理系统,其中,所述气体输送系统输送含卤素的工艺气体到所述等离子体处理系统的腔室中,并且激励所述工艺气体成等离子体以处理支架在所述腔室的单独半导体衬底。
17.如权利要求16所述的等离子体处理系统,其中,所述衬底由所述等离子体蚀刻。
CN201180020177.2A 2010-04-23 2011-04-15 用于气体输送系统的涂布的方法 Active CN102859033B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/766,529 US8852685B2 (en) 2010-04-23 2010-04-23 Coating method for gas delivery system
US12/766,529 2010-04-23
PCT/US2011/000683 WO2011133207A2 (en) 2010-04-23 2011-04-15 A coating method for gas delivery system

Publications (2)

Publication Number Publication Date
CN102859033A true CN102859033A (zh) 2013-01-02
CN102859033B CN102859033B (zh) 2015-06-03

Family

ID=44814778

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201180020177.2A Active CN102859033B (zh) 2010-04-23 2011-04-15 用于气体输送系统的涂布的方法

Country Status (7)

Country Link
US (2) US8852685B2 (zh)
JP (1) JP5855644B2 (zh)
KR (1) KR101787139B1 (zh)
CN (1) CN102859033B (zh)
SG (2) SG184948A1 (zh)
TW (1) TWI538046B (zh)
WO (1) WO2011133207A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107406979A (zh) * 2015-03-20 2017-11-28 Asm国际股份有限公司 用于清洁沉积设备的方法
CN114405796A (zh) * 2021-12-24 2022-04-29 北京北方华创微电子装备有限公司 保护涂层形成方法、管状连接件以及半导体工艺设备

Families Citing this family (259)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9396912B2 (en) 2011-10-31 2016-07-19 Lam Research Corporation Methods for mixed acid cleaning of showerhead electrodes
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
TWI693638B (zh) 2014-04-07 2020-05-11 美商蘭姆研究公司 獨立於配置的氣體輸送系統
US9355823B2 (en) * 2014-05-09 2016-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for removing particles from etching chamber
US9999546B2 (en) 2014-06-16 2018-06-19 Illinois Tool Works Inc. Protective headwear with airflow
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10557197B2 (en) 2014-10-17 2020-02-11 Lam Research Corporation Monolithic gas distribution manifold and various construction techniques and use cases therefor
US20160138161A1 (en) * 2014-11-19 2016-05-19 Applied Materials, Inc. Radical assisted cure of dielectric films
JP6396819B2 (ja) * 2015-02-03 2018-09-26 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
SG11201706564UA (en) * 2015-02-13 2017-09-28 Entegris Inc Coatings for enhancement of properties and performance of substrate articles and apparatus
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10022689B2 (en) 2015-07-24 2018-07-17 Lam Research Corporation Fluid mixing hub for semiconductor processing tool
US10118263B2 (en) 2015-09-02 2018-11-06 Lam Researech Corporation Monolithic manifold mask and substrate concepts
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10215317B2 (en) 2016-01-15 2019-02-26 Lam Research Corporation Additively manufactured gas distribution manifold
US9879795B2 (en) 2016-01-15 2018-01-30 Lam Research Corporation Additively manufactured gas distribution manifold
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11812816B2 (en) 2017-05-11 2023-11-14 Illinois Tool Works Inc. Protective headwear with airflow
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
JP7058545B2 (ja) 2018-04-25 2022-04-22 東京エレクトロン株式会社 ガス供給管のクリーニング方法および処理システム
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
JP6905505B2 (ja) * 2018-12-13 2021-07-21 株式会社Kokusai Electric 半導体装置の製造方法、表面処理方法、基板処理装置、およびプログラム
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
CN110597113B (zh) * 2019-09-03 2021-03-02 国网福建省电力有限公司检修分公司 一种sf6尾气电子控制式集气袋及其控制方法
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
CN112713073B (zh) * 2019-10-24 2024-03-12 中微半导体设备(上海)股份有限公司 一种耐腐蚀气体输送部件及其等离子体处理装置
CN112713074B (zh) * 2019-10-25 2023-03-07 中微半导体设备(上海)股份有限公司 气体喷淋头组件及等离子体处理设备
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
CN111359859B (zh) * 2020-03-20 2021-04-06 江苏时羿医疗软管有限公司 一种波纹管生产制造工艺
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2428604A (en) * 2005-08-05 2007-02-07 Visteon Global Tech Inc Fluorosiloxane anti-foul coating on heat exchanger
US7234222B1 (en) * 2003-09-26 2007-06-26 Lam Research Corporation Methods and apparatus for optimizing the delivery of a set of gases in a plasma processing system
KR20070068311A (ko) * 2007-05-25 2007-06-29 우원전자 주식회사 가스 스쿠루버용 금속 배관

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3930754A (en) 1974-12-23 1976-01-06 Universal Oil Products Company Portable water sampling apparatus
SE7607204L (sv) 1975-07-04 1977-01-05 Daiwa Kogyo Co Sett och apparat for att forse metallror med invendigt skyddsoverdrag
JPS5443943A (en) * 1977-09-13 1979-04-06 Osaka Gas Co Ltd Surface coating
JPS63223145A (ja) * 1987-03-10 1988-09-16 Sumitomo Metal Ind Ltd 耐食性の優れたベロ−ズおよびその製法
JPH05220451A (ja) 1992-02-14 1993-08-31 Dainippon Ink & Chem Inc ウレタンエラストマーの金属管または金属バルブへのライニング方法
US5451259A (en) * 1994-02-17 1995-09-19 Krogh; Ole D. ECR plasma source for remote processing
US5633038A (en) 1994-10-25 1997-05-27 Atlantic Richfield Company Method of treatment of pipelines and other steel surfaces for improved coating adhesion
US5696207A (en) 1994-12-09 1997-12-09 Geo-Centers, Inc. Fluroropolymeric substrates with metallized surfaces and methods for producing the same
KR19980033213A (ko) * 1996-10-31 1998-07-25 조셉제이.스위니 스퍼터링 챔버내의 미립자 물질 발생 감소 방법
JP4125406B2 (ja) 1997-08-08 2008-07-30 忠弘 大見 フッ化不働態処理が施された溶接部材の溶接方法および再フッ化不働態処理方法ならびに溶接部品
US6020034A (en) 1997-11-14 2000-02-01 E. I. Du Pont De Nemours And Company Process for producing corrosion- and creep resistant coatings
TW465017B (en) 1999-04-13 2001-11-21 Applied Materials Inc A corrosion-resistant protective coating for an apparatus and method for processing a substrate
US6290088B1 (en) 1999-05-28 2001-09-18 American Air Liquide Inc. Corrosion resistant gas cylinder and gas delivery system
JP3662779B2 (ja) * 1999-06-22 2005-06-22 シャープ株式会社 プラズマ処理装置
US6444083B1 (en) * 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
JP2002079205A (ja) * 2000-09-07 2002-03-19 Sekisui Chem Co Ltd 管の内面洗浄方法
WO2002058128A1 (fr) * 2001-01-19 2002-07-25 Tokyo Electron Limited Procede et dispositif pour le traitement d'un substrat
WO2002097855A1 (en) 2001-05-29 2002-12-05 Tokyo Electron Limited Plasma processing apparatus and method
FR2828924B1 (fr) 2001-08-27 2003-10-31 Coflexip Conduite flexible pour le transport d'un fluide
US6682627B2 (en) 2001-09-24 2004-01-27 Applied Materials, Inc. Process chamber having a corrosion-resistant wall and method
KR100468319B1 (ko) * 2002-03-12 2005-01-27 (주)누리셀 파릴렌 고분자막 코팅 장치
JP4338355B2 (ja) * 2002-05-10 2009-10-07 東京エレクトロン株式会社 プラズマ処理装置
US6825051B2 (en) 2002-05-17 2004-11-30 Asm America, Inc. Plasma etch resistant coating and process
US6905773B2 (en) 2002-10-22 2005-06-14 Schlage Lock Company Corrosion-resistant coatings and methods of manufacturing the same
WO2004081254A1 (ja) * 2003-03-12 2004-09-23 Toyo Seikan Kaisha Ltd. マイクロ波プラズマ処理装置及びプラズマ処理用ガス供給部材
US7135426B2 (en) 2004-05-25 2006-11-14 Applied Materials, Inc. Erosion resistant process chamber components
US7300684B2 (en) 2004-07-15 2007-11-27 Sub-One Technology, Inc. Method and system for coating internal surfaces of prefabricated process piping in the field
JP2006049489A (ja) * 2004-08-03 2006-02-16 Hitachi Kokusai Electric Inc 基板処理装置
US20060065523A1 (en) 2004-09-30 2006-03-30 Fangli Hao Corrosion resistant apparatus for control of a multi-zone nozzle in a plasma processing system
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20080092806A1 (en) 2006-10-19 2008-04-24 Applied Materials, Inc. Removing residues from substrate processing components
FR2908787B1 (fr) 2006-11-22 2009-01-16 Bs Coatings Soc Par Actions Si Procede d'application d'un revetement anticorrosion sur les pieces d'une canalisation, incluant l'utilisation de solution aqueuse de silane et de peinture poudre epoxy.
US8524321B2 (en) 2007-01-29 2013-09-03 Praxair Technology, Inc. Reagent dispensing apparatus and delivery method
JP5029041B2 (ja) 2007-01-30 2012-09-19 Tdk株式会社 プラズマcvd装置、及び、薄膜製造方法
US8128750B2 (en) 2007-03-29 2012-03-06 Lam Research Corporation Aluminum-plated components of semiconductor material processing apparatuses and methods of manufacturing the components
JP6328001B2 (ja) * 2013-08-30 2018-05-23 キヤノン株式会社 インプリント用硬化性組成物、膜、膜の製造方法
EP3056069A4 (en) * 2013-10-07 2017-06-21 Aeonclad Coatings, LLC Low-cost plasma reactor

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7234222B1 (en) * 2003-09-26 2007-06-26 Lam Research Corporation Methods and apparatus for optimizing the delivery of a set of gases in a plasma processing system
GB2428604A (en) * 2005-08-05 2007-02-07 Visteon Global Tech Inc Fluorosiloxane anti-foul coating on heat exchanger
KR20070068311A (ko) * 2007-05-25 2007-06-29 우원전자 주식회사 가스 스쿠루버용 금속 배관

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107406979A (zh) * 2015-03-20 2017-11-28 Asm国际股份有限公司 用于清洁沉积设备的方法
CN107406979B (zh) * 2015-03-20 2019-06-14 Asm国际股份有限公司 用于清洁沉积设备的方法
CN114405796A (zh) * 2021-12-24 2022-04-29 北京北方华创微电子装备有限公司 保护涂层形成方法、管状连接件以及半导体工艺设备

Also Published As

Publication number Publication date
JP2013529379A (ja) 2013-07-18
JP5855644B2 (ja) 2016-02-09
SG10201503199PA (en) 2015-06-29
US20110259519A1 (en) 2011-10-27
TWI538046B (zh) 2016-06-11
KR20130093515A (ko) 2013-08-22
CN102859033B (zh) 2015-06-03
WO2011133207A2 (en) 2011-10-27
US9689533B2 (en) 2017-06-27
WO2011133207A3 (en) 2012-02-23
US20140366968A1 (en) 2014-12-18
US8852685B2 (en) 2014-10-07
TW201209910A (en) 2012-03-01
KR101787139B1 (ko) 2017-10-18
SG184948A1 (en) 2012-11-29

Similar Documents

Publication Publication Date Title
CN102859033B (zh) 用于气体输送系统的涂布的方法
CN104046981B (zh) 等离子体室部件上的抗腐蚀铝涂层
JP5313227B2 (ja) 半導体材料処理装置のアルミニウムめっき構成要素および該構成要素を製造する方法
TWI328411B (en) Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
CN111519166A (zh) 衬底部分上的复合原子层沉积ald涂层及在衬底部分上形成经图案化ald涂层的方法
KR20070045369A (ko) 플라즈마 처리 용기내용 용사 피막 피복 부재 및 그 제조방법
WO2006137541A1 (ja) 半導体処理装置用の構成部材及びその製造方法
JP2013527326A (ja) プラズマ電解酸化コーティングにおける銅または微量金属汚染物質の低減
JP2008095132A (ja) 真空成膜装置、エッチング装置等における装置構成部品、およびその製造方法と再生方法
JP4563966B2 (ja) 半導体加工装置用部材およびその製造方法
CN104241069A (zh) 等离子体装置内具有氧化钇包覆层的部件及其制造方法
JPH0285358A (ja) 減圧装置
JP4728306B2 (ja) 静電チャック部材およびその製造方法
JP2007224348A (ja) 耐環境部材、半導体製造装置及び耐環境部材の製造方法
JP5286528B2 (ja) 半導体加工装置用部材の製造方法
JP2976333B2 (ja) ステンレス鋼及びその製造方法並びに減圧装置
JP5614873B2 (ja) 半導体加工装置用部材およびその製造方法
KR100652230B1 (ko) 플라즈마방전 증착에 의해 금속코팅층을 갖는 박막에 의해 내벽이 보호된 파이프
CN106191793B (zh) 成膜装置及其清洗方法
US20200041211A1 (en) Apparatus with multistaged cooling
JP2002093719A (ja) 成膜装置用金属材料部材及びそれを用いた成膜装置
JPH06283463A (ja) 半導体製造装置
JP2009152534A (ja) 半導体基板処理システム及び半導体基板を処理する方法
JP5119429B2 (ja) 耐プラズマエロージョン性に優れる溶射皮膜被覆部材およびその製造方法
JP7194660B2 (ja) 排ガス処理設備

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant