KR101787139B1 - 가스 전달 시스템의 코팅 방법 - Google Patents

가스 전달 시스템의 코팅 방법 Download PDF

Info

Publication number
KR101787139B1
KR101787139B1 KR1020127030655A KR20127030655A KR101787139B1 KR 101787139 B1 KR101787139 B1 KR 101787139B1 KR 1020127030655 A KR1020127030655 A KR 1020127030655A KR 20127030655 A KR20127030655 A KR 20127030655A KR 101787139 B1 KR101787139 B1 KR 101787139B1
Authority
KR
South Korea
Prior art keywords
inner surfaces
gas passages
coating
bellows
gas
Prior art date
Application number
KR1020127030655A
Other languages
English (en)
Other versions
KR20130093515A (ko
Inventor
이안 켄워시
듀안 아웃카
팡리 하오
레오나르드 샤플레스
이쥔 두
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20130093515A publication Critical patent/KR20130093515A/ko
Application granted granted Critical
Publication of KR101787139B1 publication Critical patent/KR101787139B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F17STORING OR DISTRIBUTING GASES OR LIQUIDS
    • F17DPIPE-LINE SYSTEMS; PIPE-LINES
    • F17D5/00Protection or supervision of installations
    • F17D5/005Protection or supervision of installations of gas pipelines, e.g. alarm
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/30Processes for applying liquids or other fluent materials performed by gravity only, i.e. flow coating
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D7/00Processes, other than flocking, specially adapted for applying liquids or other fluent materials to particular surfaces or for applying particular liquids or other fluent materials
    • B05D7/22Processes, other than flocking, specially adapted for applying liquids or other fluent materials to particular surfaces or for applying particular liquids or other fluent materials to internal surfaces, e.g. of tubes
    • B05D7/222Processes, other than flocking, specially adapted for applying liquids or other fluent materials to particular surfaces or for applying particular liquids or other fluent materials to internal surfaces, e.g. of tubes of pipes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F17STORING OR DISTRIBUTING GASES OR LIQUIDS
    • F17DPIPE-LINE SYSTEMS; PIPE-LINES
    • F17D1/00Pipe-line systems
    • F17D1/02Pipe-line systems for gases or vapours
    • F17D1/04Pipe-line systems for gases or vapours for distribution of gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Engineering & Computer Science (AREA)
  • Wood Science & Technology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Application Of Or Painting With Fluid Materials (AREA)
  • Plasma Technology (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

플라즈마 식각 시스템과 같은 플라즈마 프로세스 시스템에 대한 가스 전달 시스템의 가스 통로들의 내면들을 코팅하는 방법은, (a) 상기 가스 통로들을 통해 내부식성 재료의 유체성 전구체를 유입시키고 가스 통로들의 상기 내면들을 코팅하도록 유체성 전구체의 층을 성막하는 단계; (b) 내면들로부터 초과 유체성 전구체를 제거하는 단계; (c) 내부식성 재료 코팅을 형성하도록 성막된 유체성 전구체의 층을 경화하는 단계를 포함한다.

Description

가스 전달 시스템의 코팅 방법{A COATING METHOD FOR GAS DELIVERY SYSTEM}
기판, 예를 들어 반도체 기판 또는 평판 디스플레이 제조에 사용되는 것과 같은 유리 패널의 프로세싱에 있어서, 플라즈마가 종종 채용된다. 기판의 프로세싱 (플라즈마 식각, 화학 기상 증착, 플라즈마 강화 화학 기상 증착, 물리 기상 증착 등) 의 일부로서, 예를 들어, 기판은 각각이 집적 회로가 되는 복수의 다이들 또는 직사각형 영역들로 분할된다. 그 후, 기판은 상부에 전기 컴포넌트들을 형성하도록 재료들이 선택적으로 제거 (식각) 되고 부가 (성막) 되는 일련의 단계들로 프로세싱된다.
일 예의 플라즈마 프로세스에 있어서, 기판은 식각 전에, 경화된 에멀션 (즉, 예를 들어 포토레지스트 마스크) 의 박막으로 코팅된다. 그 후, 경화된 에멀션의 영역들이 선택적으로 제거되어, 하부의 기판의 부분들을 노출시킨다. 그 다음, 기판은 플라즈마 프로세싱 시스템의 챔버 내에서 척이라 칭하는 단극성 또는 양극성 전극을 포함하는 기판 지지체 구조 상에 배치된다. 그 후, 적절한 프로세스 가스들 (예를 들어, C4F8, C4F6, CHF3, CH2F2, CF4, CH3F, C2F4, N2, O2, HBr, Ar, Xe, He, H2, NH3, SF6, BCl3, Cl2 등) 이 가스 전달 시스템의 가스 통로들을 통해 챔버로 유입되고 이온화되어 플라즈마를 형성하여 기판의 노출된 영역들을 식각한다. 할로겐 함유 프로세스 가스들은 부식성이 높고 가스 통로들의 내면들을 손상시킬 수 있다.
플라즈마 프로세싱 시스템의 챔버 내부로 프로세스 가스들을 전달하도록 구성된 가스 전달 시스템의 가스 통로들의 내면들을 코팅하는 방법으로서, 그 방법은 (a) 가스 통로들을 통해 내부식성 재료의 유체성 전구체를 유입시키고 가스 통로들의 내면들을 코팅하도록 유체성 전구체의 층을 성막하는 단계; (b) 내면들로부터 초과 (excess) 유체성 전구체를 제거하는 단계; (c) 내부식성 재료 코팅을 형성하도록 성막된 유체성 전구체의 층을 경화하는 단계를 포함한다.
도 1은 플라즈마 프로세싱 시스템의 개략적인 단면도를 나타낸다.
도 2는 벨루우즈 (bellows) 의 회선 (convolution) 들에서 내부식성 재료의 유체성 전구체의 축적을 나타낸다.
도 1은 플라즈마 프로세싱 시스템 (100) 의 개략적인 단면도를 나타낸다. 일반적으로, 하나 이상의 프로세스 가스들이 가스 전달 시스템 (122) 으로부터 유입구 (108) 를 통해 챔버 (102) 로 유입된다. 이어서, 이들 프로세스 가스들은, 정전 척 (116) 상에 위치된, 반도체 기판 또는 유리 패널과 같은 기판 (114) 의 노출된 영역을 프로세싱 (예를 들어, 식각 또는 성막) 하기 위해, 이온화되어 플라즈마 (110) 를 형성한다. 라이너 (112) 와 함께, 샤워 헤드 전극 (120) 은 기판 (114) 상으로 플라즈마 (110) 를 선택 가능하게 포커싱하는 것을 돕는다.
가스 전달 시스템 (122) 은 플라즈마 프로세싱 가스들 (예를 들어, C4F8, C4F6, CHF3, CH2F3, CF4, HBr, CH3F, C2F4, N2, O2, Ar, Xe, He, H2, NH3, SF6, BCl3, Cl2, WF6 등) 을 함유하는 압축된 가스 실린더들 (124a 내지 124f) 에 접속된 하나 이상의 질량 유량 제어기 (mass flow controller; MFC) 를 포함할 수 있다. 가스 실린더들 (124a 내지 124f) 은 국부적 배출 환기 장치를 제공하는 인클로저 (128) 에 의해 또한 보호될 수도 있다. 질량 유량 제어기 (126) 는 반도체 산업에 사용되는 자립형 디바이스 (트랜스듀서, 제어 밸브, 및 제어 및 신호 프로세싱 전자 장치로 구성됨) 이어서, 플라즈마 프로세싱 시스템으로의 가스의 질량 유량을 측정하고 조절할 수 있다. 가스 전달 시스템 (122) 은 가스 플로우를 프로세싱하는 가스 통로들을 포함한다. 가스 전달 시스템의 다양한 컴포넌트들은 프로세싱 챔버 도어와 같은 일부 컴포넌트들의 이동을 허용하는 배관 및 벨로우즈 섹션들에 의해 상호 접속된다.
반도체 제조 라인들에서의 생산성 및 수율을 최대화하기 위해 플라즈마 프로세싱 동안 초고순도 프로세스 가스들의 전달이 필요하다. 그러나, 이러한 가스들의 전달은 높은 부식성 및 반응성으로 인해, 특정 과제들을 가지고 있다.
특히, 가스 전달 시스템에서 생성되는 부식은 기판 수율을 실질적으로 감소시킬 수 있다. 예를 들어, 기판의 식각 프로세스에서, 프로세스 가스들의 재료들 (예를 들어, 탄소, 플루오린, 수소, 질소, 산소, 실리콘, 보론, 클로린 등), 기판의 재료들 (예를 들어, 포토레지스트, 실리콘, 산소, 질소, 알루미늄, 티타늄 등), 또는 플라즈마 프로세싱 챔버 또는 가스 전달 시스템 내의 구조적 재료들 (예를 들어, 스테인리스 스틸, 알루미늄, 석영 등) 로부터 오염 물질이 발생될 수도 있다.
반도체 제조에 있어서, 제조될 디바이스 피쳐의 1/10 크기의 파티클은 디바이스를 실질적으로 손상시킬 수 있다. 그 후, 프로세스 가스들과 접촉하는 컴포넌트들은 일반적으로 시스템 오염의 잠재적 소스들을 최소화하거나 제거하도록 제작된다. 가스 전달 시스템은 중요한 오염원일 수 있기 때문에, 가스 통로들은 종종 선택된 금속들 (예를 들어, 전해 연마된 스테인리스 스틸, 구리 (Cu), 알루미늄 (Al), 금속 합금 등) 의 세트로 제조된다.
예를 들어, 스테인리스 스틸은 크롬 (Cr) 및 니켈 (Ni) 의 중요한 합금 첨가물을 갖는 철 (Fe) 계 합금이며, 크롬은 금속에 "스테인리스" 또는 내부식성 특성들을 부여하고, 니켈은 오스테나이트를 안정화하고 금속을 비자성 및 단단하게 한다. 일반적으로, 전해 연마는 부분의 표면 케미스트리를 개선하여, 패시브 산화물막을 강화시키고 표면으로부터 임의의 자유 철을 제거한다.
일반적으로, 스테인리스 스틸은 "산화" 또는 러스팅 (rusting) 에 더욱 내성이 있는 "패시브 (passive)" 막 코팅을 포함한다. 이 막은 산소에 노출될 때 빠르게 형성한다. 형성된 금속이 "패시배이티드 (passivated)" 로 되면, 산화 또는 "러스팅" 레이트가 실질적으로 늦춰지게 된다.
이러한 전해 연마, 패시배이션, 거울 평면 마감 (Ra 〈 5 mil) 과 같은, 부식성을 감소시키려는 노력에도 불구하고, 프로세스 가스들로의 장기간 노출로 인해, 특히 수분에 주기적으로 노출되는 스테인리스 스틸 가스 통로들의 부분들과 용접 접합들 근방의 부분들과 같은 임의의 영역들에 부식이 여전히 발생한다.
부식의 정도 및 이에 따른 오염물의 양은, 많은 인자들, 예를 들어 가스 농도 및 순도, 수분 함량, 온도, 가스 통로 재료의 국부화된 이질성, 시스템 유속, 노출 시간, 노출 빈도에 의존할 수도 있다. 예를 들면, 염화수소 또는 브롬화수소와 같은 할로겐 함유 가스들은, 수분 레벨들이 수 ppm을 초과할 때, 스테인리스 스틸을 부식시킬 수 있다.
수분은 감소될 수 있더라도, 일반적으로 완전히 제거될 수 없다. 예를 들어, 플라즈마 프로세싱 가스들은 통상 압축된 가스 실린더들 내에 정제된 형태로 저장되지만, 실린더들이 교체될 때 또는 프로세싱 챔버 상에서 유지 보수가 수행될 때, 가스 전달 시스템 내부로 수분이 도입될 수 있다.
스테인리스 스틸의 실제 부식량이 작아지는 경향이 있더라도, 부식된 영역으로부터 방출되는 철 및 크롬의 양은 스테인리스 스틸 가스 통로들에서 가스 플로우에 의해 반도체 기판들이 프로세싱되고 있는 플라즈마 프로세싱 챔버로 반송될 수 있다. 그 결과, 플라즈마 프로세싱 챔버에서 처리되는 반도체 기판의 심각한 오염이 발생할 수 있다. 기판 상의 철 또는 크롬 오염의 일반적인 공차는 5.0 X 1010 atoms/cm2 미만이다. 따라서, 스테인리스 스틸 가스 통로들의 부식을 방지하거나 현저하게 감소시키기 위한 측정들이 바람직하다. 가스 전달 시스템 내의 부식을 감소시키기 위한 하나의 접근법은 스테인리스 스틸 가스 통로들 (예를 들어, 배관 및 벨루우즈) 이 부식 가스들과 접촉하는 것을 방지하는 것이다.
이러한 접근법 하의 일 방법은 내부식성 배관 (예를 들어, 폴리에틸렌 배관, 폴리프로필렌 배관, 플렉서블 유리 배관, 금속 코팅된 플라스틱 배관, 세라믹 배관, 2 층의 플라스틱 또는 양극처리된 알루미늄 사이에 개재된 도전성 차폐물을 갖는 적층 배관) 을 사용하는 것이다.
여기에 참조로서 통합된 공동 양도된 미국 특허 제 7,234,222 호는 스테인리스 스틸 배관의 내면 상으로 플라스틱 슬리브를 본딩 또는 주입 몰딩하는 방법들을 개시한다.
가스 통로들의 내면 상에 유체성 전구체의 층을 성막하고 성막된 유체성 전구체의 층을 경화하여 가스 통로들의 내면 상에 내부식성 재료의 층을 형성하도록, 내부식성 재료의 유체성 전구체가 가스 전달 시스템의 가스 통로들을 통과하는 플로우 코팅 방법의 실시형태들이 여기에 개시된다. 가스 통로들은 용접 금속 (예를 들어, 스테인리스 스틸) 배관, 벨로우즈, 피팅, 플랜지, 밸브 등에 의해 형성될 수 있다. 플로우 코팅 방법은 복잡한 지오메트리 및/또는 작은 직경을 갖는 내면들 (예를 들어, 0.25 인치 이하의 외직경을 갖는 벨로우즈의 내면 또는 배관의 내면) 을 코팅하는데 효과적이다.
플로우 코팅 방법의 일 실시형태는 다음의 단계들을 포함한다: (a) 적당한 세정제 및/또는 적당한 케미컬들로 가스 전달 시스템의 가스 통로들의 내면들을 세정하는 단계 (예를 들어, 10 내지 20 wt.% 질산으로 3분 동안 그리고 적어도 5분 동안 탈이온수로 내면을 린싱함); (b) 내면을 건조하는 단계 (예를 들어, 가스 통로들을 통해 건식 질소 또는 건식 에어를 블로잉하고 진공 오븐에서 적어도 120℃ 의 온도로 가스 통로를 베이킹함); (c) 내부식성 재료의 유체성 전구체 (예를 들어, PERMABOND 또는 메타크릴레이트 에스테르에 의해 제조된 HL126 액체 폴리머 전구체) 를 가스 통로를 통해 유입시키고 가스 통로의 내면을 코팅하도록 유체성 전구체의 층을 성막하는 단계; (d) 내면들로부터 초과 유체성 전구체를 제거하는 단계 (예를 들어, 건식 질소 또는 건식 에어를 가스 통로에 블로잉함); (e) 내부식성 재료 코팅을 형성하도록 성막된 유체성 전구체의 층을 경화하는 단계 (예를 들어, 진공 오븐에서 적어도 100℃의 온도 및 1 내지 10Torr의 압력으로 가스 통로들을 베이킹하거나 또는 적어도 24시간 동안 분위기 온도 및 압력에서 가스 통로들을 유지시킴). 동일한 제 2 코팅이 적용될 수 있다. 얻어지는 코팅은 0.0014 내지 0.0034 인치의 두껍고 취성이 없는 두께를 가질 수 있다.
그러나, 도 2에 나타낸 바와 같이, 가스 통로들이 가스 통로들의 다른 컴포넌트들 (예를 들어, 배관, 피팅, 밸브, 플랜지 등) 에 용접된 벨로우즈 (201) 를 포함하는 경우, 유체성 전구체는 벨로우즈 (201) 의 내면의 회선들 (202) 에 축적하고, 플레이크 오프하여 파티클성 오염이 될 수도 있는, 회선들 (202) 에서의 초과 내부식 재료로 경화할 수 있다.
부식성 가스에 대해 연장된 노출 이후에, 가스 전달 시스템에서의 코팅되지 않는 스테인리스 스틸 벨로우즈의 조사는 벨로우즈의 회선들이 부식에 대한 어떠한 신호도 나타내지 않는데 반해, 이 가스 전달 시스템의 다른 컴포넌트들 및 이 컴포넌트들 사이의 용접 접합은 심각한 부식을 나타냈다. 즉, 벨로우즈의 내면은 코팅되지 않은 채로 두고 가스 전달 시스템의 가스 통로들의 모든 내면들 상에 내부식 재료 코팅이 적용되는 선택적 코팅 방법은 벨로우즈 회선들에서의 내부식 재료의 축적 문제를 제거할 수 있다.
선택적 코팅 방법의 일 실시형태는 다음의 단계들을 포함한다: (a) 나머지 가스 통로들에 벨로우즈를 용접하기 전에, 유체성 전구체의 부착을 방지하는, 포토레지스트, 오일, 실란 및/또는 알콕시실란 (실란 및/또는 알콕시 실란은 벨로우즈의 내면 상에 실란화층을 제공할 수 있음) 과 같은 마스킹제로 벨로우즈의 내면들을 코팅하는 단계; (b) 다른 컴포넌트들에 벨로우즈를 용접하는 단계; (c) 유체성 전구체 (예를 들어, HL126 액체 폴리머 전구체 또는 메타크릴레이트 에스테르) 를 가스 통로를 통해 유입시키고 벨로우즈의 내면을 제외한 가스 통로들의 내면을 코팅하도록 유체성 전구체의 층을 성막하는 단계; (d) 초과 유체성 전구체를 제거하는 단계 (예를 들어, 가스 통로들 내부로 건식 질소 또는 건식 에어를 블로잉함); (e) 성막된 유체성 전구체의 층을 경화하는 단계 (예를 들어, 적어도 100℃ 의 온도 및 1 내지 10 Torr의 압력으로 진공 오븐에서 가스 통로들을 베이킹하거나 또는 적어도 24시간 동안 분위기 온도 및 압력에서 가스 통로들을 유지시킴); (f) 선택 가능하게, 벨로우즈의 내면으로부터 마스킹제를 제거하는 단계.
마스킹제는 포토레지스트, 오일, 실란, 알콕시실란 또는 다른 적당한 재료들일 수 있다. 유체성 전구체는 HL126 폴리머 또는 메타크릴레이트 에스테르 또는 다른 적당한 재료들일 수 있다. 마스킹제는 아세톤, 포토레지스트 리무버 또는 다른 적당한 재료들에 의해 제거 (예를 들어, 용해, 식각 등) 될 수 있다.
가스 전달 시스템의 가스 통로들의 내면을 코팅하는 방법들이 그 특정 실시형태들을 참조하여 상세하게 설명되었지만, 첨부된 청구범위의 범위를 벗어나지 않으면서 다양한 변경 및 변형이 이루어질 수 있고 등가물들이 채용될 수 있음은 당업자에게 자명할 것이다.

Claims (37)

  1. 플라즈마 프로세싱 시스템의 챔버 내부로 프로세스 가스들을 전달하도록 구성된 가스 전달 시스템의 가스 통로들의 내면들을 코팅하는 방법에 있어서,
    (a) 상기 가스 통로들을 통해 내부식성 재료의 액체인 유체성 전구체를 유입시키고 상기 가스 통로들의 상기 내면들을 코팅하도록 상기 유체성 전구체의 층을 성막하는 단계;
    (b) 상기 내면들로부터 초과 (excess) 유체성 전구체를 제거하는 단계; 및
    (c) 내부식성 재료 코팅을 형성하도록 상기 유체성 전구체의 성막된 상기 층을 경화하는 단계를 포함하는, 가스 전달 시스템의 가스 통로들의 내면들을 코팅하는 방법.
  2. 제 1 항에 있어서,
    상기 유체성 전구체는 하나 이상의 메타크릴레이트 에스테르를 포함하고;
    초과 유체성 전구체는 상기 가스 통로들 내부로 건식 질소 또는 건식 에어를 블로잉함으로써 제거되고; 그리고
    상기 유체성 전구체의 성막된 상기 층은 적어도 100℃의 온도 및 1 내지 10Torr의 압력으로 진공 오븐에서 상기 가스 통로들을 베이킹하거나 또는 적어도 24 시간 동안 분위기 온도 및 압력에서 상기 가스 통로들을 유지시킴으로써 경화되는, 가스 전달 시스템의 가스 통로들의 내면들을 코팅하는 방법.
  3. 제 1 항에 있어서,
    상기 유체성 전구체를 유입시키기 전에, 상기 내면들을 세정하고 상기 내면들을 건조하는 단계를 더 포함하는, 가스 전달 시스템의 가스 통로들의 내면들을 코팅하는 방법.
  4. 제 3 항에 있어서,
    상기 내면들은 10 내지 20wt.% 질산으로 3분 동안 세정되고, 상기 내면을 탈이온수로 적어도 5분 동안 린싱하고; 그리고
    상기 내면들은 상기 가스 통로들을 통해 건식 질소 또는 건식 에어를 블로잉하고 적어도 120℃의 온도로 진공 오븐에서 상기 가스 통로들을 베이킹함으로써 건조되는, 가스 전달 시스템의 가스 통로들의 내면들을 코팅하는 방법.
  5. 제 1 항에 있어서,
    상기 가스 통로들은 0.25 인치 이하의 외직경을 갖는 스테인리스 스틸 배관 내에 있는, 가스 전달 시스템의 가스 통로들의 내면들을 코팅하는 방법.
  6. 제 5 항에 있어서,
    적어도 하나의 스테인리스 스틸 벨로우즈가 상기 스테인리스 스틸 배관에 용접되는, 가스 전달 시스템의 가스 통로들의 내면들을 코팅하는 방법.
  7. 제 6 항에 있어서,
    상기 벨로우즈를 상기 배관에 용접하기 전에, 상기 벨로우즈의 내면들을 상기 유체성 전구체의 부착을 방지하는 마스킹제로 코팅하는 단계; 및
    선택 가능하게, 상기 경화 단계 후에, 상기 벨로우즈의 상기 내면들로부터 상기 마스킹제를 제거하는 단계를 더 포함하는, 가스 전달 시스템의 가스 통로들의 내면들을 코팅하는 방법.
  8. 제 7 항에 있어서,
    상기 마스킹제는 포토레지스트, 오일, 실란 및/또는 알콕시실란들인, 가스 전달 시스템의 가스 통로들의 내면들을 코팅하는 방법.
  9. 제 1 항에 있어서,
    상기 단계 (a) 내지 상기 단계 (c) 가 반복되는, 가스 전달 시스템의 가스 통로들의 내면들을 코팅하는 방법.
  10. 제 1 항에 있어서,
    상기 코팅은 할로겐 함유 프로세스 가스들에 내성이 있는, 가스 전달 시스템의 가스 통로들의 내면들을 코팅하는 방법.
  11. 제 1 항에 있어서,
    상기 내부식성 재료 코팅은 두께가 0.0014 내지 0.0034 인치인, 가스 전달 시스템의 가스 통로들의 내면들을 코팅하는 방법.
  12. 플라즈마 프로세싱 시스템의 챔버 내부로 프로세스 가스들을 전달하도록 구성된 가스 전달 시스템에 있어서,
    상기 가스 전달 시스템은 가스 통로들을 포함하고, 상기 가스 통로들의 내면들이, 상기 가스 통로들을 통해 내부식성 재료의 액체인 유체성 전구체를 유입시키고, 상기 가스 통로들의 상기 내면들을 코팅하도록 상기 유체성 전구체의 층을 성막하고, 상기 내면들로부터 초과 유체성 전구체를 제거함하고, 그리고 상기 내면들 상에 성막된 상기 유체성 전구체의 상기 층을 경화함으로써 형성된 내부식성 재료 코팅으로 코팅되는, 가스 전달 시스템.
  13. 제 12 항에 있어서,
    내부에 상기 가스 통로들을 갖는 스테인리스 스틸 배관에 용접되는 적어도 하나의 스테인리스 스틸 벨로우즈를 더 포함하고, 상기 벨로우즈의 내면들은 상기 코팅에 의해 코팅되지 않는, 가스 전달 시스템.
  14. 제 12 항에 있어서,
    상기 가스 통로들은 0.25인치 이하의 외직경을 갖는 스테인리스 스틸 배관 내에 있는, 가스 전달 시스템.
  15. 제 12 항에 있어서,
    상기 코팅은 할로겐 함유 프로세스 가스들에 내성이 있는, 가스 전달 시스템.
  16. 제 12 항에 기재된 가스 전달 시스템을 포함하는 플라즈마 프로세싱 시스템에 있어서,
    상기 가스 전달 시스템은 상기 플라즈마 프로세싱 시스템의 챔버 내부로 할로겐 함유 프로세스 가스들을 전달하고,
    상기 프로세스 가스들은 상기 챔버 내에서 지지되는 개별 반도체 기판들을 프로세싱하도록 플라즈마로 활성화되는 (energized), 플라즈마 프로세싱 시스템.
  17. 제 16 항에 있어서,
    상기 플라즈마에 의해 상기 기판들이 식각되는, 플라즈마 프로세싱 시스템.
  18. 플라즈마 프로세싱 시스템의 챔버 내부로 프로세스 가스들을 전달하도록 구성된 가스 전달 시스템에 있어서,
    상기 가스 전달 시스템은 가스 통로들을 포함하고, 상기 가스 통로들의 내면들이 상기 내면들 상에 성막된 유체성 전구체의 층을 경화함으로써 형성된 내부식성 재료 코팅으로 코팅되고,
    상기 내면들은 (a) 상기 가스 통로들을 통해 내부식성 재료의 유체성 전구체를 유입시키고 그리고 상기 가스 통로들의 상기 내면들을 코팅하도록 상기 유체성 전구체의 상기 층을 성막하고; (b) 상기 내면들로부터 초과 유체성 전구체를 제거하고; 그리고 (c) 상기 내부식성 재료 코팅을 형성하도록 상기 유체성 전구체의 성막된 상기 층을 경화함으로써 코팅되고,
    상기 유체성 전구체는 하나 이상의 메타크릴레이트 에스테르를 포함하고; 그리고
    상기 초과 유체성 전구체는 상기 가스 통로들 내부로 건식 질소 또는 건식 에어를 블로잉함으로써 제거되는, 가스 전달 시스템.
  19. 제 18 항에 있어서,
    내부에 상기 가스 통로들을 갖는 스테인리스 스틸 배관에 용접되는 적어도 하나의 스테인리스 스틸 벨로우즈를 더 포함하고, 상기 벨로우즈의 내면들은 상기 코팅에 의해 코팅되지 않는, 가스 전달 시스템.
  20. 제 18 항에 있어서,
    상기 가스 통로들은 0.25 인치 이하의 외직경을 갖는 스테인리스 스틸 배관 내에 있는, 가스 전달 시스템.
  21. 제 18 항에 있어서,
    상기 코팅은 할로겐 함유 프로세스 가스들에 내성이 있는, 가스 전달 시스템.
  22. 제 18 항에 기재된 가스 전달 시스템을 포함하는 플라즈마 프로세싱 시스템에 있어서,
    상기 가스 전달 시스템은 상기 플라즈마 프로세싱 시스템의 챔버 내부로 할로겐 함유 프로세스 가스들을 전달하고 상기 프로세스 가스들은 상기 챔버 내에서 지지되는 개별 반도체 기판들을 프로세싱하도록 플라즈마로 활성화되는, 플라즈마 프로세싱 시스템.
  23. 제 22 항에 있어서,
    상기 플라즈마에 의해 상기 기판들이 식각되는, 플라즈마 프로세싱 시스템.
  24. 제 20 항에 있어서,
    적어도 하나의 스테인리스 스틸 벨로우즈가 상기 스테인리스 스틸 배관에 용접되는, 가스 전달 시스템.
  25. 제 24 항에 있어서,
    상기 벨로우즈를 상기 배관에 용접하기 전에, 상기 벨로우즈의 내면들을 상기 유체성 전구체의 부착을 방지하는 마스킹제로 코팅하는 것; 및 선택 가능하게, 상기 경화 단계 후에, 상기 벨로우즈의 상기 내면들로부터 상기 마스킹제를 제거하는 것을 더 포함하는, 가스 전달 시스템.
  26. 제 24 항에 있어서,
    상기 코팅은 할로겐 함유 프로세스 가스들에 내성이 있는, 가스 전달 시스템.
  27. 제 18 항에 있어서,
    상기 내부식성 재료 코팅은 두께가 0.0014 인치 내지 0.0034 인치인, 가스 전달 시스템.
  28. 플라즈마 프로세싱 시스템의 챔버 내부로 프로세스 가스들을 전달하도록 구성된 가스 전달 시스템에 있어서,
    상기 가스 전달 시스템은 가스 통로들을 포함하고, 상기 가스 통로들의 내면들이 상기 내면들 상에 성막된 유체성 전구체의 층을 경화함으로써 형성된 내부식성 재료 코팅으로 코팅되고,
    상기 내면들은 (a) 상기 가스 통로들을 통해 내부식성 재료의 유체성 전구체를 유입시키고 그리고 상기 가스 통로들의 상기 내면들을 코팅하도록 상기 유체성 전구체의 상기 층을 성막하고; (b) 상기 내면들로부터 초과 유체성 전구체를 제거하고; 그리고 (c) 상기 내부식성 재료 코팅을 형성하도록 상기 유체성 전구체의 성막된 상기 층을 경화함으로써 코팅되고, 그리고
    상기 유체성 전구체는 하나 이상의 메타크릴레이트 에스테르를 포함하고;
    상기 초과 유체성 전구체는 상기 가스 통로들 내부로 건식 질소 또는 건식 에어를 블로잉함으로써 제거되고; 그리고
    상기 유체성 전구체의 성막된 상기 층은 적어도 100℃의 온도 및 1 Torr 내지 10 Torr의 압력으로 진공 오븐에서 상기 가스 통로들을 베이킹하거나 또는 적어도 24 시간 동안 분위기 온도 및 압력에서 상기 가스 통로들을 유지시킴으로써 경화되는, 가스 전달 시스템.
  29. 제 28 항에 있어서,
    내부에 상기 가스 통로을 갖는 스테인리스 스틸 배관에 용접되는 적어도 하나의 스테인리스 스틸 벨로우즈를 더 포함하고, 상기 벨로우즈의 내면들은 상기 코팅에 의해 코팅되지 않는, 가스 전달 시스템.
  30. 제 28 항에 있어서,
    상기 가스 통로들은 0.25 인치 이하의 외직경을 갖는 스테인리스 스틸 배관 내에 있는, 가스 전달 시스템.
  31. 제 30 항에 있어서,
    적어도 하나의 스테인리스 스틸 벨로우즈가 상기 스테인리스 스틸 배관에 용접되는, 가스 전달 시스템.
  32. 제 31 항에 있어서,
    상기 벨로우즈를 상기 배관에 용접하기 전에, 상기 벨로우즈의 내면들을 상기 유체성 전구체의 부착을 방지하는 마스킹제로 코팅하는 것; 및
    선택 가능하게, 상기 경화 단계 후에, 상기 벨로우즈의 상기 내면들로부터 상기 마스킹제를 제거하는 것을 더 포함하는, 가스 전달 시스템.
  33. 제 32 항에 있어서,
    상기 코팅은 할로겐 함유 프로세스 가스들에 내성이 있는, 가스 전달 시스템.
  34. 제 28 항에 있어서,
    상기 코팅은 할로겐 함유 프로세스 가스들에 내성이 있는, 가스 전달 시스템.
  35. 제 28 항에 기재된 가스 전달 시스템을 포함하는 플라즈마 프로세싱 시스템에 있어서,
    상기 가스 전달 시스템은 상기 플라즈마 프로세싱 시스템의 챔버 내부로 할로겐 함유 프로세스 가스들을 전달하고 상기 프로세스 가스들은 상기 챔버 내에서 지지되는 개별 반도체 기판들을 프로세싱하도록 플라즈마로 활성화되는, 플라즈마 프로세싱 시스템.
  36. 제 35 항에 있어서,
    상기 플라즈마에 의해 상기 기판들이 식각되는, 플라즈마 프로세싱 시스템.
  37. 제 28 항에 있어서,
    상기 내부식성 재료 코팅은 두께가 0.0014 인치 내지 0.0034 인치인, 가스 전달 시스템.

KR1020127030655A 2010-04-23 2011-04-15 가스 전달 시스템의 코팅 방법 KR101787139B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/766,529 US8852685B2 (en) 2010-04-23 2010-04-23 Coating method for gas delivery system
US12/766,529 2010-04-23
PCT/US2011/000683 WO2011133207A2 (en) 2010-04-23 2011-04-15 A coating method for gas delivery system

Publications (2)

Publication Number Publication Date
KR20130093515A KR20130093515A (ko) 2013-08-22
KR101787139B1 true KR101787139B1 (ko) 2017-10-18

Family

ID=44814778

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020127030655A KR101787139B1 (ko) 2010-04-23 2011-04-15 가스 전달 시스템의 코팅 방법

Country Status (7)

Country Link
US (2) US8852685B2 (ko)
JP (1) JP5855644B2 (ko)
KR (1) KR101787139B1 (ko)
CN (1) CN102859033B (ko)
SG (2) SG10201503199PA (ko)
TW (1) TWI538046B (ko)
WO (1) WO2011133207A2 (ko)

Families Citing this family (254)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9293305B2 (en) 2011-10-31 2016-03-22 Lam Research Corporation Mixed acid cleaning assemblies
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10128087B2 (en) 2014-04-07 2018-11-13 Lam Research Corporation Configuration independent gas delivery system
US9355823B2 (en) 2014-05-09 2016-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for removing particles from etching chamber
US9999546B2 (en) 2014-06-16 2018-06-19 Illinois Tool Works Inc. Protective headwear with airflow
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10557197B2 (en) 2014-10-17 2020-02-11 Lam Research Corporation Monolithic gas distribution manifold and various construction techniques and use cases therefor
US20160138161A1 (en) * 2014-11-19 2016-05-19 Applied Materials, Inc. Radical assisted cure of dielectric films
JP6396819B2 (ja) * 2015-02-03 2018-09-26 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
KR20210111885A (ko) * 2015-02-13 2021-09-13 엔테그리스, 아이엔씨. 기판 제품 및 장치의 특성 및 성능을 향상시키기 위한 코팅
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
NL2014497B1 (en) * 2015-03-20 2017-01-19 Asm Int Nv Method for cleaning deposition apparatus.
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10022689B2 (en) 2015-07-24 2018-07-17 Lam Research Corporation Fluid mixing hub for semiconductor processing tool
US10118263B2 (en) 2015-09-02 2018-11-06 Lam Researech Corporation Monolithic manifold mask and substrate concepts
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10215317B2 (en) 2016-01-15 2019-02-26 Lam Research Corporation Additively manufactured gas distribution manifold
US9879795B2 (en) 2016-01-15 2018-01-30 Lam Research Corporation Additively manufactured gas distribution manifold
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11812816B2 (en) 2017-05-11 2023-11-14 Illinois Tool Works Inc. Protective headwear with airflow
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
JP7058545B2 (ja) 2018-04-25 2022-04-22 東京エレクトロン株式会社 ガス供給管のクリーニング方法および処理システム
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP6905505B2 (ja) * 2018-12-13 2021-07-21 株式会社Kokusai Electric 半導体装置の製造方法、表面処理方法、基板処理装置、およびプログラム
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
CN110597113B (zh) * 2019-09-03 2021-03-02 国网福建省电力有限公司检修分公司 一种sf6尾气电子控制式集气袋及其控制方法
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
CN112713073B (zh) 2019-10-24 2024-03-12 中微半导体设备(上海)股份有限公司 一种耐腐蚀气体输送部件及其等离子体处理装置
CN112713074B (zh) * 2019-10-25 2023-03-07 中微半导体设备(上海)股份有限公司 气体喷淋头组件及等离子体处理设备
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
CN111359859B (zh) * 2020-03-20 2021-04-06 江苏时羿医疗软管有限公司 一种波纹管生产制造工艺
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114405796B (zh) * 2021-12-24 2023-04-14 北京北方华创微电子装备有限公司 保护涂层形成方法、管状连接件以及半导体工艺设备

Family Cites Families (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3930754A (en) 1974-12-23 1976-01-06 Universal Oil Products Company Portable water sampling apparatus
SE7607204L (sv) 1975-07-04 1977-01-05 Daiwa Kogyo Co Sett och apparat for att forse metallror med invendigt skyddsoverdrag
JPS5443943A (en) * 1977-09-13 1979-04-06 Osaka Gas Co Ltd Surface coating
JPS63223145A (ja) * 1987-03-10 1988-09-16 Sumitomo Metal Ind Ltd 耐食性の優れたベロ−ズおよびその製法
JPH05220451A (ja) 1992-02-14 1993-08-31 Dainippon Ink & Chem Inc ウレタンエラストマーの金属管または金属バルブへのライニング方法
US5451259A (en) * 1994-02-17 1995-09-19 Krogh; Ole D. ECR plasma source for remote processing
US5633038A (en) 1994-10-25 1997-05-27 Atlantic Richfield Company Method of treatment of pipelines and other steel surfaces for improved coating adhesion
US5696207A (en) 1994-12-09 1997-12-09 Geo-Centers, Inc. Fluroropolymeric substrates with metallized surfaces and methods for producing the same
KR19980033213A (ko) * 1996-10-31 1998-07-25 조셉제이.스위니 스퍼터링 챔버내의 미립자 물질 발생 감소 방법
JP4125406B2 (ja) 1997-08-08 2008-07-30 忠弘 大見 フッ化不働態処理が施された溶接部材の溶接方法および再フッ化不働態処理方法ならびに溶接部品
US6020034A (en) 1997-11-14 2000-02-01 E. I. Du Pont De Nemours And Company Process for producing corrosion- and creep resistant coatings
TW465017B (en) 1999-04-13 2001-11-21 Applied Materials Inc A corrosion-resistant protective coating for an apparatus and method for processing a substrate
US6290088B1 (en) 1999-05-28 2001-09-18 American Air Liquide Inc. Corrosion resistant gas cylinder and gas delivery system
JP3662779B2 (ja) * 1999-06-22 2005-06-22 シャープ株式会社 プラズマ処理装置
US6444083B1 (en) * 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
JP2002079205A (ja) * 2000-09-07 2002-03-19 Sekisui Chem Co Ltd 管の内面洗浄方法
KR100881722B1 (ko) * 2001-01-19 2009-02-06 도쿄엘렉트론가부시키가이샤 기판의 처리방법 및 기판의 처리장치
WO2002097855A1 (en) 2001-05-29 2002-12-05 Tokyo Electron Limited Plasma processing apparatus and method
FR2828924B1 (fr) 2001-08-27 2003-10-31 Coflexip Conduite flexible pour le transport d'un fluide
US6682627B2 (en) 2001-09-24 2004-01-27 Applied Materials, Inc. Process chamber having a corrosion-resistant wall and method
KR100468319B1 (ko) * 2002-03-12 2005-01-27 (주)누리셀 파릴렌 고분자막 코팅 장치
JP4338355B2 (ja) * 2002-05-10 2009-10-07 東京エレクトロン株式会社 プラズマ処理装置
US6825051B2 (en) 2002-05-17 2004-11-30 Asm America, Inc. Plasma etch resistant coating and process
US6905773B2 (en) 2002-10-22 2005-06-14 Schlage Lock Company Corrosion-resistant coatings and methods of manufacturing the same
KR101100963B1 (ko) * 2003-03-12 2011-12-29 도요 세이칸 가부시키가이샤 마이크로파 플라즈마 처리장치 및 플라즈마 처리용가스공급부재
US7234222B1 (en) 2003-09-26 2007-06-26 Lam Research Corporation Methods and apparatus for optimizing the delivery of a set of gases in a plasma processing system
US7135426B2 (en) 2004-05-25 2006-11-14 Applied Materials, Inc. Erosion resistant process chamber components
US7300684B2 (en) 2004-07-15 2007-11-27 Sub-One Technology, Inc. Method and system for coating internal surfaces of prefabricated process piping in the field
JP2006049489A (ja) * 2004-08-03 2006-02-16 Hitachi Kokusai Electric Inc 基板処理装置
US20060065523A1 (en) 2004-09-30 2006-03-30 Fangli Hao Corrosion resistant apparatus for control of a multi-zone nozzle in a plasma processing system
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
GB2428604B (en) 2005-08-05 2010-12-08 Visteon Global Tech Inc Anti-Fouling coating
US20080092806A1 (en) 2006-10-19 2008-04-24 Applied Materials, Inc. Removing residues from substrate processing components
FR2908787B1 (fr) 2006-11-22 2009-01-16 Bs Coatings Soc Par Actions Si Procede d'application d'un revetement anticorrosion sur les pieces d'une canalisation, incluant l'utilisation de solution aqueuse de silane et de peinture poudre epoxy.
US8518483B2 (en) 2007-01-29 2013-08-27 Praxair Technology, Inc. Diptube apparatus and method for delivering vapor phase reagent to a deposition chamber
JP5029041B2 (ja) 2007-01-30 2012-09-19 Tdk株式会社 プラズマcvd装置、及び、薄膜製造方法
US8128750B2 (en) 2007-03-29 2012-03-06 Lam Research Corporation Aluminum-plated components of semiconductor material processing apparatuses and methods of manufacturing the components
KR100907458B1 (ko) * 2007-05-25 2009-07-10 우원전자 주식회사 가스 스쿠루버용 금속 배관
JP6328001B2 (ja) * 2013-08-30 2018-05-23 キヤノン株式会社 インプリント用硬化性組成物、膜、膜の製造方法
US20150099069A1 (en) * 2013-10-07 2015-04-09 AeonClad Coatings, LLC Technologies, Inc. Low-cost plasma reactor

Also Published As

Publication number Publication date
CN102859033A (zh) 2013-01-02
WO2011133207A3 (en) 2012-02-23
SG184948A1 (en) 2012-11-29
US8852685B2 (en) 2014-10-07
US9689533B2 (en) 2017-06-27
WO2011133207A2 (en) 2011-10-27
KR20130093515A (ko) 2013-08-22
US20140366968A1 (en) 2014-12-18
US20110259519A1 (en) 2011-10-27
JP2013529379A (ja) 2013-07-18
TW201209910A (en) 2012-03-01
TWI538046B (zh) 2016-06-11
CN102859033B (zh) 2015-06-03
SG10201503199PA (en) 2015-06-29
JP5855644B2 (ja) 2016-02-09

Similar Documents

Publication Publication Date Title
KR101787139B1 (ko) 가스 전달 시스템의 코팅 방법
JP3308091B2 (ja) 表面処理方法およびプラズマ処理装置
US6902628B2 (en) Method of cleaning a coated process chamber component
US7732056B2 (en) Corrosion-resistant aluminum component having multi-layer coating
JP2010522989A (ja) 半導体材料処理装置のアルミニウムめっき構成要素および該構成要素を製造する方法
KR20070043670A (ko) 내식성 부재
JP2001164354A (ja) プラズマ処理容器内部材およびその製造方法
TW201447968A (zh) 等離子體裝置內具有氧化釔包覆層的部件及其製造方法
JPH0285358A (ja) 減圧装置
TWI587385B (zh) 包含塗矽氣體供應管之系統及施加塗層用方法
JP2976333B2 (ja) ステンレス鋼及びその製造方法並びに減圧装置
WO1995018240A1 (fr) Acier austenitique inoxydable, systeme de tuyauterie et pieces en contact avec les fluides
TWI679702B (zh) 用於處理腔室中的腔室部件以及處理腔室部件的方法
JP3500266B2 (ja) 半導体製造プロセス用部材
JP5614873B2 (ja) 半導体加工装置用部材およびその製造方法
JP2007327350A (ja) 真空ポンプ用部材及びその製造方法
JP6567951B2 (ja) ガス排気方法
JPH06283463A (ja) 半導体製造装置
JP2002241971A (ja) 耐プラズマ性部材
US20230290615A1 (en) Multilayer coating for corrosion resistance
Smith et al. Amorphous silicon coatings for control of corrosion and metal ion contamination
JP3134116B2 (ja) 腐食性ガス供給系での腐食低減方法
EP1323182A2 (en) Apparatus and method for reducing contamination on thermally processed semiconductor substrates
JP2007036197A (ja) 半導体製造装置の構成部材及び半導体製造装置
CN114686848A (zh) 半导体零部件、半导体处理装置及形成耐腐蚀涂层的方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant