TWI488228B - 晶圓濕式處理用之封閉腔室 - Google Patents

晶圓濕式處理用之封閉腔室 Download PDF

Info

Publication number
TWI488228B
TWI488228B TW100129358A TW100129358A TWI488228B TW I488228 B TWI488228 B TW I488228B TW 100129358 A TW100129358 A TW 100129358A TW 100129358 A TW100129358 A TW 100129358A TW I488228 B TWI488228 B TW I488228B
Authority
TW
Taiwan
Prior art keywords
chamber
cover
circular object
processing
processing apparatus
Prior art date
Application number
TW100129358A
Other languages
English (en)
Other versions
TW201234441A (en
Inventor
Karl-Heinz Hohenwarter
Original Assignee
Lam Res Ag
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Ag filed Critical Lam Res Ag
Publication of TW201234441A publication Critical patent/TW201234441A/zh
Application granted granted Critical
Publication of TWI488228B publication Critical patent/TWI488228B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Weting (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Cleaning By Liquid Or Steam (AREA)

Description

晶圓濕式處理用之封閉腔室
本發明概略而言係關於一種用以處理例如半導體晶圓之晶圓形物件之表面的設備。
半導體晶圓係受到例如蝕刻、清理、研磨以及材料沉積的各種表面處理製程。為了提供這些製程,單一晶圓可藉由與轉動式載具結合的夾盤支撐在與一或多個處理流體噴嘴相關的位置,例如在美國專利第4,903,717號以及第5,513,668號中的描述。
隨著半導體晶圓上所製造之裝置的小型化之增加,在含氧環境中處理這些晶圓會變得更有問題。例如,當晶圓在開放至周圍空氣的工作站接受濕式處理時,此空氣的氧成分會在晶圓的前側造成銅腐蝕。
因此存在對於可控制氣體環境之濕式處理工作站的需求,又這些工作站允許在處理模組中所裝載的晶圓上執行種種製程。
在美國專利第6,273,104號中,圖6與7顯示一噴淋頭,此噴淋頭係小於罐口,因此無法與此罐密封。
在美國專利第6,807,974號以及第6,915,809號中,據稱氣體注入部分30僅在晶圓乾燥期間提供封閉腔室,而在晶圓濕式處理期間,一個大上許多的外殼1則係處理模組與相關驅動機構唯一的隔離物。此外,這些專利案的氣體注入部分30以及液體注入部分4無法同時運作。
本案發明人已開發出一種改良式封閉腔室模組,其較佳係對單一晶圓濕式處理而設計。在此封閉腔室模組中,用以將介質配送到晶圓上的臂係安裝在此腔室內,並且可從預備位置移動至作業位置。此封閉腔室模組包含一可動式上蓋,此上蓋用以密封此腔室並且較佳係亦用以將氣體供應到此腔室內。
參考圖1,封閉腔室模組10係安裝在底板15上,並且較佳係由圓柱形腔室壁30以及環狀上部腔室罩32所構成,此腔室罩係藉由一系列的螺釘等等而固定於腔室壁30。腔室模組10的上端係藉由噴淋頭蓋20加以封閉,此噴淋頭蓋的外周邊係與環狀上部腔室罩32的內周邊密封。
蓋20係固定於蓋臂22,此蓋臂將蓋20從圖1所示的封閉位置移動到圖2所示的開啟位置,而此蓋臂接著設置在蓋支撐軸26上。氣體饋送線路24將氣體供應至噴淋頭蓋20。
第一與第二驅動單元52、62係為了以下待說明之各介質供應臂而設置,並且通往用於介質供應臂之樞轉移動機構的各罩54、64。參考符號56係表示第一介質供應線路的引入線(lead-in)。
本實施例之封閉腔室模組具有三個內階層,每一個內階層具有其相關連之氣體排放口,以及在圖1中,參考符號82、84以及86係分別表示下、中以及上階層的各自關連之排放口,而參考符號81、83以及85則係表示這些排放口相關連之下、中以及上氣體抽吸部。
現在參考圖2,封閉腔室模組係顯示位在其開啟位置,此包含相對於此腔室將噴淋頭蓋朝上升起並且使其圍繞著蓋支撐軸26樞轉,此蓋支撐軸容納有中空軸18。
在此腔室的內部,可看見旋轉夾盤70,其在本實施例中為雙面型(double-sided type)夾盤。又,可看見位於其預備位置的第二介質供應臂63,以及上、中以及下階層34、35、36。
在圖3中,噴淋頭區域25係顯示位在噴淋頭蓋20的下側,除了複數氣體開口以外,此區域亦包含一中心氣體噴嘴23。
馬達27驅動連桿19,此連桿接著驅動中空軸18(參見圖4)。馬達27因此驅動噴淋頭蓋20的樞轉移動。馬達28為用以升起與降下噴淋頭蓋20的舉升馬達,而29則係表示容納在中空軸18中之氣體饋送線路21、24的引入線。
旋轉馬達72使旋轉夾盤70旋轉,而馬達76係經由滑塊74而升起與降下旋轉夾盤70。下部腔室罩31容納此旋轉夾盤的風箱,此將在以下進行說明。
在圖4中,可更容易看見上、中以及下階層34、35以及36。這些階層及其各排放口86、84以及82的結構可如共同擁有之申請案WO 2004/084278 A1所述。在圖4中亦可看見中心氣體噴嘴23的氣體饋送線路21、用以隔開此驅動機構與此腔室之內部環境的膨脹風箱75、用以使此夾盤驅動機構連接至此腔室之下側的框架77、用以供應此夾盤之底部噴嘴的非轉動式噴嘴頭79、以及用以容納底部噴嘴頭79的非轉動式中空軸78。
圖5的軸向剖面更詳細地顯示上述構件,並且一起顯示用以界定腔室底部的板37、位於其預備位置的第一介質供應臂53、以及臂53的裝載區域55。吾人可注意到軸18係牢牢地固定於蓋臂22,以使傳給軸18的轉動與平移移動亦如下所述般地傳給蓋臂22及蓋20。
在圖6的軸向剖面中,截面係穿過第一介質供應臂53之樞轉機構的罩54。從這個角度,完全可看見第二介質供應臂63係位於其預備位置。參考符號17係表示具有氣體噴淋頭蓋20的壓力均化腔室。例如O形環或V形密封件的環狀密封件33係附接於環狀上部腔室罩32或安裝在此上部腔室罩中,而與噴淋頭蓋20形成密封。
現在輪到圖7,用以轉動旋轉夾盤載具73的機構包含:轉動式中空軸71,用以驅動旋轉夾盤載具73轉動;膨脹風箱75,用以保護此驅動機構不受此腔室的內部環境影響;框架77,用以將此夾盤驅動機構連接至此腔室的下側;非轉動式噴嘴頭79,用以供應此夾盤的底部噴嘴;以及非轉動式中空軸78,用以容納底部噴嘴頭79。
非轉動式中空軸90包圍轉動式中空軸71,此轉動式中空軸接下來包圍非轉動式中空軸78,這三個軸係互為同軸。轉動軸密封軸承91密封這些同軸的軸而使不受腔室環境影響,並且支撐互連轉動的中空軸78與夾盤載具73;而軸承92使非轉動式上部環94與轉動軸71連接。膜罩93係安裝在下部腔室罩31內,以及在其內周邊處抵著風箱75而密封,而在其外周邊處則抵著腔室底部37而密封。
在圖8中,通過圓柱形腔室壁30的徑向剖面顯露出位於其預備位置的第一與第二介質供應臂53與63,以及圖8中的虛線係用以描摹介質臂53、63的配送端在其從所示之預備位置移動到作業位置時的拱形移動路徑,在位於作業位置時,可使此介質臂的配送端大約位在此旋轉夾盤的中心上方。實際上,在任何時間僅其中一個介質臂可被使用並因此可位於其作業位置,亦即,雖然兩介質供應臂53、63如同顯示經常係位於預備位置,但典型上僅一者或另一者在使用時才會位於作業位置。然而,兩介質供應臂53、63可同時移動,但僅兩者的其中一者可接近中心,此可藉由適當的軟體指令加以達成。
提及介質供應臂53、63的作業位置時,吾人可瞭解能夠存在有多於一個的作業位置,或者就此而言這些臂可在其於徑向上從周邊預備位置朝內移動時進行作業。因此,此作業位置可以是介質供應臂之配送端位在夾盤上所支撐之晶圓上方時的任何位置,其並非單單係指最中心的位置。例如,在晶圓處理期間,此作業位置可從中心朝向邊緣移動並且再倒退回來。
圖9顯示將介質供應與配送臂在其預備與作業位置之間進行驅動之機構的進一步細節。在圖9中,僅以虛線來表示罩64而得以觀看到此驅動機構的內部構件。實際上,罩64可由固體材料所製造並以密封方式安裝於此腔室之圓柱形壁30,圓柱形壁30具有被罩64所包圍的切除部分(cutout)以允許連桿67穿過此壁,此連桿將第二介質臂63支撐在其末端上並且例如藉由栓槽連接部(splined connection)而連接至驅動單元62的輸出軸68。輸出軸68係經由密封軸承從下方穿過罩64,因此驅動單元62係配置在此腔室外部並受到保護而免於存在於此腔室內之嚴酷化學環境的影響。
因此,當啟動驅動單元62時,連桿67可在驅動單元62之操作循環所規定的移動範圍進行樞轉,而此係對應於介質供應臂63從其預備位置到其作業位置的位移。因此,位於圓柱形腔室壁30中之切除部分的尺寸係考慮此樞轉移動範圍而製作。
引入線66係連接至位於此腔室內部的第二介質線路61。引入線66可例如為以密封方式穿過此腔室之圓柱形壁30的流體管接頭,而使其位於此腔室外部的那一端連接至入口管路,位於此腔室內部的那一端則連接至第二介質線路61。
又在圖9中可看見在徑向上朝圓柱形腔室壁30內部所設置的內部腔室壁,其與壁30一起界定第二介質供應臂63的裝載區域。此內部壁設有切除部分69,以允許在介質供應臂63從位於裝載區域中的預備位置移動至其作業位置時,使此供應臂的朝下懸垂配送端通過,當位於此作業位置時,臂63的配送端係位在此旋轉夾盤的中心上方。
吾人可明白在本實施例中,第一與第二介質供應臂53與63設有實質上相同的驅動機構,以使一個單元之各種構件的說明亦可用於另一者,然而在此可能不重複此種說明。此外,雖然本發明實施例設有兩個介質配送臂,但此種臂及其相關驅動機構的數量可僅為一個,或者相反地可為三個以上。
在圖10中,第一介質臂53係保持在其預備位置,而第二介質供應臂63已樞轉至作業位置,在位於此作業位置時,臂63的朝下懸垂配送噴嘴係位在此旋轉夾盤的中心上方。更可以看見第二介質線路61以及位於其作業位置的臂63。在圖10中概略地顯示線路61,但吾人可觀察到線路61的長度及其撓曲性係足以涵蓋連桿67之徑向內向端的移動範圍。
圖11的立體圖係對應於圖10,並且顯示位在適當位置的罩54,而將罩64加以移除,以顯露出介質臂63的驅動連桿組。
在使用時,可將此腔室開啟,以允許裝載待於其內進行處理的晶圓。此包含首先啟動馬達28,此馬達係藉由支柱而固定於底板15的下側並且相對於此底板而不動(參見圖3),但其輸出軸在伸出時會使得引入線29朝上位移,以及軸18、蓋臂22以及蓋20會隨之一起朝上位移。軸18較佳係軸頸連接(journaled)在引入線29中,以使此軸與此引入線一起升起,但可相對於引入線29轉動。
一旦將蓋20升起而開啟此腔室時,接著啟動馬達27以圍繞著軸18之軸的拱形移動範圍驅動連桿19。由於連桿19為非轉動式地固定於軸18,此移動會使軸18轉動,並使蓋臂22與蓋20隨之一起轉動,如此而使蓋20轉動遠離由上部環狀腔室罩32所界定的開口而到達圖2所示的位置。如圖3所示,馬達27本身係經由樞軸而安裝於底板15的下側,以允許連桿19的樞轉移動。連桿19可栓槽連接(splined)於軸18,並且在由馬達28所驅動之軸18進行垂直位移期間相對於此軸而滑動;或者連桿19可牢固地固定於軸18,並且可在其相反端經由樞軸連接部利用馬達27加以驅動,當馬達28運作時,此連桿可在此樞軸連接部上垂直滑動。
在如圖2所示將此腔室開啟之後,將待處理的晶圓裝載於其內。用以將晶圓運送與裝載到旋轉夾盤上的設備在本技術中係為人所熟知。為了接收晶圓,啟動馬達76,以經由滑塊74將旋轉夾盤70升起至位在上部環狀腔室罩32中所界定之開口附近的位置。尤其,可將旋轉夾盤70升起至正好位在罩32中之開口下方的位置、正好位在此開口上方的位置、或與此開口齊平的位置。
吾人可注意到上部環狀罩32中之開口的直徑顯然必須大於待在此腔室中進行處理之晶圓的外徑,但較佳係不具有大上許多的直徑。舉例而言,在300 mm矽晶圓的情況下,罩32中的開口較佳係具有大約320 mm的直徑。一般來說,位於此腔室之上端中的開口的直徑不應超過待處理之晶圓的直徑50%以上,較佳係不大於20%,並且更佳係不大於10%。
旋轉夾盤70用以固持具有預定直徑的晶圓,在此例中為300 mm。旋轉夾盤70包含一周邊系列的夾持銷,這些夾持銷防止晶圓在處理期間側向滑動。當將旋轉夾盤70以如白努力(Bernoulli)夾盤裝設時,供應穿過此夾盤並在徑向上朝外通過晶圓下方的氮氣流提供晶圓的下方支撐。或者,這些夾持銷可設有徑向面向內的表面,這些表面係例如藉由具有與晶圓之周圍邊緣相配的形狀而將晶圓固持在其相對於此夾盤的工作位置上,藉以提供側向與下方兩種支撐。
然後藉由馬達76將旋轉夾盤70降下至位於上、中以及下階層34、35、36其中一者的工作位置,在此之後,旋轉馬達72開始使旋轉夾盤70旋轉。之後,可將任何期望的液體與氣體組合供應至此腔室內部,液體係經由介質供應臂53、63,而氣體則係經由噴淋頭蓋20。
較佳係將其中一或多個用以密封此腔室的密封件設計成在預定過壓等級時,允許控制氣體洩漏至腔室外部。以此方式,於晶圓處理期間,此腔室內係維持在一實質無氧的環境,同時可從噴淋頭蓋20及/或透過軸78持續供應氣體,藉以發生多餘壓力的累積。此設計亦允許在不需要仰賴使用真空或維持完全無法滲透之密封的情況下來排除氧。
吾人可明白本實施例的設計允許氣體噴淋頭以及介質供應臂將氣體以及液體同時供應至此腔室內部。再者,介質供應臂53、63及其相關驅動機構的設計允許這些臂配置在此腔室的內部,而允許其各別驅動單元安裝在此腔室的外部。此提供防止這些驅動單元曝露至經常存在於此種處理模組內之極具攻擊性化學品的極大優點。
雖然本發明已結合其各種說明實施例進行描述,但吾人瞭解這些實施例不應被使用作為限制由隨附請求項的真實範圍與精神所給予之保護範圍的藉口。
10...封閉腔室模組
15...底板
17...壓力均化腔室
18...中空軸
19...連桿
20...噴淋頭蓋
21...氣體饋送線路
22...蓋臂
23...中心氣體噴嘴
24...氣體饋送線路
25...噴淋頭區域
26...蓋支撐軸
27...馬達
28...馬達
29...引入線
30...圓柱形腔室壁
31...下部腔室罩
32...環狀上部腔室罩
33...環狀密封件
34...上階層
35...中階層
36...下階層
37...腔室底部
52...第一驅動單元
53...第一介質供應臂
54...罩
55...裝載區域
56...引入線
61...第二介質線路
62...第二驅動單元
63...第二介質供應臂
64...罩
66...引入線
67...連桿
68...輸出軸
69...切除部分
70...旋轉夾盤
71...轉動式中空軸
72...旋轉馬達
73...旋轉夾盤載具
74...滑塊
75...膨脹風箱
76...馬達
77...框架
78...非轉動式中空軸
79...非轉動式噴嘴頭
81...氣體抽吸部
82...排放口
83...氣體抽吸部
84...排放口
85...氣體抽吸部
86...排放口
90...非轉動式中空軸
91...轉動軸密封軸承
92...軸承
93...膜罩
94...非轉動式上部環
吾人可在閱讀上述參考隨附圖式所給予之本發明較佳實施例的詳細說明之後更加明白本發明之其他目的、特徵以及優點,其中:
圖1係依照本發明之封閉腔室模組之一較佳實施例的立體圖;
圖2係類似於圖1的視圖,其中噴淋頭蓋已向上移動並且遠離此模組,俾能允許此模組的裝載與卸載;
圖3係從圖1實施例下方觀看的立體圖,其顯示用以操作此模組的各種機構;
圖4係圖1實施例的另一立體圖,其係在軸向平面上切割,俾能顯示其內部構件;
圖5係圖1實施例在與圖4相同之平面上的軸向剖面;
圖6係圖1實施例在橫斷其中一介質供應臂之樞轉安裝之平面上的軸向剖面;
圖7係顯示用以驅動並升起與降下本實施例之旋轉夾盤之機構的剖面圖;
圖8係圖1實施例的徑向剖面,其係顯示位於其預備位置的介質供應臂;
圖9係其中一介質供應臂之樞轉安裝的局部立體圖;
圖10係圖1實施例的徑向剖面,於其中,其中一介質供應臂已樞轉到其作業位置;及
圖11係圖1實施例已移除上部腔室罩的立體圖。
10...封閉腔室模組
15...底板
20...噴淋頭蓋
22...蓋臂
24...氣體饋送線路
26...蓋支撐軸
30...圓柱形腔室壁
32...環狀上部腔室罩
52...第一驅動單元
54...罩
56...引入線
62...第二驅動單元
64...罩
81...氣體抽吸部
82...排放口
83...氣體抽吸部
84...排放口
85...氣體抽吸部
86...排放口

Claims (15)

  1. 一種晶圓形物件的處理設備,包含一封閉處理腔室、設置在該封閉處理腔室內的一夾盤、配置在該腔室內的至少一處理液體配送裝置、以及用於該至少一處理液體配送裝置的一驅動單元,該驅動單元係驅動地連接至該至少一配送裝置以將該至少一配送裝置從一周邊預備位置移動至一或多個活動位置,在位於該活動位置時,該至少一配送裝置的一配送端係在徑向上朝該夾盤內部移動,該驅動單元係安裝在該腔室的外部。
  2. 如申請專利範圍第1項所述之晶圓形物件的處理設備,其中該腔室為半導體晶圓之單一晶圓濕式處理用之一處理模組的一構件。
  3. 如申請專利範圍第1項所述之晶圓形物件的處理設備,其中該夾盤為具有一驅動軸的一旋轉夾盤,該驅動軸係從該腔室朝下伸出。
  4. 如申請專利範圍第1項所述之晶圓形物件的處理設備,其中該腔室包含可從一封閉位置移動至一開啟位置的一蓋,以允許一晶圓形物件被導入該腔室或自該腔室移除,該蓋包含在位於該封閉位置時將氣體注入到該腔室內的氣體噴嘴,而該至少一處理液體配送裝置用以在該蓋位於該封閉位置時將液體配送到該腔室內。
  5. 如申請專利範圍第4項所述之晶圓形物件的處理設備,更包含用以將該蓋從該封閉位置移動至該開啟位置的一驅動機構,該驅動機構用以使該蓋相對於該腔室進行朝上與側向的兩種位移。
  6. 如申請專利範圍第1項所述之晶圓形物件的處理設備,其中該驅動單元為安裝在該腔室之一側殼體上的一馬達,該馬達的一輸出軸穿入該側殼體內並且驅動一連桿,該連桿穿入該腔室內並且連接至該至少一液體配送裝置。
  7. 如申請專利範圍第1項所述之晶圓形物件的處理設備,其中該至少一處理液體配送裝置為一介質供應臂,該介質供應臂可樞轉地安裝在該腔室內並且可從一周邊預備位置移動至一或多個活動位置,在位於該活動位置時,該介質供應臂的一配送端係在徑向上朝該夾盤內部移動。
  8. 如申請專利範圍第7項所述之晶圓形物件的處理設備,其中該介質供應臂具有樞轉地連接至穿過該腔室的壁之一連桿的一端、以及設有一配送噴嘴的一相對端。
  9. 如申請專利範圍第4項所述之晶圓形物件的處理設備,其中該蓋為一氣體噴淋頭,該噴淋頭包含配置該氣體噴嘴的一區域,該區域涵蓋待在該封閉處理腔室內進行處理之一晶圓形物件之面積的至少50%。
  10. 如申請專利範圍第4項所述之晶圓形物件的處理設備,其中該蓋係平行於該夾盤上所接收之一晶圓形物件而排列。
  11. 如申請專利範圍第1項所述之晶圓形物件的處理設備,其中該夾盤可相對於該封閉處理腔室進行垂直移動,並且設置成具有至少三個停止位置,該等停止位置為一個最上部位置以及位在該腔室內的至少兩個下部位置,該最上部位置係用於從該夾盤裝載與卸載一晶圓形物件,而各該至少兩個下部位置係對應於該腔室的各別處理階層。
  12. 如申請專利範圍第1項所述之晶圓形物件的處理設備,其中該封閉處理腔室包含複數個疊置處理階層,各該複數疊置處理階層具有連接至該階層的各別氣體排放口,其中該氣體排放口可被獨立控制。
  13. 如申請專利範圍第1項所述之晶圓形物件的處理設備,其中該封閉處理腔室係安裝在一底板的上表面上,並且更包含用於該夾盤的一驅動單元,用於該夾盤的該驅動單元係安裝在一殼體中,該殼體係懸掛在該底板的下表面。
  14. 如申請專利範圍第5項所述之晶圓形物件的處理設備,其中用以將該蓋從該封閉位置移動至該開啟位置的該驅動機構係安裝在一底板的下表面上,該封閉處理腔室係安裝在該底板的上表面上。
  15. 如申請專利範圍第4項所述之晶圓形物件的處理設備,其中該腔室具有一上部圓形開口,以及該蓋係大於該開口,並且設置一密封件,俾能在將該蓋朝下往一部腔室壁壓時,使該蓋抵靠該上部腔室壁而密封。
TW100129358A 2010-10-27 2011-08-17 晶圓濕式處理用之封閉腔室 TWI488228B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/913,405 US8926788B2 (en) 2010-10-27 2010-10-27 Closed chamber for wafer wet processing

Publications (2)

Publication Number Publication Date
TW201234441A TW201234441A (en) 2012-08-16
TWI488228B true TWI488228B (zh) 2015-06-11

Family

ID=45994480

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100129358A TWI488228B (zh) 2010-10-27 2011-08-17 晶圓濕式處理用之封閉腔室

Country Status (7)

Country Link
US (1) US8926788B2 (zh)
JP (1) JP5797272B2 (zh)
KR (1) KR101779240B1 (zh)
CN (1) CN103180056B (zh)
SG (1) SG189217A1 (zh)
TW (1) TWI488228B (zh)
WO (1) WO2012056341A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI812008B (zh) * 2022-02-15 2023-08-11 辛耘企業股份有限公司 晶圓製程裝置

Families Citing this family (304)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101258002B1 (ko) 2010-03-31 2013-04-24 다이닛뽕스크린 세이조오 가부시키가이샤 기판처리장치 및 기판처리방법
US8926788B2 (en) * 2010-10-27 2015-01-06 Lam Research Ag Closed chamber for wafer wet processing
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US20130008602A1 (en) * 2011-07-07 2013-01-10 Lam Research Ag Apparatus for treating a wafer-shaped article
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20140026926A1 (en) * 2012-07-30 2014-01-30 Lam Research Ag Method and apparatus for liquid treatment of wafer-shaped articles
KR101501362B1 (ko) 2012-08-09 2015-03-10 가부시키가이샤 스크린 홀딩스 기판처리장치 및 기판처리방법
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
KR101512560B1 (ko) 2012-08-31 2015-04-15 가부시키가이샤 스크린 홀딩스 기판처리장치
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
KR102091291B1 (ko) 2013-02-14 2020-03-19 가부시키가이샤 스크린 홀딩스 기판 처리 장치 및 기판 처리 방법
JP6017999B2 (ja) 2013-03-15 2016-11-02 株式会社Screenホールディングス 基板処理装置
US10707099B2 (en) 2013-08-12 2020-07-07 Veeco Instruments Inc. Collection chamber apparatus to separate multiple fluids during the semiconductor wafer processing cycle
US9768041B2 (en) 2013-08-12 2017-09-19 Veeco Precision Surface Processing Llc Collection chamber apparatus to separate multiple fluids during the semiconductor wafer processing cycle
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR101972294B1 (ko) 2014-03-28 2019-04-24 가부시키가이샤 스크린 홀딩스 기판 처리 장치 및 기판 처리 방법
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9714462B2 (en) * 2014-10-08 2017-07-25 Applied Materials, Inc. Vacuum pre-wetting apparatus and methods
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10167552B2 (en) 2015-02-05 2019-01-01 Lam Research Ag Spin chuck with rotating gas showerhead
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US20160376702A1 (en) * 2015-06-26 2016-12-29 Lam Research Ag Dual mode chamber for processing wafer-shaped articles
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) * 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US11342215B2 (en) 2017-04-25 2022-05-24 Veeco Instruments Inc. Semiconductor wafer processing chamber
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
KR20200063242A (ko) 2017-10-23 2020-06-04 램 리서치 아게 고 종횡비 구조체들의 정지 마찰을 방지하고 그리고/또는 고 종횡비 구조체들을 복구하기 위한 시스템들 및 방법들
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US11244841B2 (en) * 2017-12-01 2022-02-08 Elemental Scientific, Inc. Systems for integrated decomposition and scanning of a semiconducting wafer
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102498911B1 (ko) * 2018-04-11 2023-02-10 주식회사 디엠에스 기판처리장치
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
WO2020072278A1 (en) 2018-10-03 2020-04-09 Lam Research Ag Gas mixture including hydrogen fluoride, alcohol and an additive for preventing stiction of and/or repairing high aspect ratio structures
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR102010291B1 (ko) * 2019-04-03 2019-10-21 방문성 바니쉬 함침 장치
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
KR102535766B1 (ko) * 2021-08-24 2023-05-26 (주)디바이스이엔지 백 노즐 어셈블리를 포함하는 기판 처리장치
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI283020B (en) * 2001-06-22 2007-06-21 Ses Co Ltd Single wafer type substrate cleaning method and apparatus
US20070243711A1 (en) * 2004-05-10 2007-10-18 Tokyo Electron Limited Substrate Treatment Method and Substrate Treatment Apparatus

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6064436A (ja) 1983-09-19 1985-04-13 Fujitsu Ltd スピンドライヤ
JPS63301528A (ja) * 1987-05-30 1988-12-08 Sigma Gijutsu Kogyo Kk 基板乾燥装置
AT389959B (de) 1987-11-09 1990-02-26 Sez Semiconduct Equip Zubehoer Vorrichtung zum aetzen von scheibenfoermigen gegenstaenden, insbesondere von siliziumscheiben
ATE174155T1 (de) 1993-02-08 1998-12-15 Sez Semiconduct Equip Zubehoer Träger für scheibenförmige gegenstände
JPH08316190A (ja) 1995-05-18 1996-11-29 Dainippon Screen Mfg Co Ltd 基板処理装置
JPH10163159A (ja) * 1996-12-25 1998-06-19 Sugai:Kk 基板洗浄装置の処理チャンバ装置
US6383289B2 (en) * 1997-12-16 2002-05-07 The University Of North Carolina At Chapel Hill Apparatus for liquid carbon dioxide systems
DE59900011D1 (de) 1999-04-06 2000-11-09 Sez Semiconduct Equip Zubehoer Einrichtung zum Austrag von zwei oder mehreren Medien mit Mediendüsen
US7479205B2 (en) * 2000-09-22 2009-01-20 Dainippon Screen Mfg. Co., Ltd. Substrate processing apparatus
JP2002176026A (ja) 2000-12-05 2002-06-21 Ses Co Ltd 枚葉式基板洗浄方法および枚葉式基板洗浄装置
JP4602540B2 (ja) * 2000-12-12 2010-12-22 オメガセミコン電子株式会社 基板処理装置
CN2489463Y (zh) * 2001-06-25 2002-05-01 吴湘涵 薄片型电子元件的清洗装置
JP4018958B2 (ja) * 2001-10-30 2007-12-05 大日本スクリーン製造株式会社 基板処理装置
JP4187540B2 (ja) * 2003-01-31 2008-11-26 大日本スクリーン製造株式会社 基板処理方法
US20040157430A1 (en) 2003-02-07 2004-08-12 Asml Netherlands B.V. Methods and apparatus for processing semiconductor wafers with plasma processing chambers in a wafer track environment
KR101039765B1 (ko) 2003-03-20 2011-06-09 램 리서치 아게 디스크상 물품의 습식 처리장치 및 처리방법
JP4397299B2 (ja) * 2004-07-30 2010-01-13 大日本スクリーン製造株式会社 基板処理装置
KR100774979B1 (ko) * 2006-07-24 2007-11-08 세메스 주식회사 기판을 처리하는 장치 및 방법
KR100849366B1 (ko) * 2006-08-24 2008-07-31 세메스 주식회사 기판을 처리하는 장치 및 방법
US8008166B2 (en) * 2007-07-26 2011-08-30 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface
JP5242242B2 (ja) * 2007-10-17 2013-07-24 株式会社荏原製作所 基板洗浄装置
JP5180661B2 (ja) * 2008-04-18 2013-04-10 株式会社ディスコ スピンナ洗浄装置および加工装置
JP5249915B2 (ja) * 2009-01-22 2013-07-31 東京エレクトロン株式会社 薬液処理装置および薬液処理方法
JP5358505B2 (ja) * 2010-03-31 2013-12-04 大日本スクリーン製造株式会社 基板処理装置
US8926788B2 (en) * 2010-10-27 2015-01-06 Lam Research Ag Closed chamber for wafer wet processing
US20130008602A1 (en) * 2011-07-07 2013-01-10 Lam Research Ag Apparatus for treating a wafer-shaped article

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI283020B (en) * 2001-06-22 2007-06-21 Ses Co Ltd Single wafer type substrate cleaning method and apparatus
US20070243711A1 (en) * 2004-05-10 2007-10-18 Tokyo Electron Limited Substrate Treatment Method and Substrate Treatment Apparatus

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI812008B (zh) * 2022-02-15 2023-08-11 辛耘企業股份有限公司 晶圓製程裝置

Also Published As

Publication number Publication date
JP2013544025A (ja) 2013-12-09
WO2012056341A3 (en) 2012-07-19
TW201234441A (en) 2012-08-16
JP5797272B2 (ja) 2015-10-21
KR20140001880A (ko) 2014-01-07
CN103180056A (zh) 2013-06-26
KR101779240B1 (ko) 2017-09-18
SG189217A1 (en) 2013-05-31
WO2012056341A2 (en) 2012-05-03
CN103180056B (zh) 2015-11-25
US8926788B2 (en) 2015-01-06
US20120103522A1 (en) 2012-05-03

Similar Documents

Publication Publication Date Title
TWI488228B (zh) 晶圓濕式處理用之封閉腔室
TWI569345B (zh) 晶圓狀物件之處理裝置
KR100979979B1 (ko) 액처리 장치 및 액처리 방법
JP5031671B2 (ja) 液処理装置、液処理方法および記憶媒体
JP6121458B2 (ja) ウエハ形状物品の表面を処理するためのプロセスおよび装置
TWI553761B (zh) 用以處理晶圓之方法及設備
JP2015516675A5 (zh)
JP2006278955A (ja) 基板処理方法および基板処理装置
JP6722551B2 (ja) 基板処理方法
JP2017038041A (ja) ウエハ形状物品を処理するためのデュアルモードチャンバ
TWI702113B (zh) 基板保持模組、基板處理裝置、及基板處理方法
JP4884136B2 (ja) 液処理装置および液処理方法
JP5883470B2 (ja) 液処理装置、液処理方法及びその液処理方法を実行させるためのプログラムを記録した記録媒体
JP2009032901A (ja) 基板処理装置
JP6395673B2 (ja) 基板処理装置
JP5379732B2 (ja) 基板処理装置
JP5100863B2 (ja) 液処理装置
KR100794586B1 (ko) 기판 처리 장치 및 이를 이용한 기판의 건조 방법
JP2022118372A (ja) 基板処理装置、基板処理方法及び記憶媒体
JP2006049449A (ja) 基板処理装置