KR20140001880A - 웨이퍼 습식 프로세싱을 위한 폐쇄형 챔버 - Google Patents

웨이퍼 습식 프로세싱을 위한 폐쇄형 챔버 Download PDF

Info

Publication number
KR20140001880A
KR20140001880A KR1020137010743A KR20137010743A KR20140001880A KR 20140001880 A KR20140001880 A KR 20140001880A KR 1020137010743 A KR1020137010743 A KR 1020137010743A KR 20137010743 A KR20137010743 A KR 20137010743A KR 20140001880 A KR20140001880 A KR 20140001880A
Authority
KR
South Korea
Prior art keywords
chamber
chuck
lid
wafer
closed
Prior art date
Application number
KR1020137010743A
Other languages
English (en)
Other versions
KR101779240B1 (ko
Inventor
칼-하인츠 호헨바르터
Original Assignee
램 리서치 아게
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리서치 아게 filed Critical 램 리서치 아게
Publication of KR20140001880A publication Critical patent/KR20140001880A/ko
Application granted granted Critical
Publication of KR101779240B1 publication Critical patent/KR101779240B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like

Abstract

단일 웨이퍼 습식 프로세싱을 위한 폐쇄형 챔버 프로세스 모듈에 대한 개선된 설계는 챔버를 상부에서부터 밀폐하기 위해 결합 리드 및 가스 샤워헤드를 이용한다. 하나 이상의 매체 아암들이 액체를 챔버 내의 웨이퍼 상으로 분배한다. 매체 아암들은 챔버 내부에 장착되지만, 챔버 벽을 통해 챔버 바깥쪽에 장착된 구동 유닛으로 통과하는 링키지에 의해 연결된다.

Description

웨이퍼 습식 프로세싱을 위한 폐쇄형 챔버{CLOSED CHAMBER FOR WAFER WET PROCESSING}
본 발명은 일반적으로 반도체 웨이퍼와 같은 웨이퍼 형상의 물품들의 표면을 처리하기 위한 장치에 관한 것이다.
반도체 웨이퍼들은 에칭, 세정 (cleaning), 연마 (polishing), 및 재료 증착 (deposition) 과 같은 다양한 표면 처리 프로세스들을 거친다. 이러한 프로세스들을 수용하기 위해, 예를 들어 미국 특허 제 4,903,717 호 및 제 5,513,668 호에 설명되어 있는 바와 같이, 단일 웨이퍼는 회전가능 캐리어 (carrier) 와 연관된 척 (chuck) 에 의해 하나 이상의 처리 유체 (treatment fluid) 노즐들에 관하여 지지될 수도 있다.
반도체 웨이퍼들 상에 제조되는 디바이스들의 소형화의 증가에 따라, 산소-함유 분위기에서 그들 웨이퍼들을 프로세싱하는 것은 더욱 문제가 되었다. 예를 들어, 웨이퍼들이 주위 공기에 대해 개방된 스테이션들 (stations) 에서 습식 프로세싱을 받을 때, 공기의 산소 함유량은 웨이퍼의 전면 측의 구리의 원하지 않는 부식을 야기한다.
따라서, 프로세싱 모듈에서 로딩된 웨이퍼에 대해 다양한 프로세스들을 수행하는 것을 허용하면서도, 가스 분위기가 제어될 수 있는 웨이퍼 프로세싱 스테이션들에 대한 필요가 존재한다.
미국 특허 제 6,273,104 호에서, 도 6 및 도 7 은 포트 (pot) 개구보다 작아서 그 포트로 밀폐할 수 없는 샤워헤드를 묘사한다.
미국 특허 제 6,807,974 호 및 제 6,915,809 호에서, 가스 주입부 (30) 는 오직 웨이퍼의 건조 동안에만 밀폐된 챔버를 제공한다고 하고 있고, 반면, 웨이퍼의 습식 프로세싱 동안에는, 훨씬 더 큰 바깥쪽 인클로저 (enclosure) (1) 만이 프로세스 모듈 및 연관된 구동 메커니즘의 격리부일 뿐이다. 또한, 이들 특허들의 가스 주입부 (30) 및 액체 주입부 (4) 는 동시에 작업할 수 없다.
본 발명자는, 바람직하게는 단일 웨이퍼 습식 프로세싱을 위해 설계된, 개선된 폐쇄형 챔버 모듈 (closed chamber module) 을 개발하였다. 이 폐쇄형 챔버 모듈에서, 웨이퍼 상으로 매체 (media) 를 분배하기 위한 아암들 (arms) 이 챔버 내에 장착되고, 대기 포지션으로부터 서비스 포지션 (service position) 으로 이동가능하다. 폐쇄형 챔버 모듈은 챔버를 밀폐하는 가동 상부 리드 (movable upper lid) 를 포함하고, 이는 또한 바람직하게는 가스를 챔버 내로 공급하도록 구성된다.
본 발명의 다른 목적들, 특징들 및 이점들은, 첨부 도면들을 참조하여 주어진, 본 발명의 바람직한 실시형태들의 이하의 상세한 설명을 읽은 후에 더욱 명백하게 될 것이다.
도 1 은 본 발명에 따른 폐쇄형 챔버 모듈의 바람직한 실시형태의 투시도이다.
도 2 는 도 1 과 유사한 모습이고, 모듈의 로딩 또는 언로딩을 허용하도록 샤워헤드 리드가 상승되어 모듈로부터 멀어진 모습이다.
도 3 은 도 1 실시형태를 하방으로부터 본 투시도이고, 모듈을 동작시키기 위해 사용되는 다양한 메커니즘들을 보여준다.
도 4 는 도 1 실시형태의 다른 투시도이고, 축면을 따라 절단하여 그 내부 컴포넌트들을 나타낸다.
도 5 는 도 1 실시형태의 축 단면도이고, 도 4 의 것과 동일한 평면의 것이다.
도 6 은 매체 공급 아암들 중 하나의 피봇형 마운팅을 횡단하는 평면에서의 도 1 실시형태의 축 단면도이다.
도 7 은 이 실시형태의 스핀 척을 구동 및 상승 및 하강시키기 위한 메커니즘을 나타내는 단면도이다.
도 8 은 대기 포지션에서의 매체 공급 아암들을 나타내는, 도 1 실시형태의 방사상 단면도이다.
도 9 는 매체 공급 아암들 중 하나의 피봇형 마운팅의 부분적 투시도이다.
도 10 은 도 1 실시형태의 방사상 단면도이고, 여기서, 매체 공급 아암들 중 하나가 그것의 서비스 포지션으로 피봇되어 있다.
도 11 은 상부 챔버 커버가 제거된, 도 1 실시형태의 투시도이다.
도 1 을 참조하면, 폐쇄형 챔버 모듈 (10) 은 베이스 플레이트 (15) 상에 탑재되고, 바람직하게는 실린더형 챔버 벽 (30) 및 일련의 스크루 (screw) 등에 의해 그 챔버 벽 (30) 에 고정된 환상의 (annular) 챔버 커버 (32) 에 의해 구성된다. 챔버 모듈 (10) 은 그것의 상단이 샤워 헤드 리드 (20) 에 의해 폐쇄되고, 이 샤워 헤드 리드는 그것의 외주 (outer periphery) 에서 환상의 상부 챔버 커버 (30) 의 내주 (inner periphery) 를 밀폐 (seal) 한다.
리드 (20) 는 리드 아암 (lid arm; 22) 에 고정되고, 이 리드 아암은 리드 (20) 를 도 1 에 도시된 폐쇄 포지션으로부터 도 2 에 도시된 개방 포지션으로 이동시키고, 그것은 다시 리드 지지 샤프트 (26) 상에 포지셔닝된다 (positioned). 가스 공급 라인 (24) 은 샤워 헤드 리드 (20) 에 가스를 공급한다.
이하 설명될 각각의 매체 공급 아암들에 대해 제 1 및 제 2 구동 유닛들 (52, 62) 이 제공되고, 매체 공급 아암들에 대한 피봇형 이동 (pivotal movement) 메커니즘을 위해 각각의 커버들 (54, 64) 로 리드 (lead) 한다. 참조 부호 56 은 제 1 매체 공급 라인에 대한 리드-인 (lead-in) 을 나타낸다.
이 실시형태의 폐쇄형 챔버 모듈은 3 개의 내부 레벨들을 가지고, 이들 각각은 연관된 가스 배출부 (exhaust) 를 가지며, 도 1 에서의 참조 부호들 82, 84, 및 86 은 각각 하위, 중위, 및 상위 레벨에 대한 연관된 배출부들을 나타내고, 81, 83, 및 85 는 그들 배출부들을 위한 연관된 하위, 중위, 및 상위 가스 흡인부 (suction) 를 나타낸다.
이제 도 2 를 참조하면, 폐쇄형 챔버 모듈이 개방 포지션에서 도시되어 있고, 이는 챔버에 대해 샤워 헤드 리드를 상방으로 상승시키는 것과, 그것을 중공 샤프트 (hollow shaft; 18) 를 수용하는 리드 지지 샤프트 (26) 주위로 피봇 (pivot) 시키는 것을 수반한다.
챔버 내부에는, 스핀 척 (70) 이 보이고, 이 척은 이 실시형태에서 양면형 척이다. 대기 포지션에 있는 제 2 매체 공급 아암 (63), 및 상위, 중위, 및 하위 레벨들 (34, 35, 36) 도 보인다.
도 3 에서, 샤워 헤드 구역 (25) 이 샤워 헤드 리드 (20) 의 아래측에 보이고, 이 구역은 또한 복수의 가스 개구들에 추가하여 중앙 가스 노즐 (23) 을 포함한다.
모터 (27) 는 링크 (19) 를 구동하고, 이는 다시 중공 샤프트 (18) 를 구동한다 (도 4 참조). 모터 (27) 는 따라서 샤워 헤드 리드 (20) 의 피봇형 이동을 구동한다. 모터 (28) 는 샤워 헤드 리드 (20) 를 상승 및 하강시키기 위한 리프팅 (lifting) 모터이고, 한편, 29 는 중공 샤프트 (18) 내에 수용되는 가스 공급 라인들 (21, 24) 을 위한 리드-인을 나타낸다.
선회 (spin) 모터 (72) 는 스핀 척 (70) 을 선회시키고, 한편, 모터 (76) 는 스핀 척 (70) 을 슬라이더 (slider; 74) 를 통해 상승 및 하강시킨다. 하부 챔버 커버 (31) 는, 이하 설명되는 바와 같이, 스핀 척의 벨로우즈 (bellows) 를 수용한다.
도 4 에서, 상위, 중위, 및 하위 레벨들 (34, 35, 36) 이 더 용이하게 보인다. 이들 레벨들 및 그들의 각각의 배출부들 (86, 84, 82) 의 구조는 공동 소유된 출원 WO 2004/084278 A1 에서 설명되어 있는 바와 같을 수도 있다. 중앙 가스 노즐 (23) 을 위한 가스 공급 라인 (21), 챔버의 내부 분위기로부터 구동 메커니즘을 격리시키도록 기능하는 확장 벨로우즈 (75), 척 구동 메커니즘을 챔버의 아래측에 연결하는 프레임 (77), 척의 저부 (bottom) 노즐들에 공급하는 비회전형 노즐 헤드 (79), 및 그 저부 노즐 헤드 (79) 를 수용하는 비회전형 중공 샤프트 (78) 또한 도 4 에서 볼 수 있다.
도 5 의 축 단면도는, 챔버 저부를 정의하는 플레이트 (37), 대기 포지션으로 도시된 제 1 매체 공급 아암 (53), 및 그 아암 (53) 을 위한 적하 영역 (stowage area; 55) 과 함께, 전술한 컴포넌트들을 더욱 자세히 도시하고 있다. 샤프트 (18) 는, 그 샤프트 (18) 에 대해 부여되는 회전 및 병진 운동들이 이하 설명되는 리드 아암 (22) 및 리드 (20) 에도 부여되도록, 리드 아암 (22) 에 단단하게 고정된다.
도 6 의 축단면도에서, 횡단면은 제 1 매체 공급 아암 (53) 에 대한 피봇 메커니즘의 커버 (54) 를 통과한다. 이 각도에서, 제 2 매체 공급 아암 (63) 은 그것의 대기 포지션에서 충분하게 가시적이다. 참조 부호 17 은 가스 샤워헤드 리드 (20) 를 갖는 압력 균등화 챔버를 나타낸다. O-링 또는 V-시일과 같은 환상의 시일 (seal; 33) 은 환상의 상부 챔버 커버 (32) 에 부착되거나 거기에 얹혀져 샤워헤드 리드 (20) 와의 시일을 형성한다.
이제 도 7 로 가서, 스핀 척 캐리어 (73) 를 회전시키기 위한 메커니즘은, 스핀 척 캐리어 (73) 를 회전 구동하는 회전 중공 샤프트 (71), 챔버의 내부 분위기로부터 구동 메커니즘을 차폐 (shield) 하는 확장 벨로우즈 (75), 척 구동 메커니즘을 챔버의 아래측에 연결하는 프레임 (77), 척의 저부 노즐들에 공급하는 비회전형 노즐 헤드 (79), 및 저부 노즐 헤드 (79) 를 수용하는 비회전형 중공 샤프트 (78) 를 포함한다.
비회전형 중공 샤프트 (90) 는 회전형 중공 샤프트 (71) 를 둘러싸고, 이는 다시 비회전형 중공 샤프트 (78) 를 둘러싸며, 이들 3 개의 샤프트들은 서로에 대해 동축이다. 회전식 샤프트 시일 베어링 (91) 은 챔버 분위기로부터 동축 샤프트들을 밀폐하고, 상호연결된 회전식 중공 샤프트 (78) 및 척 캐리어 (73) 를 지지하며, 한편, 베어링 (92) 은 비회전형 상부 링 (94) 을 회전형 샤프트 (71) 와 연결시킨다. 멤브레인 (membrane) 커버 (93) 는 하부 챔버 커버 (31) 내에, 그리고 벨로우즈 (75) 에 대한 그것의 내주 시일들에, 그리고 챔버 저부 (37) 에 대한 그것의 외주 시일들에 꼭 맞게 되어 있다.
도 8 에서, 실린더형 챔버 벽 (30) 을 통한 방사상 단면은, 대기 포지션에서의 제 1 및 제 2 매체 공급 아암들 (53 및 63) 을 나타내고, 도 8 에서의 파선은, 매체 아암들 (53, 63) 의 분배단들이, 그들이 도시된 대기 포지션으로부터, 그 매체 아암의 분배 단이 스핀 척에 걸쳐 대략 중앙에 오게 되는 서비스 포지션으로 움직임에 따라 이동하는 아치형 경로를 추적하고 있다. 실제로는 매체 아암들 중 오직 하나만이 사용될 것이고, 따라서, 그것의 임의의 주어진 시간에서 서비스 위치에 있을 것이고, 즉, 매체 공급 아암들 (53, 63) 이 종종 도시된 바와 같이 양자 모두 대기 포지션에 있을 것이지만, 사용에 있어서는, 통상적으로 오직 일방 또는 타방 만이 서비스 포지션에 있을 것이다. 그럼에도 불구하고, 양 매체 공급 아암들 (53, 63) 은 동시에 움직일 수 있고, 하지만 양자택일로 중앙에 접근하며, 이것은 적절한 소프트웨어 명령들에 의해 달성될 수 있다.
매체 공급 아암들 (53, 63) 에 대한 서비스 포지션에 대해 언급하자면, 하나보다 많은 서비스 포지션이 있을 수 있고, 또는, 그 점에 있어서, 아암들은 그들이 주변의 대기 포지션으로부터 반경 안쪽으로 이동함에 따라 서비스에 있을 수도 있다는 것이 이해될 것이다. 따라서, 서비스 포지션은, 중앙의 가장 안쪽의 포지션 뿐만 아니라, 매체 공급 아암의 분배단이 척 상에 지지된 웨이퍼 위에 포지셔닝되는 임의의 위치를 지칭할 수 있다. 예를 들어 서비스 포지션은 웨이퍼의 프로세싱 동안 중앙으로부터 에지를 향해 그리고 본래 있던 자리로 이동할 것이다.
도 9 는 매체 공급 및 분배 아암들을 그들의 대기 및 서비스 포지션들 사이에서 구동하기 위한 메커니즘을 더 상세하게 나타낸다. 커버 (64) 는, 구동 메커니즘의 내부 컴포넌트들을 보는 것을 허용하기 위해 도 9 에서 파선으로만 나타내었다. 실제로는, 커버 (64) 는 챔버의 실린더형 벽 (30) 에 밀폐된 방식으로 장착된 고체 재료로 이루어질 것이고, 그 실린더형 벽 (30) 은 커버 (64) 에 의해 둘러싸인 절단부를 가져 거기를 통한 링크 (67) 의 통과를 허용하며, 링크 (67) 는 제 2 매체 아암 (63) 을 그것의 말단부 상에 반송하고, 예를 들어 스플라인 (spline) 연결에 의해 구동 유닛 (62) 의 출력 샤프트에 연결된다. 출력 샤프트 (68) 는 시일된 베어링을 통해 하방으로부터 커버 (64) 를 관통하고, 따라서 구동 유닛 (62) 은 챔버의 외부에 배치되며 챔버 내에 존재하는 가혹한 화학적 환경으로부터 보호된다.
따라서, 구동 유닛 (62) 이 작동될 때, 링크 (67) 는 구동 유닛 (62) 의 동작 사이클에 의해 지시되는 움직임의 범위에 걸쳐 피봇될 것이며, 이는 매체 공급 아암 (63) 의 그것의 대기 포지션으로부터 그것의 서비스 포지션까지의 변위에 대응한다. 실린더형 챔버 벽 (30) 에서의 절단부의 사이즈는 따라서 그 피봇 운동의 범위를 수용하도록 크기가 맞춰진다.
리드-인 (66) 은 챔버 내부의 제 2 매체 라인 (61) 에 연결된다. 리드-인 (66) 은 예를 들어 시일된 방식으로 챔버의 실린더형 벽 (30) 을 가로지르는 유체 커플링 (coupling) 일 수도 있고, 챔버 바깥쪽의 그것의 단부에서 유입 배관에 연결되고 챔버 내부의 그것의 단부에서 제 2 매체 라인 (61) 에 연결된다.
도 9 에서는, 제 2 매체 공급 아암 (63) 에 대한 적하 영역 (65) 을 벽 (30) 과 함께 정의하는 실린더형 챔버 벽 (30) 의 방사상으로 안쪽에 위치결정되는 내부 챔버 백이 또한 보인다. 그 내부 벽에는, 매체 공급 아암 (63) 이 적하 영역 (65) 에서 그것의 대기 위치로부터, 아암 (63) 의 분배단이 스핀 척의 중앙 위에 위치되는 그것의 서비스 포지션으로 이동됨에 따라 그 매체 공급 아암 (63) 의 아래쪽으로 달린 분배단의 통과를 허용하기 위한 절단부 (69) 가 형성된다.
제 1 및 제 2 매체 공급 아암들 (53 및 63) 에는 이 실시형태에서 본질적으로 동일한 구동 메커니즘들이 장착되므로, 일방의 유닛의 다양한 컴포넌트들의 설명이 타방의 유닛에도 또한 적용되고, 이러한 설명은 본원에서 반복되지 않을 것이다. 또한, 발명의 본 실시형태에는 2 개의 매체 분배 아암들이 장착되지만, 이러한 아암들 및 그들의 연관된 구동 메커니즘들의 수는 오직 하나일 수 있을 것이고, 또는, 그 반대로, 3 개 또는 그 이상일 수도 있을 것이다.
도 10 에서, 제 1 매체 아암 (53) 은 그것의 대기 포지션에 남아 있는 반면, 제 2 매체 공급 아암 (63) 은 서비스 포지션으로 피봇되며, 여기서, 아래쪽으로 달린 아암 (63) 의 분배 노즐은 스핀 척의 중앙 위에 위치된다. 제 2 매체 라인 (61) 은 서비스 포지션에서의 아암 (63) 과 함께 더욱 잘 보인다. 그 라인 (61) 은 도 10 에서 개략적으로 묘사되었지만, 라인 (61) 의 길이는 링크의 방사상 안쪽 단부의 운동의 범위를 수용하기에 충분하고 따라서 그것의 유연성 또한 그러함을 알 수 있을 것이다.
도 11 의 투시도는 도 10 에 대응하고, 제 위치의 커버 (54) 를 도시한 반면 커버 (64) 는 매체 아암 (63) 에 대한 구동 링키지 (linkage) 를 드러내도록 제거되어 있다.
사용 시, 챔버는 그 안에서 프로세싱될 웨이퍼의 로딩을 허용하기 위해 개방될 것이다. 이것은 먼저, 베이스 플레이트 (15) 의 아래측에 포스트 (post) 들에 의해 고정된 모터 (28) 를 작동시키는 것을 수반하고, 모터 (28) 는 그 베이스 플레이트에 대해 정적이며 (도 3 참조), 하지만, 그것의 출력 샤프트는 연장될 때 리드-인 (29) 이 상방으로 변위되게끔 하고, 그것과 함께 샤프트 (18), 리드 아암 (22), 및 리드 (20) 도 마찬가지다. 샤프트 (18) 는 바람직하게는 리드-인 (29) 내에 저널 (journal) 되어, 그것이 리드-인과 함께 상승되지만 리드-인 (29) 에 대해 회전가능하게 된다.
일단 리드 (20) 가 챔버를 개방하도록 상승되면, 모터 (27) 는 샤프트 (18) 의 축 주위의 운동의 아치형 범위에서 링크 (19) 를 구동하기 위해 다음으로 작동된다. 링크 (19) 가 샤프트 (18) 에 회전가능하지 않게 고정되므로, 이러한 운동은 샤프트 (18) 를 회전시키고 그것과 함께 리드 아암 (22) 및 리드 (20) 도 회전시켜, 리드 (20) 는 상부 환상 챔버 커버 (32) 에 의해 정의된 개구로부터 도 2 에서 도시된 위치까지 스윙된다. 도 3 에 도시된 바와 같이, 모터 (27) 는 그 자신이 피봇을 통해 베이스 플레이트 (15) 의 아래측에 장착되어, 링크 (19) 의 피봇 운동을 허용한다. 링크 (19) 는, 모터 (28) 에 의해 구동되는 샤프트 (18) 의 수직 변위 동안 샤프트 (18) 에 스플라인되고 거기에 대해 슬라이딩될 수도 있고, 또는, 링크 (19) 는 샤프트 (18) 에 단단하게 고정되어, 모터 (28) 가 동작될 때 그것이 그 위에서 수직으로 슬라이딩할 수도 있는 피봇 연결을 통해 그것의 대향 단에서 모터 (27) 에 의해 구동될 수도 있다.
챔버가 도 2 에 도시된 바와 같이 개방된 후에, 프로세싱될 웨이퍼가 그 안에 로딩된다. 웨이퍼들을 스핀 척 상으로 운반 및 로딩하기 위한 장치는 당해 기술분야에서 잘 알려져 있다. 웨이퍼를 받기 위해, 모터 (76) 는 슬라이더 (74) 를 통해 스핀 척 (70) 을 상부 환상 챔버 커버 (32) 에서 정의되는 개구 근방의 위치로 상승시키도록 작동된다. 특히, 스핀 척 (70) 은, 그것이 커버 (32) 의 개구 바로 아래에, 그 개구 바로 위에, 또는 그 개구와 같은 높이에 있는 포지션으로 상승될 수도 있다.
상부 환상 커버 (32) 에서의 개구의 직경은 챔버에서 프로세싱될 웨이퍼의 외경보다 명백하게 더 커야만 하지만, 바람직하게는 상당히 더 큰 직경의 것은 아니다. 예를 들어, 300mm 실리콘 웨이퍼의 경우에, 커버 (32) 의 개구는 대략 320mm 의 직경을 갖는다. 일반적으로, 챔버의 상단에서의 개구의 직경은 프로세싱될 웨이퍼의 직경을 50% 보다 많이 초과해서는 안되고, 바람직하게는 20% 이하, 더욱 바람직하게는 10% 이하 만큼 초과한다.
스핀 척 (70) 은 미리 결정된 직경, 이 경우에는 300mm 의 직경의 웨이퍼를 유지 (hold) 하도록 구성된다. 스핀 척 (70) 은 주변의 일련의 그립 (grip) 핀들을 포함하고, 이는 웨이퍼가 프로세싱 동안 옆으로 슬라이딩하는 것을 방지한다. 스핀 척 (70) 이 베르누이 (Bernoulli) 척으로서 구현되는 경우, 척을 통해 공급되고 웨이퍼 아래 방사상 바깥쪽으로 통과하는 질소 가스 유동은 웨이퍼의 하방 지지를 제공한다. 다르게는, 그립 핀들은, 예를 들어 웨이퍼의 주변 에지에 상보적인 형상을 가짐으로써, 척에 상대적인 그것의 작용 포지션에 웨이퍼를 유지하여 측방 지지 및 하방 지지 양자 모두를 제공하는, 방사상 안쪽에 면하는 면들을 갖도록 구성될 수도 있다.
스핀 척 (70) 은 그 다음 모터 (76) 에 의해 상위, 중위, 및 하위 레벨들 (34, 35, 36) 중 하나에서의 작용 포지션으로 하강되고, 그 후, 스핀 모터 (72) 는 스핀 척 (70) 을 선회시키기 시작한다. 액체 및 기체의 임의의 원하는 조합이 그 다음 챔버 내부에 공급될 수 있고, 그 액체는 매체 공급 아암들 (53, 63) 을 통한 것이고, 기체는 샤워헤드 리드 (20) 를 통한 것이다.
챔버를 밀폐하는 시일들 중 하나 이상은 미리 결정된 레벨의 과압력에서 챔버의 외부로의 가스의 제어된 누출을 허용하도록 설계되는 것이 바람직하다. 그런 식으로, 샤워헤드 리드 (20) 로부터 및/또는 샤프트 (78) 를 통해 가스를 과도한 압력의 축적으로 공급하는 것을 계속하면서 웨이퍼의 프로세싱 동안 챔버 내에 실질적으로 산소가 없는 분위기가 유지될 수 있다. 이 설계는 또한 완전히 불침투성 시일들의 유지보수 또는 진공의 사용에 의존할 필요 없이 산소의 배제를 허용한다.
이 실시형태의 설계는 가스 샤워헤드 및 매체 공급 아암들이 기체 및 액체를 동시에 챔버 내부로 공급하는 것을 허용하는 것을 이해할 것이다. 또한, 매체 공급 아암들 (53, 63) 및 그들의 연관된 구동 메커니즘들의 설계는 아암들이 챔버 내부에 배치되도록 하는 반면 그들의 각각의 구동 유닛들은 챔버 외부에 장착되도록 하는 것을 허용한다. 이것은 이러한 프로세싱 모듈들에 종종 사용되는 매우 부식성이 강한 화학물질들에 대한 그들 구동 유닛들의 노출을 방지하는 상당한 이점을 제공한다.
본 발명이 그것의 다양한 예시적인 실시형태들과 함께 설명되었지만, 그 실시형태들은 첨부된 청구항들의 진정한 범위 및 사상에 의해 부여되는 보호의 범위를 제한하는 구실로서 사용되어서는 아니된다는 것을 이해하여야 한다.

Claims (15)

  1. 웨이퍼 형상의 물품들을 프로세싱하기 위한 장치로서,
    폐쇄형 프로세스 챔버, 상기 폐쇄형 프로세스 챔버 내에 위치된 척, 상기 챔버 내에 배치된 적어도 하나의 프로세스 액체 분배 디바이스, 및 상기 적어도 하나의 프로세스 액체 분배 디바이스에 대한 구동 유닛을 포함하고, 상기 구동 유닛은 상기 적어도 하나의 분배 디바이스를 주변의 대기 포지션으로부터, 상기 적어도 하나의 분배 디바이스의 분배단이 상기 척의 방사상 안쪽으로 이동된 하나 이상의 활동 포지션으로 이동시키도록 상기 적어도 하나의 분배 디바이스에 구동가능하게 연결되며, 상기 구동 유닛은 상기 챔버의 외부에 장착되는, 웨이퍼 형상의 물품들을 프로세싱하기 위한 장치.
  2. 제 1 항에 있어서,
    상기 챔버는 반도체 웨이퍼들의 단일 웨이퍼 습식 프로세싱을 위한 프로세스 모듈의 컴포넌트인, 웨이퍼 형상의 물품들을 프로세싱하기 위한 장치.
  3. 제 1 항에 있어서,
    상기 척은 상기 챔버로부터 아래쪽으로 연장되는 구동 샤프트를 갖는 스핀 척인, 웨이퍼 형상의 물품들을 프로세싱하기 위한 장치.
  4. 제 1 항에 있어서,
    상기 챔버는, 폐쇄 포지션으로부터 개방 포지션으로 이동가능하여 웨이퍼 형상의 물품이 상기 챔버 내에 도입되거나 상기 챔버로부터 제거되는 것을 허용하는 리드를 포함하며, 상기 리드는 상기 폐쇄 포지션에 있을 때 상기 챔버 내로 가스를 주입하기 위한 가스 노즐들을 포함하고, 상기 적어도 하나의 프로세스 액체 분배 디바이스는 상기 리드가 상기 폐쇄 포지션에 있는 동안 상기 챔버 내로 액체를 분배하도록 동작가능한, 웨이퍼 형상의 물품들을 프로세싱하기 위한 장치.
  5. 제 4 항에 있어서,
    상기 리드를 상기 폐쇄 포지션으로부터 상기 개방 포지션으로 이동시키는 구동 메커니즘을 더 포함하고, 상기 구동 메커니즘은 상기 리드를 상기 챔버에 대해 상방으로 및 측방으로 모두 변위시키도록 구성되는, 웨이퍼 형상의 물품들을 프로세싱하기 위한 장치.
  6. 제 1 항에 있어서,
    상기 구동 유닛은 상기 챔버의 측면 하우징에 장착된 모터이고, 상기 모터의 출력 샤프트는 상기 측면 하우징 내로 통과하여, 상기 챔버 내로 통과하여 상기 적어도 하나의 액체 분배 디바이스에 연결되는 링크를 구동하는, 웨이퍼 형상의 물품들을 프로세싱하기 위한 장치.
  7. 제 1 항에 있어서,
    상기 적어도 하나의 프로세스 액체 분배 디바이스는 매체 공급 아암이고, 상기 매체 공급 아암은 상기 챔버 내에 피봇가능하게 장착되어 주변의 대기 포지션으로부터, 상기 매체 공급 아암의 분배단이 상기 척의 방사상 안쪽으로 이동된 하나 이상의 활동 포지션들로 이동가능한, 웨이퍼 형상의 물품들을 프로세싱하기 위한 장치.
  8. 제 7 항에 있어서,
    상기 매체 공급 아암은, 상기 챔버의 벽을 통과하는 링크에 피봇가능하게 연결된 일단과, 분배 노즐이 제공된 대향단을 갖는, 웨이퍼 형상의 물품들을 프로세싱하기 위한 장치.
  9. 제 4 항에 있어서,
    상기 리드는, 상기 가스 노즐들이 배치되는 구역을 포함하는 가스 샤워헤드이고, 상기 구역은 상기 폐쇄형 프로세스 챔버에서 처리될 웨이퍼 형상의 물품의 면적의 적어도 50% 를 커버하는, 웨이퍼 형상의 물품들을 프로세싱하기 위한 장치.
  10. 제 4 항에 있어서,
    상기 리드는 상기 척 상에 수용된 웨이퍼 형상의 물품에 대해 평행하게 배열되는, 웨이퍼 형상의 물품들을 프로세싱하기 위한 장치.
  11. 제 1 항에 있어서,
    상기 척은 상기 폐쇄형 프로세스 챔버에 대해 수직으로 이동가능하고, 적어도 3 개의 정지 포지션들을 가지도록 구성되며, 상기 적어도 3 개의 정지 포지션들은 웨이퍼 형상의 물품을 상기 척에 로딩 및 언로딩하기 위한 최상위 포지션, 및 상기 챔버 내의 적어도 2 개의 하위 포지션들이고, 상기 적어도 2 개의 하위 포지션들의 각각은 상기 챔버의 구분되는 프로세스 레벨에 대응하는, 웨이퍼 형상의 물품들을 프로세싱하기 위한 장치.
  12. 제 1 항에 있어서,
    상기 폐쇄형 프로세스 챔버는 복수의 중첩된 프로세스 레벨들을 포함하고, 상기 복수의 중첩된 프로세스 레벨들의 각각은 거기에 연결된 각각의 가스 배출부를 가지며, 상기 가스 배출부들은 개별적으로 제어가능한, 웨이퍼 형상의 물품들을 프로세싱하기 위한 장치.
  13. 제 1 항에 있어서,
    상기 폐쇄형 프로세스 챔버는 베이스 플레이트의 상부 면 상에 탑재되고, 상기 척에 대한 구동 유닛을 더 포함하며, 상기 척에 대한 상기 구동 유닛은 상기 베이스 플레이트의 하부 면에 매달린 하우징에 장착되는, 웨이퍼 형상의 물품들을 프로세싱하기 위한 장치.
  14. 제 4 항에 있어서,
    상기 리드를 상기 폐쇄 포지션으로부터 상기 개방 포지션으로 이동시키는 구동 메커니즘은 베이스 플레이트의 하부 면에 장착되고, 상기 폐쇄형 프로세스 챔버는 상기 베이스 플레이트의 상부 면 상에 탑재되는, 웨이퍼 형상의 물품들을 프로세싱하기 위한 장치.
  15. 제 4 항에 있어서,
    상기 챔버는 상부 원형 개구를 가지고, 상기 리드는 상기 챔버의 개구보다 크며, 상기 챔버의 벽을 향해 아래쪽으로 눌러질 때 상기 리드가 상부 챔버 벽에 대해 밀폐하도록 시일이 제공되는, 웨이퍼 형상의 물품들을 프로세싱하기 위한 장치.
KR1020137010743A 2010-10-27 2011-10-05 웨이퍼 습식 프로세싱을 위한 폐쇄형 챔버 KR101779240B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/913,405 2010-10-27
US12/913,405 US8926788B2 (en) 2010-10-27 2010-10-27 Closed chamber for wafer wet processing
PCT/IB2011/054377 WO2012056341A2 (en) 2010-10-27 2011-10-05 Closed chamber for wafer wet processing

Publications (2)

Publication Number Publication Date
KR20140001880A true KR20140001880A (ko) 2014-01-07
KR101779240B1 KR101779240B1 (ko) 2017-09-18

Family

ID=45994480

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020137010743A KR101779240B1 (ko) 2010-10-27 2011-10-05 웨이퍼 습식 프로세싱을 위한 폐쇄형 챔버

Country Status (7)

Country Link
US (1) US8926788B2 (ko)
JP (1) JP5797272B2 (ko)
KR (1) KR101779240B1 (ko)
CN (1) CN103180056B (ko)
SG (1) SG189217A1 (ko)
TW (1) TWI488228B (ko)
WO (1) WO2012056341A2 (ko)

Families Citing this family (295)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8501025B2 (en) 2010-03-31 2013-08-06 Dainippon Screen Mfg. Co., Ltd. Substrate treatment apparatus and substrate treatment method
US8926788B2 (en) * 2010-10-27 2015-01-06 Lam Research Ag Closed chamber for wafer wet processing
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US20130008602A1 (en) * 2011-07-07 2013-01-10 Lam Research Ag Apparatus for treating a wafer-shaped article
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20140026926A1 (en) * 2012-07-30 2014-01-30 Lam Research Ag Method and apparatus for liquid treatment of wafer-shaped articles
KR101501362B1 (ko) 2012-08-09 2015-03-10 가부시키가이샤 스크린 홀딩스 기판처리장치 및 기판처리방법
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
KR101512560B1 (ko) 2012-08-31 2015-04-15 가부시키가이샤 스크린 홀딩스 기판처리장치
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
KR102091291B1 (ko) 2013-02-14 2020-03-19 가부시키가이샤 스크린 홀딩스 기판 처리 장치 및 기판 처리 방법
JP6017999B2 (ja) * 2013-03-15 2016-11-02 株式会社Screenホールディングス 基板処理装置
US10707099B2 (en) 2013-08-12 2020-07-07 Veeco Instruments Inc. Collection chamber apparatus to separate multiple fluids during the semiconductor wafer processing cycle
US9768041B2 (en) 2013-08-12 2017-09-19 Veeco Precision Surface Processing Llc Collection chamber apparatus to separate multiple fluids during the semiconductor wafer processing cycle
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR101905289B1 (ko) 2014-03-28 2018-10-05 가부시키가이샤 스크린 홀딩스 기판 처리 장치 및 기판 처리 방법
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9714462B2 (en) * 2014-10-08 2017-07-25 Applied Materials, Inc. Vacuum pre-wetting apparatus and methods
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10167552B2 (en) 2015-02-05 2019-01-01 Lam Research Ag Spin chuck with rotating gas showerhead
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US20160376702A1 (en) * 2015-06-26 2016-12-29 Lam Research Ag Dual mode chamber for processing wafer-shaped articles
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
TWI797121B (zh) * 2017-04-25 2023-04-01 美商維克儀器公司 半導體晶圓製程腔體
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
WO2019083735A1 (en) 2017-10-23 2019-05-02 Lam Research Ag SYSTEMS AND METHODS FOR PREVENTING THE STATIC FRICTION OF HIGH-SHAPE RATIO STRUCTURES AND / OR REPAIRING HIGH-SHAPE RATIO STRUCTURES
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US11705351B2 (en) 2017-12-01 2023-07-18 Elemental Scientific, Inc. Systems for integrated decomposition and scanning of a semiconducting wafer
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102498911B1 (ko) * 2018-04-11 2023-02-10 주식회사 디엠에스 기판처리장치
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
WO2020072278A1 (en) 2018-10-03 2020-04-09 Lam Research Ag Gas mixture including hydrogen fluoride, alcohol and an additive for preventing stiction of and/or repairing high aspect ratio structures
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR102010291B1 (ko) * 2019-04-03 2019-10-21 방문성 바니쉬 함침 장치
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
KR102535766B1 (ko) * 2021-08-24 2023-05-26 (주)디바이스이엔지 백 노즐 어셈블리를 포함하는 기판 처리장치
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
TWI812008B (zh) * 2022-02-15 2023-08-11 辛耘企業股份有限公司 晶圓製程裝置

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6064436A (ja) 1983-09-19 1985-04-13 Fujitsu Ltd スピンドライヤ
JPS63301528A (ja) * 1987-05-30 1988-12-08 Sigma Gijutsu Kogyo Kk 基板乾燥装置
AT389959B (de) 1987-11-09 1990-02-26 Sez Semiconduct Equip Zubehoer Vorrichtung zum aetzen von scheibenfoermigen gegenstaenden, insbesondere von siliziumscheiben
ATE174155T1 (de) 1993-02-08 1998-12-15 Sez Semiconduct Equip Zubehoer Träger für scheibenförmige gegenstände
JPH08316190A (ja) 1995-05-18 1996-11-29 Dainippon Screen Mfg Co Ltd 基板処理装置
JPH10163159A (ja) * 1996-12-25 1998-06-19 Sugai:Kk 基板洗浄装置の処理チャンバ装置
US6383289B2 (en) * 1997-12-16 2002-05-07 The University Of North Carolina At Chapel Hill Apparatus for liquid carbon dioxide systems
ATE196747T1 (de) 1999-04-06 2000-10-15 Sez Semiconduct Equip Zubehoer Einrichtung zum austrag von zwei oder mehreren medien mit mediendüsen
US7479205B2 (en) * 2000-09-22 2009-01-20 Dainippon Screen Mfg. Co., Ltd. Substrate processing apparatus
JP2002176026A (ja) 2000-12-05 2002-06-21 Ses Co Ltd 枚葉式基板洗浄方法および枚葉式基板洗浄装置
JP4602540B2 (ja) * 2000-12-12 2010-12-22 オメガセミコン電子株式会社 基板処理装置
JP2003007664A (ja) 2001-06-22 2003-01-10 Ses Co Ltd 枚葉式基板洗浄方法および枚葉式基板洗浄装置
CN2489463Y (zh) * 2001-06-25 2002-05-01 吴湘涵 薄片型电子元件的清洗装置
JP4018958B2 (ja) * 2001-10-30 2007-12-05 大日本スクリーン製造株式会社 基板処理装置
JP4187540B2 (ja) * 2003-01-31 2008-11-26 大日本スクリーン製造株式会社 基板処理方法
US20040157430A1 (en) 2003-02-07 2004-08-12 Asml Netherlands B.V. Methods and apparatus for processing semiconductor wafers with plasma processing chambers in a wafer track environment
JP4441530B2 (ja) 2003-03-20 2010-03-31 ラム・リサーチ・アクチエンゲゼルシヤフト ディスク形状の物体を湿式処理するための装置及び方法
JP4343022B2 (ja) * 2004-05-10 2009-10-14 東京エレクトロン株式会社 基板の処理方法及び基板の処理装置
JP4397299B2 (ja) * 2004-07-30 2010-01-13 大日本スクリーン製造株式会社 基板処理装置
KR100774979B1 (ko) * 2006-07-24 2007-11-08 세메스 주식회사 기판을 처리하는 장치 및 방법
KR100849366B1 (ko) * 2006-08-24 2008-07-31 세메스 주식회사 기판을 처리하는 장치 및 방법
US8008166B2 (en) * 2007-07-26 2011-08-30 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface
JP5242242B2 (ja) * 2007-10-17 2013-07-24 株式会社荏原製作所 基板洗浄装置
JP5180661B2 (ja) * 2008-04-18 2013-04-10 株式会社ディスコ スピンナ洗浄装置および加工装置
JP5249915B2 (ja) * 2009-01-22 2013-07-31 東京エレクトロン株式会社 薬液処理装置および薬液処理方法
JP5358505B2 (ja) * 2010-03-31 2013-12-04 大日本スクリーン製造株式会社 基板処理装置
US8926788B2 (en) * 2010-10-27 2015-01-06 Lam Research Ag Closed chamber for wafer wet processing
US20130008602A1 (en) * 2011-07-07 2013-01-10 Lam Research Ag Apparatus for treating a wafer-shaped article

Also Published As

Publication number Publication date
KR101779240B1 (ko) 2017-09-18
CN103180056A (zh) 2013-06-26
TWI488228B (zh) 2015-06-11
SG189217A1 (en) 2013-05-31
US8926788B2 (en) 2015-01-06
JP2013544025A (ja) 2013-12-09
WO2012056341A3 (en) 2012-07-19
WO2012056341A2 (en) 2012-05-03
JP5797272B2 (ja) 2015-10-21
TW201234441A (en) 2012-08-16
CN103180056B (zh) 2015-11-25
US20120103522A1 (en) 2012-05-03

Similar Documents

Publication Publication Date Title
KR101779240B1 (ko) 웨이퍼 습식 프로세싱을 위한 폐쇄형 챔버
US10056287B2 (en) Apparatus for treating surfaces of wafer-shaped articles
US6824621B2 (en) Single wafer type substrate cleaning method and apparatus
KR102007546B1 (ko) 웨이퍼-형상 물품을 처리하기 위한 장치
US8104488B2 (en) Single side workpiece processing
EP1986793B1 (en) Single side workpiece processing
JP6121458B2 (ja) ウエハ形状物品の表面を処理するためのプロセスおよび装置
KR102033804B1 (ko) 웨이퍼-형상의 물품을 처리하기 위한 디바이스 및 방법
TWI553761B (zh) 用以處理晶圓之方法及設備
CN104011847A (zh) 用于处理晶片状物品的表面的装置
US8082932B2 (en) Single side workpiece processing
US7938942B2 (en) Single side workpiece processing
KR20170001622A (ko) 웨이퍼-형상의 물품들을 프로세싱하기 위한 듀얼 모드 챔버

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant