TWI374887B - Precursors for depositing silicon-containing films and methods for making and using same - Google Patents

Precursors for depositing silicon-containing films and methods for making and using same Download PDF

Info

Publication number
TWI374887B
TWI374887B TW098126843A TW98126843A TWI374887B TW I374887 B TWI374887 B TW I374887B TW 098126843 A TW098126843 A TW 098126843A TW 98126843 A TW98126843 A TW 98126843A TW I374887 B TWI374887 B TW I374887B
Authority
TW
Taiwan
Prior art keywords
precursor
group
carbon atoms
aminodecane
amine
Prior art date
Application number
TW098126843A
Other languages
English (en)
Other versions
TW201006842A (en
Inventor
Hansong Cheng
Manchao Xiao
Gauri Sankar Lal
Thomas Richard Gaffney
Chenggang Zhou
Jinping Wu
Original Assignee
Air Prod & Chem
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Prod & Chem filed Critical Air Prod & Chem
Publication of TW201006842A publication Critical patent/TW201006842A/zh
Application granted granted Critical
Publication of TWI374887B publication Critical patent/TWI374887B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/10Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/025Silicon compounds without C-silicon linkages
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/42Silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

1374887 六、發明說明: 發明所屬之技術領域 在本文中描述了,可用於沉積含矽薄膜的前驅物,尤其 是胺基石夕院前驅物,所述薄膜包括但不限於氮化砂、氧化 石夕、氮碳化石夕(silicon carbo-nitride)和氮氧化石夕(siiicon oxynitride)薄膜》—方面’本發明描述了胺基矽烷前驅物的 製備方法。另一方面,本發明描述了胺基矽烷前驅物在積 體電路器件的製備中用於沉積含石夕介電薄膜的用途。在這 些方面或其他方面中,胺基矽烷前驅物可以用於各種沉積 方法’包括但不限於,原子層沉積("ALD"),化學氣相沉積 (CVD")、電漿增強的化學氣相沉積("pEcvd")、低壓化學 氣相沉積("LPCVD”)和大氣壓化學氣相沉積。 先前技術 含石夕介電薄膜在半導體器件或積體電路的製備中起著 重要作用。在半導體器件的製備中,化學惰性介電材料(如 氮化矽)的薄鈍化層(passive layer)可能是必需的。在所述器 件内部,一個和多個氮化矽薄層可以用作,例如,擴散掩 膜或阻擋層、氧化阻擋層、用於溝槽隔離的閘極絕緣層 inSulator)、電容器電介質、具有高介電崩潰電壓的金屬間 材料(uitermetallic material),和/或鈍化層。氮化矽薄膜還 D 、早獨用作金屬氧化物半導體的側壁隔片,或者與氧化 夕和/或氮氧化矽電介質結合用於如Iv和ιι ν族電晶體器 件含矽電介質如氮化矽薄膜的其他應用,可以參考文獻 1374887 例如由 Gary E. McGuire 編寫的 Semic〇nductor and Process
Technology_Han_dbo〇k, Noyes Publication, New Jersey, (1988),第 289-301 頁。 多種含矽化合物可以用作用於含矽薄膜(如氮化矽薄膜) 的前驅物》適合用作前驅物的含矽化合物的實施例包括, 矽烷、氯矽烷、聚矽氮烷、胺基矽烷和疊氮矽烷 (azidosilane)。還可以使用惰性載體氣體或稀釋劑,如但不 限於,氦氣、氫氣、氮氣等。 低壓化學氣相沉積(LPCVD)方法是半導體工業用於沉 積含矽薄膜的被廣泛接受的方法之一。使用氨的低壓化學 氣相沉積(LPCVD)可能需要高於75〇t的沉積溫度來獲得 適當的生長速率和均勻性。一般使用更高的沉積溫度來提 供改進的薄膜性能。生成氮化矽或其他含矽薄膜的更通常 的工業方法之一,是通過在熱壁反應器(h〇t waU react〇r) 中,在>750 c的溫度的低壓化學氣相沉積,使用前驅物矽 烷 '二氯矽烷和/或氨來進行。然而,使用該方法存在很多 缺陷。例如,某些前驅物如矽烷和二氯矽烷是引火的。這 會在處理和使用中產生問題。另外,由石夕院和二氣石夕院沉 積的薄膜可能包含某些雜質。例如使用二氯矽烷沉積的薄 膜可能包含某些雜質,如氯和氯化銨,它們會在沉積方法 中以副產物的形式形成。使用矽烷沉積的薄膜可能包含氫。 曰本專利6-132284描述了使用具有通式(RiR2N)nSiH4n 的有機矽烷,通過在氨或氮的存在下的電漿增強化學氣相 况積或熱化學氣相沉積形成氮化矽薄膜的方法。這些有機 5 1374887 石夕烧前驅物是第三胺,且不含nh鍵。沉積實驗在單片反 應器(single wafer reactor)中在 40CTC 和 80-100 Torr 的壓力 下進行。 參考文獻 Sorita 等 ’ Maw 幻/ieiic
Study of Low-Pressure Chemical Vapor Deposition of Si3N4
Thin Films From SiH2Cl2 and NH3) J. Electro. Chem. Soc., 第 141 卷 ’ No.l2,(1994),第 3 505-351 1 頁,描述了 使用二 氯矽烷和氨在LPCVD製程中沉積氮化矽的方法。該方法的 主產物是胺基氯矽烷、氮化矽和氯化銨。如上所述,氯化 敍的形成可能是使用含Si-Cl前驅物的主要缺陷。氯化銨的 形成會導致’尤其是顆粒的形成和氣化敍在管的尾端以及 管道和系系統内的沉積。其中在前驅物中含有氯的方法還 可能導致NH4C1的形成。這些方法可能需要經常清潔從而 導致長的反應器停工期》 參考 Xtk 它 專,Preparation of Silicon Nitride with Good Interface Properties by Homogeneous Chemical Vapour Deposition, Chemtronics, 1989,第 4 卷,Dec.,第 230-34頁,描述了使用石夕烧和氨通過均勻CVD方法,在 500-800C的氣體溫度同時保持200-500 的基材溫度沉積 氮化妙的方法。如上所述,使用石夕貌作為前驅物,可能會 在薄膜中引入氫雜質。 參考文獻 J. M. Grow 等,GVowi/ι Characterization of Low Pressure Chemically Vapor Deposited Si3N4 Films from (C4H9)2SiH2 and NH3, Materials 1374887
Letters,23,(1995),第 187-193 頁,描述 了通過 LPCVD 方 法,使用600-700°C的溫度,使用二第三丁基矽烷和氨沉積 氮化矽的方法。沉積的氮化矽薄膜被約10原子重量%的碳 雜質污染。 參考文獻 W-C. Yeh,R. Ishihara,S. Moishita,和 M. Matsumura,Japan. J. Appl. Phys.,35,(1996),第 1509-1512 頁’描述了使用六氣二矽烷和肼在接近350°C的低溫沉積 矽-氮薄膜。該薄膜在空氣中不穩定並緩慢轉換成矽-氧薄 參考文獻 A. K. Hochberg 和 D. L. O’Meara, Diethylsilane as a Silicon Source for the Deposition of Silicon Nitride and Silicon Oxynitride Film By LPCVD, Mat.
Res. Soc_ Symp. Proc,.第 204 卷,(1991),第 509-514 頁,公 開了使用二乙基石夕烧和氨以及氮氧化物通過LPCVD形成 氮化矽和氮氧化矽薄膜。所述沉積在650-700°C的溫度範圍
中進行。所述沉積通常被限制在650 °C的溫度,因為在更 低的溫度下沉積速率會下降到低於4埃/分鐘。在LPCVD 方法中,含有直接Si-C鍵的前驅物導致薄膜中的碳污染。 無碳沉積需要高於5:1的ΝΗγ前驅物比率。在較低的氨濃 度下會發現薄膜中含有碳。二乙基石夕烧和氨方法的處理一 般需要覆蓋舟孤或溫度梯度(temperature ramping),以改進 整個晶片上的均勻性。 美國專利No. 5, 234, 869("‘869專利")公開了使用 Si(N(CH3)2)4和氨作為反應氣體,在700°C和〇.5 Torr的壓 7 1374887 力下,通過LPCVD形成氮化矽薄膜。其他選自於由 SiH(N(CH3)2)3、SiH2(N(CH3)2)2 和 SiH3(N(CH3)2)組成的組 的反應物與氨或氮的組合也被建議作為反應物。869專利 還公開了通過使用由氣體產生的電漿來或通過用紫外線輻 射來激發氣體使沉積溫度降低到300°C。 參考文獻 R. G. Gordon 和 D. M. Hoffman, Dimethylamido Complexes and Ammonia as Precursors for the Atmospheric Pressure Chemical Vapor Deposition of Silicon Nitride Thin Films, Chem, Mater., 2 4-(1990) > 480-482頁,公開了減少包括胺基矽烷,如四(二甲基胺基) 矽烷的氮化矽薄膜中的含碳量的其他嘗試。該參考檔公開 了使用前驅物四(二曱基醯胺基)矽烷Si(NMe2)4和氨在 600-75 0°C的沉積溫度下通過APCVD沉積氮化矽薄膜。該 參考檔還教導了使用Si(NMen)4_n而不使用氨在750。(:的沉 積溫度的薄膜沉積,將導致以較低的生長速率獲得該薄 膜’同時還含有大量的碳(22-30%)和氧(15-17%)污染。 US專利No.5,874,368("‘3 68專利")描述了使用雙(第三 丁基胺基)矽烷(t-C4H9NH)2SiH2和氨在50(TC-800°C的溫度 範圍,通過LPCVD方法來沉積氮化矽薄膜。 用於沉積氮化矽薄膜的前驅物如BTBAS和氯矽烷通常 在高於550°C的溫度沉積薄膜。半導體器件的微型化和低 熱量衡算(thermal budget)的趨勢需要較低的加工溫度和較 向的沉積速率。應該降低氮化石夕薄膜沉積的溫度,以防止 離子在晶格中擴散,特別是對於那些包含金屬化層的並在 1374887 °C或更低)沉積的胺基矽烷前驅物,可以打算通過在si-N 鍵附近引入吸電子基團以從Si-N鍵移動電子密度來減弱所 述Si-N鍵。
使用量子力學在'度泛函理論(quantum mechanical density funcitonal theory),使用電腦模型軟體進行大量計 算’以系統評估一系列被各種具有至少一個吸電子取代基 序列取代的各種S iN前驅物的反應能《通過使用與雙數原 子基设疋增強的極化函數(d〇uble numerical atomic basis set augmented with polarization functions)結合的由
Perdew-Wang (PW91)提出的交換-關聯泛函數 (exchange-correlation functional),根據廣義梯度近似(GGA) 進行所述計算。所有的分子結構都被完全優化,以獲得能 量最有利的幾何結構。隨後,使用下述方程式(2)評估反應 能: ΔΕ = [E((R1R2N)n.1Si(NH2)R34.n) + ECR^^^-ECNHa) -E((R,R2N)nSiR34.n)] (2) 在方程式(2)中,期望的是,△]5的值越低,則以卞鍵越弱, 從而可以獲得越低的沉積溫度。 圖1提供了對於下述的胺基矽烷前驅物16或分別地三 (1,1-二甲基肼基)第三了基石夕炫、雙(U•二甲基骄)_乙基石夕 烧、雙(1山二甲基肼基)_曱基石夕⑦、雙(二乙基胺基)石夕烧、 三(異丙基胺基)矽烷和三(第三丁基胺基)矽烷,實驗上測定 的沉積溫度和使用電腦模型軟體所計算的活化能水準之間 的關係不意圖。圖i表明,活化能隨沉積溫度而增大。圖 15 1374887 2提供了對於胺基矽烷前驅物16,實驗上測定的沉積溫度 和使用電腦模型軟體計算的反應熱的示意圖。圖2表明, 沉積溫度隨反應熱的搢大而升高。圖3提供了對於胺基矽 烷前驅物1-6 ’實驗上測定的活化能與使用電腦模型軟體計 算的反應熱的關係示意圖。該實施例表明,活化能隨反應 熱的增大而增大。通過回顧圖丨_3的結果,在某些實施方
案中’前驅物的沉積溫度可以通過使用上述方程式的反 應熱進行計算推測。在某些實施方案中,優選地,所述胺 基矽烷前驅物的活化能為約45kcal/m〇1或更低、或者約 kcal/mol或更低、 kcal/mol或更低、 他實施方案中,優 kcal/mol或更低、 或者約35 kcal/mol或更低 '或者約3〇 或者約25 kcal/mol或更低。在這個或其 選地胺基矽烷前驅物的反應能為約5.5 約 4.0 kcal/mol 或更低、約 3.5 kcal/m〇1 或更低、或者約3.0 keal/mGl或更低、或者約2 5 ^秦〇1 或更低。
圖中使用的前驅物i-6,或三(1,κ二甲基肼基) 三丁基矽烷、雙(1,丨·二曱基肼)·乙基矽烷雙(1,1二甲^ 肼基基發燒、雙(二乙基胺基)㈣、三(異丙基胺❹ 烷和三(第三丁基胺基)矽烷的結構如下所示: 16 J374887 N-N-Si—N—N ’ Η I H 、 NH 、
H
N一N—Si—N—N
N—N-Si—N—N ,H、 3
在一個實施方案中’如式(I)或(II)所述的具有至少一個 吸電子取代基的胺基矽烷前驅物可以通過氯矽烷與相應胺 的胺基化反應製得。很適合用於所述反應的代表性胺是烷 基、其為環狀或雜環的。優選的胺是低級烷基胺如乙基、 異丙基、第三丁基和環己基。進一步地,根據所需要產物, 所述胺可以是第一或第二胺。所述氨化反應典型地在室溫 或更低的溫度下進行。烴溶劑如己烷和戊烷通常用作反應 介質。在一個特定實施方案中,具有式⑴或(11)的胺基矽烷 前驅物可以如由下面示例性的反應(A)、(B)和(C)進行製備: WNH + ClSiH3 ----- R'R^-SiHs + R^^H-HCl (A) 4 RWNH + H2SiCl2 ----- R1R2N-SiH2-NR1R2 + 2R1R2NH-HC1 (B) 6 rVNH + HSiCl3…—(RYNhSiH + SRWNH-HCl (〇 在另一個實施方案中,式(I)的胺基矽烷前驅物使用本文 戶斤述的實施例4、5和6描述的方法製得。在這些或其他實 施方案中’本文所述的胺基石夕炫•前驅物由更通常可獲得的 17 1374887 胺基石夕烧通過胺基交換反應(transarninati〇n reaction)得到。 如上所述’本文描述的式⑴或(π)的胺基矽烷前驅物可 以用作用於在基材上沉積含矽薄膜的前驅物,所述含矽薄 膜例如但不限於氮化矽薄膜、氧化矽薄膜、碳氮化矽薄膜 和氮氧化矽薄膜。合適的基材的實例包括但不限於,半導 體材料如砷化鎵("GaAs")、氮化硼("BN")矽,和包含矽(如 結日日矽、多晶矽、非晶矽、磊晶矽(epiUxial siHc〇n)、二氧 化矽("si〇2")、碳化矽("Sic")、碳氧化矽("si〇c")、氮化矽 (SiN )、碳氮化矽("SiCN")、有機矽酸鹽玻璃("〇sg")、有 機氟矽酸鹽玻璃("〇FSG")、氟矽酸鹽玻璃("MG"))的組合 物,以及其他合適的基材或它們的混合物。基材還可以進 一步包括各種的將薄膜施用於其上的層,例如抗反射塗 層、光阻劑、有機聚合物、多孔有機和無機材料、金屬如 銅和鋁、或擴散阻擋層。式⑴的胺基矽烷前驅物可以使用 任何的本發明描述的或本領域已知的技術進行沉積。沉積 技術的典型實例包括但不限於,化學氣相沉積(cvd)、原子 層儿積(ALD ) ’脈衝CVD (pulsed CVD) '電漿辅助的化 學氣相沉積("PACVD")和電漿增強的化學氣相沉積 ("PECVD”)。 在某些實施方案令,胺基矽烷前驅物通過使用CVD或 ALD技術被沉積到基材上。在某些實施方案中,式或(η) 的胺基矽烷前驅物的沉積可以在55〇r或更低、或者5〇〇 °c或更低、或者40(rc或更低、3〇(rc或更低、或者2〇〇它 或更低、或者100°C或更低、或者是上述端點之間的任意 1374887 範圍,如3〇〇。〇 -5 50°C的溫度下進行。取決於使用的沉積技 術 5〇 mtorr-1 00 torr的壓力是示例性的。在典型的cvd 沉積方法中,胺基矽烷前驅物被引入加工室中,例如真空 至在某些實施方案中,除式(I)的胺基石夕院前驅物之外的 其他化學試劑,可以在胺基矽烷前驅物被引入之前、期間 和/或之後被引入。能量源,例如熱、電漿或其他源,激發 胺基矽烷前驅物和任選的化學試劑,從而在至少部分基材 上形成薄膜。 原子層沉積(ALD)包括連續引入第一前驅物脈衝和,在 某些實施方案中,第二前驅物脈衝。在其中在ALD方法中 使用多於一種前驅物的實施方案中,連續地引入第一前驅 物脈衝,接奢是吹掃氣體脈衝和/或泵抽真空,接著是第二 前驅物脈衝,隨後是吹掃氣體脈衝和/或泵抽真空。單個脈 衝的連續引入導致每種前驅物在基材表面的單層的交替自 限(self-limiting)化學吸附,並在每個週期形成沉積材料的 % 單層。如需要地重複所述週期以產生所需厚度的薄膜。在 ALD處理期間,基材被保持在易於化學吸附的溫度範圍, 即,所述溫度足夠低以保持被吸附物種和底層基材之間的 鍵完整,同時又足夠高,以避免前驅物的縮合和提供足夠 的活化能用於在每個方法週期中的表面反應。加工室的溫
度可以為 0°C -400°C、或 0°C -300°C,或 〇°C -275°C » 在 ALD 處理期間,加工室内的壓力可以為〇U000 T〇rr、或〇 l T0rr或〇_ 1-10 Ton·。然而,理解的是,用於任何特定的ald 方法的溫度和壓力可以根據包含的一個或多個前驅物而變 工374887 化。 方法相傳統的CVD方法,ALD的生長速率較低。則 2的典型生長速率為W埃/週期。—個提高生長速率的 二=高的基材溫度下進行沉積。本發明描述的胺基 :?別:物可以在相對較低的溫度下沉積切薄膜,從而 知尚了薄膜的生長速率。 根據所需要的薄膜’在切薄膜的沉積方法中可以使用 傳統的氧化劑。代表性的氧化劑包括過氧化氫、—氧化二 氮、臭氧和分子氧。典型地,氧㈣與胺基錢前驅物的 比率為大m,優選為CM_6摩爾氧化劑/摩爾有機胺基石夕 烷前驅物。 式⑴或(II)的胺基矽烷前驅物的沉積可以在沒有、或存 在活性氮源時進行,所述氮源例如氨、肼、烷基肼、二烷 基肼以及它們的混合物。氮源與胺基矽烷的摩爾比通常在 〇到>1〇:1的一個很寬範圍内。所述上限受限於對前驅物的 稀釋效果,這種稀釋效果會顯著降低沉積速率❶優選的範 圍為0.1至4:1»經由沉積的薄膜形成還可以在有或沒有其 他氣體時進行,所述其他氣體包括惰性氣體,如氮和氦氣。 製造者使用氣體以獲得相應的前驅物的稀釋,可以改進沉 積的均勻性或改進化學氣相滲透的滲透作用。 如上所述,在某些實施方案中,另外的化學試劑或前驅 物可以在式(I)或(II)的胺基>6夕烧前驅物被引入加工室之 前、期間和/或之後被引入。化學試劑的選擇可取決於希望 獲得的薄膜的組成。示例性化學試劑包括但不限於氧化劑 20 ^74887 (即,
◦2、NO、N02、〇3、CO、C02 等);水;鹵化物;含 南素<5夕燒;烷基氣石夕烧、烧基溴發烧或烧基破石夕烧;石夕鹵 物錯合物(silicon halide complexes)如四氣化發、四漠化 石夕或四峨化矽;或它們的組合。還設想的是,還可以使用 上述錯合物的衍生物。所述化學試劑可以直接作為氣體被 輸送到加工室’作為蒸發的液體、昇華的固體進行輸送和/ 或通過惰性載體氣被傳送到反應室中。惰性載體氣的實施 例包括氮氣、氫氣、氮氣、氙氣等。 在進行/冗積方法中,本發明描述的胺基石夕烧可以與其他 甲矽烷基前驅物摻合以改變薄膜的性能。其他前驅物的實 例包括一-第三丁基胺基矽烷、三-異丙基胺基矽烷、雙-二 乙基胺基矽烷、二_二甲基胺基矽烷和二異丙基胺基矽烷。 本發月描述的任何上述成膜方法以及本領域已知的其 他成膜方法,可以單獨或結合進行使用。 下歹J實施例舉例說明在本文中描述的胺基石夕烧前驅 物匕們而不旨在以任何方式限制本發明。 實施例1.在A c + BAS中-CH3被_CF3置換的效果 如下列結構7所示,罅 一 ητ T雙(第二丁基胺基)矽烷bTBas的 第二丁基中的—個甲某:田Pc «· 1图T基用-CF3基團連續地置換。 21 1374887 ch3 --ch3 ch3 cf3 η
I H3C--N-Si-Ν' ch3 h 使用上述方知式(2)通過名為DMol3, Materials Studio v. 4.2.0.2的化學模型軟體程式計算上述分子以及具有2或3 個被置換甲基的類似分子的反應能,所述軟體程式由
Accelyrs,Inc. of San Diego,California 提供,結果如圖 4 所示。圖4表明’用-CF3基團完全置換甲基會導致反應能 降低。特別地’所述分子中的全部甲基全部被_CF3基團完 全置換使所述反應由吸熱反應改變為放熱反應。因此,si_N 鍵的斷裂被期望在比在BTBAS中低很多的溫度下發生,從 而允許更低的沉積溫度。 實施例2.在BTBAS中-CH3被-CN置換的效果 BTB AS的第三丁基中的曱基用-CN基團進行連續置 換。通過使用名為 DMol3, Materials Studio v. 4.2.0.2 的化 學模型軟體程式和上述方程式(2)計算BTBAS和具有1、2 或3個甲基被-CN基團置換的式(I)的胺基石夕烧前驅物的反 應月’所述軟體程式由Accelyrs, Inc. of San Diego, California提供。結果如圖5所示。由於分子内氫鍵的形成, 置換初始時引起反應能增大◊然而,一旦完全置換,所述 反應能比BTBAS低約1.6kcal/mol。因此,Si-N鍵的斷裂 期望在比在BTBAS中更低的溫度下發生。 22 1374887 實施例3. ~F取代的效果 將一系列具有烷基和芳基的包含至少一個吸電子取代 基或I的胺基妙焼前驅物與不包含氟而包含氫的類似胺基 石夕燒前驅物進行比較。對表丨中所列的分子進行計算以檢 測Η被F的置換’通過使用本文所述的方程式(2)以及由 ACCelyrS’ Inc· of San Diego, California 提供,名為 DMol3, Materials Studi〇 v 4 2 〇 2的化學模型軟體程式計算的反應 熱也同樣列於表中。對照的結果如下表工所示。
表I
23 1374887 實施例3 b FH( N-SiH, J -—«—j 0.93 實施例3 c f2c N--SiH3 」 ------- 0.42 對照例3 < N-SiH3 w 0.27 實施例3 d ( N—SiH3 FHC-' 0.07 實施例3 e ~~~r\ FHC N SiH3 0.11 實施例3f { H-SiH, V_/ N-CHF -1.20 結果表明’在所有情況下’所有通過F置換η能夠降 低反應能。特別地,在α位元的溫度降低效果最明顯,接 著疋在沒位然後7位。較高的置換率產生更有利的反應能。 實細例4a .從3,3_二氟呱啶前驅物製備雙(3,3_二氟呱 啶)矽烷 在裝有磁力擔垃技 現评棒、n2放氣閥和橡膠隔片的240ml特 24

Claims (1)

1374 (2012年8月修正) is ⑯巧 七、申請專利範圍:一一一」 1、一種用於沉積含矽薄膜的胺基矽烷前驅物,其包含 下式(I): (R'R2N)nSiR14.n (I) 其中取代基R1和R2各自獨立地選自包含1_2〇個碳原子 的烧基和包含6_30個碳原子的芳基,其中式⑴的Rl及尺2 選自連接形成一環狀結構的Ri和R2及不連接形成一環狀結 構的R1和R2, 其中取代基R1和R2中的至少一個包含至少一個吸電子 取代基’該吸電子取代基選自:?、(:卜81'、1、€1^、1^02、 P〇(OR)2、OR、RCOO、COOH、及 S02R,其中所述至少一 個吸電子取代基中的R選自烷基或芳基,R1選自Η、包含 1-20個碳原子的烷基或包含6-12個碳原子的芳基; 其中R、R、R及R1的任一個或者全部及該吸電子取代 基是被取代的或未被取代的; 以及η為1-4的整數。 2、 如申請專利範圍第1項的胺基矽烷前驅物,其包含 雙(3,3-二氟呱啶基)矽烷。 28 1 一種在基材上通過化學氣相沉積來沉積含矽薄膜的 方法,該方法包括: 在加工室中提供所述基材; 在足以使含矽薄膜反應並沉積在所述基材上的溫度和 1374887 (2012年8月修正) . 壓力下,將胺基矽烷前驅物引入所述加工室中,其中所述 胺基矽烷前驅物包含具有下式(I)的化合物: (R'R2N)nSiR34_n ⑴ 其中取代基R和R各自獨立地選自包含1_2〇個碳原 子的烷基和包含6-30個碳原子的芳基,其中式⑴的Rl及 R2選自連接形成一環狀結構的R1和R2及不連接形成一環 狀結構的R1和R2, 其中取代基R1和R2中的至少一個包含至少一個吸電孑 _取代基,該吸電子取代基選自:F、Cl、Br、I、CN、Ν〇2、 PCK〇R)2 ' 〇R、RC〇〇、SO、S〇2、s〇2r,其中在所述至少 一個吸電子取代基中的R選自烷基或芳基;R3選自H、包 含1-20個碳原子的烷基或包含6_12個碳原子的芳基;其 中R1、R2、R及R3的任一個或者全部及該吸電子取代基是 被取代的或未被取代的;以及η為丨_4的整數。 φ 4、如申請專利範圍第3項的方法,其中引入步驟進〆 步包括選自氨、氮和肼的氮源。 5、 如申請專利範圍第4項的方法,其中所述氮源是象 或氮,且該氮源以氮源:前驅物為〇.丨_4:1的量存在。 6、 如申請專利範圍第3項的方法,其中所述溫度為約 400〇C -約 700。。。 29 7、如由咬击伙 (2〇丨2年8月修正) 如申凊專利範圍第^ 9Λ ^ 弟j項的方法,其中所述壓力為約 U mT〇rr-約 20 Torr。 下式(II) 種用於沉積含石夕薄膜的胺基石夕院前驅物其包含 A"SiR44-n (II) 、田A疋至夕―個選自下列胺基(a)至⑷及(f)至(h) 的基團時,R4撰自_ , 匕3 1-20個碳原子的烧基或包含 6·12/碳原子的芳基;以及的整數:及 田A是⑴時’ R4選自氫,包含1-20個碳原子的烧基或 包含:12,破原子的芳基;以及…-4的整數,及 田A疋⑴時,R4選自A,包含1-20個碳原子的烷基或 包含6_12個碳原子的芳基;以及η為2-4的整數, F
F
F b
F F
〇Me OMe VI f cf3 cf^Cj c NI g NC、n/CN nc、n,ch3 9、如申請專利範圍第8項的胺基矽烷前驅物,其中A 是下列的胺基(a): 30 1374887 (2012年8月修正)
10、如申請專利範圍第8項的胺基矽烷前驅物,其中A 是下列的胺基(b):
(b) 〇 11、如申請專利範圍第8項的胺基矽烷前驅物,其中A 是下列的胺基(c):
(c)。 12、如申請專利範圍第8項的胺基矽烷前驅物,其中A 是下列的胺基(d):
⑹。 31 J374887 (2012年8月修正) 13、如申請專利範圍第8項的胺基矽烷前驅物,其中a 是下列的胺基(f): OMe OMe V I (f)。
14、如申請專利範圍第8項的胺基矽烷前驅物,其中A 是下列的胺基(g):
(g) 0 15、如申睛專利範圍第8項的胺基矽烧前驅物,其中A 是下列的胺基(h): cf3 cf3
F2C、n^CF2 I ⑻。 16、如申凊專利範圍第8項的胺基矽烷前驅物,其中A 是下列的胺基(i): nc、n;n
32 J374BB7 (2012年8月修正) ; 丨7、如申請專利範圍第8項的胺基矽烷前驅物,其中A . 0下列的胺基(j): Ά I ⑴。 18、 如申請專利範圍第8項的胺基矽烷前驅物,其中的 • R1及R2為不連接形成一環狀結構的Rl和R2。 19、 一種胺基矽烷前驅物,其包含雙[雙(2-甲氧基乙基) 胺基]*夕燒。 〇 一種胺基矽烷前驅物,其包含雙(2-曱氧基乙基)胺 基矽烷。 33
TW098126843A 2008-08-12 2009-08-10 Precursors for depositing silicon-containing films and methods for making and using same TWI374887B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/190,125 US8129555B2 (en) 2008-08-12 2008-08-12 Precursors for depositing silicon-containing films and methods for making and using same

Publications (2)

Publication Number Publication Date
TW201006842A TW201006842A (en) 2010-02-16
TWI374887B true TWI374887B (en) 2012-10-21

Family

ID=41354086

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098126843A TWI374887B (en) 2008-08-12 2009-08-10 Precursors for depositing silicon-containing films and methods for making and using same

Country Status (6)

Country Link
US (1) US8129555B2 (zh)
EP (2) EP2154141B1 (zh)
JP (4) JP5587572B2 (zh)
KR (2) KR20100020440A (zh)
CN (1) CN101648964A (zh)
TW (1) TWI374887B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI602827B (zh) * 2015-02-13 2017-10-21 慧盛材料美國責任有限公司 雙胺基烷氧基矽烷化合物及使用其沉積含矽膜的方法

Families Citing this family (412)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7875556B2 (en) * 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US8357435B2 (en) * 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US8129555B2 (en) * 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
JP4638550B2 (ja) * 2008-09-29 2011-02-23 東京エレクトロン株式会社 マスクパターンの形成方法、微細パターンの形成方法及び成膜装置
US20100081293A1 (en) * 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8189364B2 (en) 2008-12-17 2012-05-29 Qs Semiconductor Australia Pty Ltd. Charge retention structures and techniques for implementing charge controlled resistors in memory cells and arrays of memory
SG174296A1 (en) * 2009-03-10 2011-10-28 Air Liquide Cyclic amino compounds for low-k silylation
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8511281B2 (en) * 2009-07-10 2013-08-20 Tula Technology, Inc. Skip fire engine control
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) * 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110042685A1 (en) * 2009-08-18 2011-02-24 Qs Semiconductor Australia Pty Ltd Substrates and methods of fabricating epitaxial silicon carbide structures with sequential emphasis
US8449942B2 (en) * 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US8629067B2 (en) 2009-12-30 2014-01-14 Applied Materials, Inc. Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US20110159213A1 (en) * 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
CN102754193A (zh) * 2010-01-06 2012-10-24 应用材料公司 使用氧化物衬垫的可流动电介质
SG182333A1 (en) 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
US8236708B2 (en) * 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
WO2011123792A2 (en) * 2010-04-01 2011-10-06 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Metal nitride containing film deposition using combination of amino-metal and halogenated metal precursors
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
JP5624492B2 (ja) * 2011-02-10 2014-11-12 大陽日酸株式会社 シリコン含有前駆体の活性化エネルギーの算出方法、安定性評価方法、及び選定方法
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8647993B2 (en) * 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9070758B2 (en) * 2011-06-20 2015-06-30 Imec CMOS compatible method for manufacturing a HEMT device and the HEMT device thereof
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
JP2013008828A (ja) * 2011-06-24 2013-01-10 Taiyo Nippon Sanso Corp シリコン絶縁膜の形成方法
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8912101B2 (en) * 2012-03-15 2014-12-16 Asm Ip Holding B.V. Method for forming Si-containing film using two precursors by ALD
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
JP2015525774A (ja) 2012-07-20 2015-09-07 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Ald/cvdシリコン含有膜用のオルガノシラン前駆体
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
KR101380317B1 (ko) * 2012-08-31 2014-04-04 주식회사 유진테크 머티리얼즈 실리콘 원자 및 금속 원자에 대한 친화성이 우수한 고리형 아미노실란 화합물, 이의 제조방법 및 이의 응용
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
KR102106885B1 (ko) * 2013-03-15 2020-05-06 삼성전자 주식회사 실리콘 산화막 증착용 전구체 조성물 및 상기 전구체 조성물을 이용한 반도체 소자 제조 방법
CN103938181B (zh) * 2013-05-30 2016-09-14 南京理工大学泰州科技学院 一种硅基氮氧化合物薄膜的制备方法
US9796739B2 (en) * 2013-06-26 2017-10-24 Versum Materials Us, Llc AZA-polysilane precursors and methods for depositing films comprising same
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9382268B1 (en) 2013-07-19 2016-07-05 American Air Liquide, Inc. Sulfur containing organosilane precursors for ALD/CVD silicon-containing film applications
TW201509799A (zh) 2013-07-19 2015-03-16 Air Liquide 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9362109B2 (en) 2013-10-16 2016-06-07 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US20150140833A1 (en) * 2013-11-18 2015-05-21 Applied Materials, Inc. Method of depositing a low-temperature, no-damage hdp sic-like film with high wet etch resistance
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10570513B2 (en) 2014-12-13 2020-02-25 American Air Liquide, Inc. Organosilane precursors for ALD/CVD silicon-containing film applications and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US11001599B2 (en) 2015-03-23 2021-05-11 Gelest Technologies, Inc. N-alkyl substituted cyclic and oligomeric perhydridosilazanes, methods of preparation thereof, and silicon nitride films formed therefrom
TWI706957B (zh) * 2015-03-30 2020-10-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 碳矽烷與氨、胺類及脒類之觸媒去氫耦合
US9815858B2 (en) 2015-06-16 2017-11-14 Gelest Technologies, Inc. Hydridosilapyrroles, hydridosilaazapyrroles, thiasilacyclopentanes, method for preparation thereof, and reaction products therefrom
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9520284B1 (en) * 2015-11-13 2016-12-13 Varian Semiconductor Equipment Associates, Inc. Ion beam activated directional deposition
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US20190292658A1 (en) * 2015-12-21 2019-09-26 Versum Materials Us, Llc Compositions and methods using same for deposition of silicon-containing film
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10283348B2 (en) * 2016-01-20 2019-05-07 Versum Materials Us, Llc High temperature atomic layer deposition of silicon-containing films
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102378021B1 (ko) * 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10176984B2 (en) * 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6812855B2 (ja) * 2017-03-10 2021-01-13 Jnc株式会社 ジアルキルアミノシランの製造方法
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
KR20240010760A (ko) 2017-05-05 2024-01-24 에이에스엠 아이피 홀딩 비.브이. 산소 함유 박막의 형성을 제어하기 위한 플라즈마 강화 증착 공정
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US11056353B2 (en) 2017-06-01 2021-07-06 Asm Ip Holding B.V. Method and structure for wet etch utilizing etch protection layer comprising boron and carbon
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102173384B1 (ko) * 2018-03-30 2020-11-03 에스케이트리켐 주식회사 SiO2 박막 형성용 전구체 및 이를 이용한 SiO2 박막 형성.
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US20200017970A1 (en) * 2018-07-12 2020-01-16 Lotus Applied Technology, Llc Water-insensitive methods of forming metal oxide films and products related thereto
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102157137B1 (ko) * 2018-11-30 2020-09-17 주식회사 한솔케미칼 실리콘 전구체 및 이를 이용한 실리콘 함유 박막의 제조방법
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
US10988490B1 (en) 2019-10-03 2021-04-27 Entegris, Inc. Triiodosilylamine precursor compounds
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11932940B2 (en) 2019-11-12 2024-03-19 Applied Materials, Inc. Silyl pseudohalides for silicon containing films
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
US11492364B2 (en) 2020-03-31 2022-11-08 Entegris, Inc. Silicon hydrazido precursor compounds
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11658025B2 (en) * 2021-01-18 2023-05-23 Applied Materials, Inc. Chalcogen precursors for deposition of silicon nitride
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4736046A (en) * 1985-12-23 1988-04-05 The Dow Chemical Company β-isocyanato organosilanes
JP2637265B2 (ja) * 1990-06-28 1997-08-06 株式会社東芝 窒化珪素膜の形成方法
JPH06132284A (ja) 1992-10-22 1994-05-13 Kawasaki Steel Corp 半導体装置の保護膜形成方法
US5429673A (en) * 1993-10-01 1995-07-04 Silicon Resources, Inc. Binary vapor adhesion promoters and methods of using the same
US5874368A (en) * 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US7005392B2 (en) * 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US6391803B1 (en) * 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
WO2004010467A2 (en) * 2002-07-19 2004-01-29 Aviza Technology, Inc. Low temperature dielectric deposition using aminosilane and ozone
US20060178019A1 (en) * 2002-08-18 2006-08-10 Aviza Technology, Inc. Low temperature deposition of silicon oxides and oxynitrides
US7122222B2 (en) * 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
JP2005213633A (ja) * 2004-02-02 2005-08-11 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US20060045986A1 (en) * 2004-08-30 2006-03-02 Hochberg Arthur K Silicon nitride from aminosilane using PECVD
US7332618B2 (en) * 2004-09-28 2008-02-19 Praxair Technology, Inc. Organometallic precursor compounds
US20060182885A1 (en) * 2005-02-14 2006-08-17 Xinjian Lei Preparation of metal silicon nitride films via cyclic deposition
US7875556B2 (en) * 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
JP4554446B2 (ja) * 2005-06-21 2010-09-29 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US8129555B2 (en) * 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI602827B (zh) * 2015-02-13 2017-10-21 慧盛材料美國責任有限公司 雙胺基烷氧基矽烷化合物及使用其沉積含矽膜的方法

Also Published As

Publication number Publication date
JP2014177471A (ja) 2014-09-25
KR20100020440A (ko) 2010-02-22
EP2154141A2 (en) 2010-02-17
JP2017210485A (ja) 2017-11-30
US20100041243A1 (en) 2010-02-18
EP2644609B1 (en) 2015-07-08
US8129555B2 (en) 2012-03-06
EP2644609A2 (en) 2013-10-02
JP2016166421A (ja) 2016-09-15
EP2154141A3 (en) 2011-05-25
EP2644609A3 (en) 2013-11-06
JP6290961B2 (ja) 2018-03-07
EP2154141B1 (en) 2016-06-15
KR20120052214A (ko) 2012-05-23
TW201006842A (en) 2010-02-16
CN101648964A (zh) 2010-02-17
JP2010043081A (ja) 2010-02-25
JP5587572B2 (ja) 2014-09-10
KR101470067B1 (ko) 2014-12-10

Similar Documents

Publication Publication Date Title
TWI374887B (en) Precursors for depositing silicon-containing films and methods for making and using same
JP6325613B2 (ja) 化学気相成長用組成物
KR102281913B1 (ko) 질화규소 막을 증착시키는 방법
JP4470023B2 (ja) シリコン窒化物膜の製造方法
US8821986B2 (en) Activated silicon precursors for low temperature deposition
CN107923040A (zh) 用于沉积氮化硅膜的组合物和方法
JP2010147485A5 (ja) アミノシラン、シリコン含有膜の形成用前駆体、シリコン含有膜の形成用組成物
JP2007318142A (ja) 有機アミノシラン前駆体から酸化ケイ素膜を製造するための方法
EP1713953A2 (en) Method for producing silicon nitride films and silicon oxynitride films by chemical vapor deposition
TW200403726A (en) Low temperature dielectric deposition using aminosilane and ozone
JP2004228585A (ja) ケイ素含有膜を付着させるための前駆体およびそのプロセス
JP2009158927A (ja) Ald法又はcvd法による金属含有膜の調製
JP7472312B2 (ja) ケイ素含有膜を調製するための前駆体及び方法
JP7164789B2 (ja) 550℃以上の温度でALDを使用してSi含有膜を堆積させるための前駆体及びプロセス
JP2024045097A (ja) ケイ素ヒドラジド前駆体化合物

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees