KR101470067B1 - 규소 함유 막을 침착시키기 위한 전구체 및 이를 제조하고 사용하는 방법 - Google Patents

규소 함유 막을 침착시키기 위한 전구체 및 이를 제조하고 사용하는 방법 Download PDF

Info

Publication number
KR101470067B1
KR101470067B1 KR1020120043777A KR20120043777A KR101470067B1 KR 101470067 B1 KR101470067 B1 KR 101470067B1 KR 1020120043777 A KR1020120043777 A KR 1020120043777A KR 20120043777 A KR20120043777 A KR 20120043777A KR 101470067 B1 KR101470067 B1 KR 101470067B1
Authority
KR
South Korea
Prior art keywords
group
carbon atoms
aminosilane
silicon
aminosilane precursor
Prior art date
Application number
KR1020120043777A
Other languages
English (en)
Other versions
KR20120052214A (ko
Inventor
한송 쳉
만챠오 지아오
가우리 산카르 랄
토마스 리차드 가프니
쳉강 쯔후
진핑 우
Original Assignee
에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 filed Critical 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Publication of KR20120052214A publication Critical patent/KR20120052214A/ko
Application granted granted Critical
Publication of KR101470067B1 publication Critical patent/KR101470067B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/10Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • C07F7/025Silicon compounds without C-silicon linkages
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/42Silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

규소 함유 필름을 침착시키기 위한 아미노실란 전구체, 및 이러한 아미노실란 전구체로부터 규소 함유 필름을 침착시키기 위한 방법이 본원에 기재되어 있다. 하나의 실시양태에서, 본 발명은 하기 화학식 1를 포함하는 규소 함유 막을 침착시키기 위한 아미노실란 전구체를 제공한다:
[화학식 1]
(R1R2N)nSiR3 4 -n
상기 식 중,
치환기 R1 및 R2는 각각 독립적으로 1개 내지 20개의 탄소 원자를 포함하는 알킬 기 및 6개 내지 30개의 탄소 원자를 포함하는 아릴 기로부터 선택되고,
치환기 R1 및 R2 중 하나 이상은 F, Cl, Br, I, CN, NO2, PO(OR)2, OR, RCOO, SO, SO2, SO2R로부터 선택된 하나 이상의 전자 당김 치환기를 포함하며, 하나 이상의 전자 당김 치환기 내의 R은 알킬 기 또는 아릴 기로부터 선택되고,
R3은 H, 알킬 기, 또는 아릴 기로부터 선택되며,
n은 1 내지 4의 수이다.

Description

규소 함유 막을 침착시키기 위한 전구체 및 이를 제조하고 사용하는 방법{PRECURSORS FOR DEPOSITING SILICON-CONTAINING FILMS AND METHODS FOR MAKING AND USING SAME}
본 발명은 규소 함유 막을 침착시키기 위한 전구체 및 이를 제조하고 사용하는 방법에 관한 것이다.
전구체, 특히 질화규소, 산화규소, 규소 질화탄소물, 및 질화산소 규소 막을 포함(그러나, 이들에 국한되지는 않음)하는 규소 함유 막의 침착을 위해 사용할 수 있는 아미노실란 전구체가 본원에 기재되어 있다. 하나의 양태에서, 아미노실란 전구체의 제조 방법이 본원에 기재되어 있다. 또 다른 양태에서, 집적 회로 장치의 제조에서 규소 함유 유전 막을 침착시키기 위한 아미노실란 전구체의 용도가 본원에 기재되어 있다. 이러한 또는 다른 양태에서, 아미노실란 전구체는 원자 층 침착("ALD": atomic layer deposition), 화학 증착("CVD": chemical vapor deposition), 플라즈마 증강된 화학 증착("PECVD": plasma enhanced chemical vapor deposition), 저압 화학 증착("LPCVD": low pressure chemical vapor deposition), 및 대기압 화학 증착을 포함(그러나, 이들에 국한되지는 않음)하는 다양한 침착 공정에 사용할 수 있다.
규소 함유 유전 막은 반도체 장치 또는 집적 회로의 제조에서 중요한 역할을 한다. 반도체 장치의 제조에서, 예를 들면 질화규소와 같은 화학적으로 불활성인 유전 물질의 얇은 부동태 층이 필수적일 수 있다. 질화규소의 하나 이상의 박층은 예를 들면, 확산 마스크 또는 배리어, 산화 배리어, 트렌치(trench) 격리를 위한 게이트 절연체, 캐패시터 유전체, 고유전 파괴 전압을 갖는 금속간 물질, 및/또는 부동태 층과 같은 장치 내에서 작용할 수 있다. 질화규소 막은 또한 금속 산화물 반도체 단독으로, 또는 예컨대 IV족 및 11-V족 트랜지스터와 같은 장치에서 산화규소 및/또는 질화산소 규소 유전체와 조합하여 측벽 스페이서(spacer)로서 사용할 수 있다. 규소 함유 유전체 예컨대 질화규소 막에 대한 다른 이용분야는 예를 들면 참조문헌[Semiconductor and Process Technology Handbook, edited by Gary E. McGuire, Noyes Publication, New Jersey, (1988), pp. 289-301]에 기재되어 있다.
몇몇 종류의 규소 함유 화합물을 규소 함유 막 예컨대 질화규소 막에 대한 전구체로서 사용할 수 있다. 전구체로서 사용하기에 적합한 이러한 규소 함유 화합물의 예로는 실란, 클로로실란, 폴리실라잔, 아미노실란, 및 아지도실란을 포함한다. 예컨대 헬륨, 수소, 질소 등을 포함(그러나, 이들에 국한되지는 않음)하는 불활성 캐리어 가스 또는 희석제를 또한 사용할 수 있다.
저압 화학 증착(LPCVD) 공정은 규소 함유 막의 침착을 위해 반도체 산업에 의해 사용되는 매우 광범위하게 허용되는 방법 중 하나이다. 암모니아를 사용하는 저압 화학 증착(LPCVD)은 합당한 성장 속도 및 균일성을 얻기 위해 750℃ 초과의 침착 온도를 필요로 할 수 있다. 더 높은 침착 온도는 전형적으로 개선된 막 특성을 제공하도록 이용된다. 질화규소 또는 다른 규소 함유 막을 성장시키기 위해 보다 통상적인 산업 방법 중 하나는 전구체 실란, 디클로로실란, 및/또는 암모니아를 사용하여 온도 >750℃에서 고온 벽 반응기에서의 저압 화학 증착을 통한 것이다. 그러나, 이러한 방법을 이용하면 몇몇 단점이 존재한다. 예를 들면, 특정 전구체, 예컨대 실란 및 디클로로실란은 발화성이다. 이는 취급 및 사용에서 문제점을 제시할 수 있다. 또한, 실란 및 디클로로실란으로부터 침착된 막은 특정 불순물을 함유할 수 있다. 예를 들면, 디클로로실란을 사용하여 침착된 막은 침착 공정 동안 부산물로서 형성된 염소 및 염화암모늄과 같은 특정 불순물을 함유할 수 있다. 실란을 사용하여 침착된 막은 수소를 함유할 수 있다.
일본 특허 제6-132284호는 암모니아 또는 질소의 존재하에 플라즈마 증강된 화학 증착 또는 열 화학 증착에 의한 일반 화학식 (R1R2N)nSiH4 -n를 갖는 오가노실란을 사용하는 질화규소 막의 형성을 기재하고 있다. 이러한 오가노실란 전구체는 3차 아민이고 NH 결합을 함유하지 않는다. 침착 실험은 80-100 Torr 범위 압력에서 400℃에서 단일 웨이퍼 반응기에서 수행한다.
참조문헌[Sorita et al., Mass Spectrometric and Kinetic Study of Low-Pressure Chemical Vapor Deposition of Si3N4 Thin Films From SiH2Cl2 and NH3, J. Electro. Chem., Soc., Vol. 141, No. 12, (1994), pp 3505-3511]은 LPCVD 공정에서 디클로로실란 및 암모니아를 사용하는 질화규소의 침착을 기재하고 있다. 이러한 공정에서 주생성물은 아미노클로로실란, 질화규소 및 염화암모늄이다. 상기 언급한 바대로, 염화암모늄의 형성은 Si-Cl 함유 전구체를 사용하는 주요 단점일 수 있다. 염화암모늄의 형성은 튜브의 말단에서, 플러밍 라인(plumbing line), 및 펌핑 시스템에서 특히 입자 형성 및 염화암모늄의 침착을 유발할 수 있다. 전구체에서 염소를 함유하는 공정은 또한 NH4Cl 형성을 유발할 수 있다. 이러한 공정은 빈번한 세정을 필요로 할 수 있고 반응기의 넓은 정지 시간을 유발할 수 있다.
참조문헌[B. A. Scott et al., Preparation of Silicon Nitride with Good Interface Properties by Homogeous Chemical Vapour Deposition, Chemtronics, 1989, Vol. 4, Dec., pp. 230-34]은 500-800℃ 범위의 가스 온도에서 (동시에 기판 온도를 200-500℃에서 유지시킴) 균질한 CVD 공정에 의해 실란 및 암모니아를 사용하는 질화규소의 침착을 기재하고 있다. 이미 기재한 바대로, 전구체로서 실란의 사용은 수소 불순물을 막으로 도입할 수 있다.
참조문헌[J. M. Grow et al., Growth Kinetics and Characterization of Low Pressure Dhemically Vapor Deposited Si3N4 Films from (C4H9)2SiH2 and NH3, Materials Letters, 23, (1995), pp. 187-193]은 600-700℃ 범위의 온도를 사용하여 LPCVD 공정에 의해 디-tert-부틸실란 및 암모니아를 사용하는 질화규소의 침착을 기재하고 있다. 침착된 질화규소 막은 대략 10 원자 중량%의 탄소 불순물로 오염된다.
참조문헌[W-C. Yeh, R. Ishihara, S. Moishita, and M. Matsumura, Japan. J. Appl. Phys., 35, (1996) pp. 1509-1512]은 350℃ 근처에서 헥사클로로디실란 및 하이드라진을 사용하는 규소-질소 막의 저온 침착을 기재하고 있다. 막은 공기 중에 불안정하고 규소-산소 막으로 천천히 전환된다.
참조문헌[A. K. Hochberg and D. L. O'Meara, Diethylsilane as a Silicon Source for the Deposition of Silicon Nitride and Silicon Oxynitride Films By LPCVD, Mat. Res. Soc. Symp. Proc,. Vol. 204, (1991), pp. 509-514]은 LPCVD에 의해 암모니아 및 산화질소와 함께 디에틸실란을 사용하는 질화규소 및 질화산소 규소 막의 형성을 개시하고 있다. 침착은 650℃ 내지 700℃의 온도 범위에서 수행한다. 침착 속도가 저온에서는 4Å/분 미만으로 떨어지기 때문에 침착은 일반적으로 650℃의 온도로 제한된다. LPCVD 공정에서, 직접 Si-C 탄소 결합을 함유하는 전구체는 막에서 탄소 오염을 유발한다. 탄소 비함유 침착은 5:1 초과의 NH3 대 전구체 비를 필요로 한다. 더 낮은 암모니아 농도에서, 막은 탄소를 함유하는 것으로 밝혀졌다. 디에틸실란 및 암모니아 공정은 전형적으로 웨이퍼에 걸쳐 균일성을 개선시키기 위해 보호된 보트(boat) 또는 온도 상승을 필요로 한다.
미국 특허 제5,234,869호("'869 특허")는 700℃ 및 0.5 Torr의 압력에서 반응물 가스로서 Si(N(CH3)2)4 및 암모니아를 사용하는 LPCVD에 의한 질화규소 막의 형성을 개시하고 있다. 암모니아 또는 질소와 조합된 SiH(N(CH3)2)3, SiH2(N(CH3)2)2, 및 SiH3(N(CH3)2)로 구성된 군으로부터 선택된 다른 반응물은 또한 반응물로서 제안되어 있다. '869 특허는 또한 침착 온도를 자외선 빔에 의해 이를 조사함으로써 가스 또는 배출 가스로부터 생성된 플라즈마의 사용을 통해 300℃로 감소시킴을 개시하고 있다.
참조문헌[R. G. Gordon and D. M. Hoffman, Silicon Dimethylamido Complexes and Ammonia as Precursors for Atmospheric Pressure Chemical Vapor Deposition of Silicon Nitride Thin Films, Chem. Mater., Vol. 2, (1990), pp 480-482]은 아미노실란, 예컨대 테트라키스(디메틸아미노) 실란을 포함하는 질화규소 막에서 탄소의 양을 감소시키기 위한 다른 시도를 개시하고 있다. 상기 참조문헌은 600-750℃의 침착 온도 범위에서 전구체 테트라키스(디메틸아미도)실란 Si(NMe2)4 및 암모니아를 사용하는 APCVD를 통한 질화규소 막의 침착을 개시하고 있다. 상기 참조문헌은 또한 750℃의 침착 온도에서 암모니아 없이 Si(NMen)4-n을 사용하는 막 침착이 더 낮은 성장 속도에서 그리고 많은 양의 탄소(22-30%) 및 산소(15-17%) 오염으로 수득되는 막을 생성시킨다는 것을 교시하고 있다.
미국 특허 제5,874,368호("'368 특허")는 500℃ 내지 800℃의 온도 범위에서 LPCVD 공정을 사용하여 질화규소 막을 침착시키기 위한 비스(tert-부틸아미노)실란 (t-C4H9NH)2SiH2) 및 암모니아의 용도를 기재하고 있다.
질화규소 막 예컨대 BTBAS 및 클로로실란을 침착시키는데 사용되는 전구체는 일반적으로 550℃ 초과의 온도에서 막을 침착시킨다. 반도체 장치의 최소화 및 낮은 열 부담의 경향은 더 낮은 공정 온도 및 더 높은 침착 속도를 필요로 한다. 질화규소 막이 침착되는 온도는 특히 금속화 층을 포함하는 기판의 경우 격자 내에서, 그리고 많은 III-V족 및 II-VI족 소자 상에서 이온 확산을 방지하기 위하여 감소시켜야 한다. 지금에서, 현재 이용가능한 질화규소 전구체의 어떤 것도 막 침착이 CVD 또는 ALD를 통해 550℃ 이하의 온도에서 일어나도록 허용하기에 충분히 화학적으로 활성이 아니다. 따라서, 550℃ 또는 그 이하의 온도에서 CVD, ALD 또는 다른 공정을 통해 침착을 허용하기에 충분히 화학적으로 반응성인 질화규소 또는 다른 규소 함유 막의 침착을 위한 전구체를 제공하는 것이 당해 분야에 필요하다.
규소 함유 막을 침착시키는데 사용되는 아미노실란 전구체, 아미노실란 전구체를 제조하는 방법, 및, 예를 들면, 규소 함유 막의 침착에서 아미노실란 전구체를 사용하는 방법이 본원에 기재되어 있다. 하나의 실시양태에서, 본 발명은 하기 화학식 1를 포함하는 규소 함유 막을 침착시키기 위한 아미노실란 전구체를 제공한다:
[화학식 1]
(R1R2N)nSiR3 4 -n
상기 식 중,
치환기 R1 및 R2는 각각 독립적으로 1개 내지 20개의 탄소 원자를 포함하는 알킬 기 및 6개 내지 30개의 탄소 원자를 포함하는 아릴 기로부터 선택되고,
치환기 R1 및 R2 중 하나 이상은 F, Cl, Br, I, CN, NO2, PO(OR)2, OR, RCOO, SO, SO2, SO2R로부터 선택된 하나 이상의 전자 당김(electron withdrawing) 치환기를 포함하며, 하나 이상의 전자 당김 치환기 내의 R은 알킬 기 또는 아릴 기로부터 선택되고,
R3은 H, 1개 내지 20개의 탄소 원자를 포함하는 알킬 기, 또는 6개 내지 12개의 탄소 원자를 포함하는 아릴 기로부터 선택되며,
n은 1 내지 4의 수이다.
또 다른 실시양태에서, 본 발명은 화학 증착을 통해 기판 위에 규소 함유 막을 침착시키는 방법으로서, 기판을 공정 챔버 내에 제공하는 단계; 기판 상에 규소 함유 막을 반응 및 침착시키기에 충분한 온도 및 압력에서 공정 챔버 내로 아미노실란 전구체를 도입하는 단계로서, 아미노실란 전구체는 하기 화학식 1를 갖는 화합물을 포함하는 것인 단계를 포함하는 방법을 제공한다:
[화학식 1]
(R1R2N)nSiR3 4 -n
상기 식 중,
치환기 R1 및 R2는 각각 독립적으로 1개 내지 20개의 탄소 원자를 포함하는 알킬 기 및 6개 내지 30개의 탄소 원자를 포함하는 아릴 기로부터 선택되고,
치환기 R1 및 R2 중 하나 이상은 F, Cl, Br, I, CN, NO2, PO(OR)2, OR, RCOO, SO, SO2, SO2R로부터 선택된 하나 이상의 전자 당김 치환기를 포함하며, 하나 이상의 전자 당김 치환기 내의 R은 알킬 기 또는 아릴 기로부터 선택되고,
R3은 H, 1개 내지 20개의 탄소 원자를 포함하는 알킬 기, 또는 6개 내지 12개의 탄소 원자를 포함하는 아릴 기로부터 선택되며,
n은 1 내지 4의 수이다.
추가의 실시양태에서, 본 발명은 하기 화학식 2를 포함하는 규소 함유 막을 침착시키기 위한 아미노실란 전구체를 제공한다:
[화학식 2]
AnSiR4 4 -n
상기 식 중,
A는 하기 아미노 기(a) 내지 아미노 기(j)로부터 선택된 하나 이상의 기이고,
R4는 수소, 1개 내지 20개의 탄소 원자를 포함하는 알킬 기 또는 6개 내지 12개의 탄소 원자를 포함하는 아릴 기로부터 선택되며,
n은 1 내지 4 범위의 수이다.
Figure 112012033393255-pat00001
,
Figure 112012033393255-pat00002
,
Figure 112012033393255-pat00003
,
Figure 112012033393255-pat00004
,
Figure 112012033393255-pat00005
a b c d e
Figure 112012033393255-pat00006
,
Figure 112012033393255-pat00007
,
Figure 112012033393255-pat00008
,
Figure 112012033393255-pat00009
,
Figure 112012033393255-pat00010
f g h i j
예를 들면, 질화규소 또는 다른 규소 및/또는 질소 함유 막의 화학 증착을 위해 사용할 수 있는 아미노실란이 본원에 공개되어 있다. 이러한 아미노실란 전구체는 550℃ 또는 그 이하의 온도에서 규소 함유 막 예컨대 질화규소 막의 침착을 허용할 수 있는 전구체를 제공함으로써 당해 분야에서의 하나 이상의 필요성을 만족시킨다. 본원에 기재된 아미노실란 전구체는 하나 이상의 전자 당김 치환기를 함유한다. 전구체 내의 하나 이상의 전자 당김 치환기의 존재는 아미노실란 전구체의 침착에 대한 반응 에너지, 활성화 에너지, 또는 둘 다를 감소시키도록 할 수 있는 것으로 믿어진다. 하나의 특정한 실시양태에서, 반응 에너지는 본원에서 수학식 2에서 정의되어 있다. 이러한 또는 다른 실시양태에서, 반응 에너지와 활성화 에너지 사이의 상관관계는 도 3에 제공되어 있다. 반응 에너지, 활성화 에너지, 또는 둘 다의 감소는 본원에서 기재된 전구체가 하나 이상의 전자 당김 치환기를 함유하지 않는 유사한 아미노실란 전구체에 비해 보다 화학적으로 반응성이도록 허용할 수 있는 것으로 믿어진다. 보다 바람직한 에너지론의 결과로서, 아미노실란 전구체는 더 낮은 침착 온도(예를 들면, 550℃ 또는 그 이하)에서 규소 함유 막 예컨대 질화규소 막을 침착시키는데 사용할 수 있다.
도 1은 본원에 기재된 특정한 아미노실란 전구체 1 내지 아미노실란 전구체 6에 대한 실험적으로 측정된 침착 온도와 활성화 배리어 수준의 상관관계의 그래프 표현을 제공한다.
도 2는 본원에 기재된 아미노실란 전구체 1 내지 아미노실란 전구체 6에 대한 실험적으로 측정된 침착 온도와 계산된 반응 열의 상관관계의 그래프 표현을 제공한다.
도 3은 본원에 기재된 아미노실란 전구체 1 내지 아미노실란 전구체 6에 대한 실험적으로 측정된 침착 온도와 계산된 반응 열의 상관관계의 그래프 표현을 제공한다.
도 4는 아미노실란 전구체 비스(tert-부틸아미노)실란에 대한 그리고 실시예 1에 기재된 바대로 하나 이상의 전자 당김 기 CF3으로 치환된 비스(tert-부틸아미노)실란 전구체 내에 1개, 2개, 또는 3개의 메틸 기를 갖는 아미노실란 전구체에 대한 몰당 킬로칼로리(kcal/mol)로 표현된 계산된 반응 에너지의 그래프 표현을 제공한다.
도 5는 아미노실란 전구체 비스(tert-부틸아미노)실란에 대한 그리고 실시예 2에 기재된 바대로 하나 이상의 전자 당김 기 CN으로 치환된 비스(tert-부틸아미노)실란 전구체 내에 1개, 2개, 또는 3개의 메틸 기를 갖는 아미노실란 전구체에 대한 kcal/mol로 표현된 계산된 반응 에너지의 그래프 표현을 제공한다.
하나의 실시양태에서, 본 발명은 하기 일반 화학식 1을 갖는 아미노실란을 제공한다:
[화학식 1]
(R1R2N)nSiR3 4 -n
상기 식 중,
치환기 R1 및 R2는 각각 독립적으로 1개 내지 20개의 탄소 원자를 포함하는 알킬 기 및 6개 내지 30개의 탄소 원자를 포함하는 아릴 기로부터 선택되고,
치환기 R1 및 R2 중 하나 이상은 F, Cl, Br, I, CN, NO2, PO(OR)2, OR, RCOO, SO, SO2, SO2R로부터 선택된 하나 이상의 전자 당김 치환기를 포함하며, 하나 이상의 전자 당김 치환기 내의 R은 알킬 기 또는 아릴 기로부터 선택되고,
R3은 H, 1개 내지 20개의 탄소 원자를 포함하는 알킬 기, 또는 6개 내지 12개의 탄소 원자를 포함하는 아릴 기로부터 선택되며,
n은 1 내지 4의 수이다.
본원에 사용된 용어 "알킬 기"는 1개 내지 20개, 또는 1개 내지 12개, 또는 1개 내지 6개의 탄소 원자를 갖는 치환된 또는 치환되지 않은 알킬 기를 의미하고 선형, 분지형, 또는 고리형 기를 포함할 수 있다. 그러나, 적합한 알킬 기의 예로는 메틸, 에틸, 이소프로필, sec-부틸, tert-부틸, tert-아밀, n-펜틸, n-헥실, 사이클로프로필, 사이클로펜틸, 및 사이클로헥실을 포함하지만, 이들에 국한되는 것은 아니다. 본원에 사용된 용어 "아릴" 기는 6개 내지 30개 또는 6개 내지 12개 또는 6개 내지 10개의 탄소 원자를 갖는 치환된 또는 치환되지 않은 아릴 기를 의미한다. 아릴 기의 예로는 페닐, 벤질, 톨릴, 메시틸, 및 크실릴을 포함하지만, 이들에 국한되는 것은 아니다.
화학식 1로부터 선택된 전자-당김 기를 갖는 아미노실란의 추가의 예는 화학식 2로서 본원에서 제공된다:
[화학식 2]
AnSiR4 4 -n
상기 식 중,
A는 하기 아미노 기(a) 내지 아미노 기(j)로부터 선택되고,
R4는 수소, 1개 내지 20개의 탄소 원자를 포함하는 알킬 기 또는 6개 내지 12개의 탄소 원자를 포함하는 아릴 기로부터 선택되며,
n은 1 내지 4 범위의 수이다.
아미노 기(a) 내지 아미노 기(j)는 기재한 바대로 Si 원자에 결합한다.
Figure 112012033393255-pat00011
,
Figure 112012033393255-pat00012
,
Figure 112012033393255-pat00013
,
Figure 112012033393255-pat00014
,
Figure 112012033393255-pat00015
a b c d e
Figure 112012033393255-pat00016
,
Figure 112012033393255-pat00017
,
Figure 112012033393255-pat00018
,
Figure 112012033393255-pat00019
,
Figure 112012033393255-pat00020
f g h i j
특정한 실시양태에서, R1, R2, R, R3, R4 중 임의의 하나, 또는 모두 및 하나 이상의 전자 당김 치환기는 치환된다. 이러한 또는 다른 실시양태에서, R1, R2, R, R3, R4 중 임의의 하나, 또는 모두 및 하나 이상의 전자 당김 치환기는 헤테로원자 예컨대 N, S, P, O(그러나, 이들에 국한되지는 않음)로 치환된다. 다른 실시양태에서, R1, R2, R, R3, R4 중 임의의 하나, 또는 모두 및 하나 이상의 전자 당김 치환기는 치환되지 않는다.
본원에 사용된 용어 "전자 당김 치환기"는 Si-N 결합으로부터 전자를 당기도록 작용하는 원자 또는 이의 군을 의미한다. 적합한 전자 당김 치환기의 예로는 할로겐(F, Cl, Br, I), 니트릴(CN), 카르복실산(COOH), 및 카르보닐(CO)을 포함하지만, 이들에 국한되는 것은 아니다. 특정한 실시양태에서, 전자 당김 치환기는 화학식 1에서 N에 인접하거나 또는 가까울 수 있다.
특정한 실시양태에서, 치환기 R1 및 R2는 화학식 1에서 연결되어 환 구조를 형성한다. 다른 실시양태에서, 치환기 R1 및 R2는 화학식 1에서 연결되지 않는다.
이론으로 한정되는 것은 아니지만, 질화규소 전구체를 저온(예를 들면, 550℃ 또는 그 이하의 온도)에서 침착시키기 위한 필요요건 중 하나는 기판 표면 상의 Si-N의 침착을 촉진하기 위해 일반적으로 90 kcal/mol 보다 약한 아미노실란 전구체 내에서 Si-N 결합을 가져야 하는 것일 수 있다. 이는 각각의 전구체의 Si-N 결합 에너지를 평가함을 필요로 한다. 그러나, 균일분해(homolytic) 결합 분해 모델을 기초로 하는 격렬한 결합 에너지 계산은, 침착 온도에 대한 결합 에너지의 빈약한 민감도로 인해 대략 비례할 것으로 예상되는 결합 강도와 침착 온도 사이의 정성적 상관관계를 일치하게 특징지을 수 없을 것이다. 결합 에너지 계산에 대안으로서, 하기 수학식 1에 따라 Si-N 결합의 상대적 강도를 정량화하기 위해 사용되는 Si-N 형성 에너지가 본원에 정의되어 있다:
[수학식 1]
(R1R2N)nSiR3 4 -n + NH3 → (R1R2N)n-1Si(NH2)R3 4-n + R1R2NH
상기 식 중,
R1 및 R2는 아미노실란, β-아미노에틸실란, 사이클릭 실라잔, 이미노실란, 바이사이클로실라잔, 하이드로지노실란, 슈도할로실란, 및 헤테로사이클릭 치환된 실란일 수 있는 당해 분야에 공지된 공통적으로 사용된 전구체의 치환기이다. Si-N 형성 에너지는 미국 캘리포니아주 샌 디에고에 소재하는 Accelyrs, Inc.에 의해 제공된 명칭 DMol3, Materials Studio v. 4.2.0.2.의 화학 모델링 소프트웨어 프로그램에서 수학식 1을 사용하여 계산한다. 수학식 1을 이용하고 모델링 소프트웨어를 사용하여 형성 에너지를 측정하면, 강한 Si-N 결합은 더 높은 반응 에너지를 유발하여 따라서 더 높은 침착 온도(550℃ 초과)를 유발한다. 낮은 처리 온도(예를 들면, 550℃ 또는 그 이하)에서 침착하는 아미노실란 전구체를 개발하기 위해, Si-N 결합 근처에 전자 당김 기를 도입함으로써 Si-N 결합으로부터 전자 밀도를 제거하여 Si-N 결합을 약하게 하기를 원할 수 있다.
양자 역학 밀도 함수 이론을 이용하여, 광범위한 계산은 다양한 하나 이상의 전자 당김 치환기로 순차적으로 치환된 다양한 SiN 전구체의 반응 에너지를 시스템적으로 평가하기 위해 컴퓨터 모델링 소프트웨어를 사용하여 수행한다. 계산은 편광 기능으로 증대된 이중 수치 원자 기초 설정(double numerical atomic basis set)으로 커플링된 Perdew-Wang(PW91)에 의해 제안된 교환 상관효과 함수(exchange-correlation functional)를 사용하여 일반화된 기울기 근사(GGA: generalized gradient approximation) 하에 수행한다. 모든 분자 구조는 에너지적으로 가장 바람직한 기하구조를 수득하도록 완전히 최적화된다. 후속적으로, 반응 에너지는 하기 수학식 2를 사용하여 평가한다:
[수학식 2]
ΔE = -[E((R1R2N)n-1Si(NH2)R3 4-n) + E(R1R2NH) - E(NH3) - E((R1R2N)nSiR3 4 -n)]
상기 식 중, ΔE 값이 더 낮을수록, Si-N 결합이 더 약하고 따라서 더 낮은 침착 온도가 달성될 수 있을 것으로 예상된다.
도 1은 하기 기재된 특정한 아미노실란 전구체 1 내지 6 또는 트리스(1,1-디메틸하이드라지노)-tert-부틸실란, 비스(1,1-디메틸하이드라지노)에틸실란, 비스(1,1-디메틸하이드라지노)메틸실란, 비스(디에틸아미노)실란, 트리스(이소프로필아미노)실란, 및 트리스(tert-부틸아미노)실란 각각에 대한 실험적으로 측정된 침착 온도와 컴퓨터 모델링 소프트웨어를 사용하여 계산된 활성화 배리어 수준의 상관관계의 그래프 표현을 제공한다. 도 1은 활성화 배리어가 침착 온도에 따라 증가한다는 것을 보여준다. 도 2는 아미노실란 전구체 1 내지 아미노실란 전구체 6에 대한 실험적으로 측정된 침착 온도와 컴퓨터 모델링 소프트웨어를 사용하여 계산된 반응 열의 상관관계의 그래프 표현을 제공한다. 도 2는 침착 온도가 반응의 열에 따라 증가한다는 것을 보여준다. 도 3은 아미노실란 전구체 1 내지 아미노실란 전구체 6에 대한 실험적으로 측정된 활성화 에너지와 컴퓨터 모델링 소프트웨어를 사용하여 계산된 반응 열의 상관관계의 그래프 표현을 제공한다. 이러한 예는 활성화 배리어가 반응 열에 따라 증가한다는 것을 보여준다. 도 1 내지 도 3의 결과를 검토함으로써, 특정한 실시양태에서, 전구체의 침착 온도는 상기 수학식 2를 이용하여 반응 열에 의해 컴퓨터로 예상할 수 있다. 특정한 실시양태에서, 아미노실란 전구체의 활성화 에너지는 약 45 kcal/mol 또는 그 이하, 또는 약 40 kcal/mol 또는 그 이하, 또는 약 35 kcal/mol 또는 그 이하, 또는 약 30 kcal/mol 또는 그 이하, 또는 약 25 kcal/mol 또는 그 이하인 것이 바람직하다. 이러한 또는 다른 실시양태에서, 아미노실란 전구체의 반응 에너지는 약 5.5 kcal/mol 또는 그 이하, 약 4.0 kcal/mol 또는 그 이하, 약 3.5 kcal/mol 또는 그 이하, 또는 약 3.0 kcal/몰 또는 그 이하, 또는 약 2.5 kcal/mol 또는 그 이하인 것이 바람직하다.
도 1 내지 도 3에 사용된 전구체 1 내지 전구체 6의 구조, 또는 트리스(1,1-디메틸하이드라지노)-tert-부틸실란, 비스(1,1-디메틸하이드라진)에틸실란, 비스(1,1-디메틸하이드라지노)메틸실란, 비스(디에틸아미노)실란, 트리스(이소프로필아미노)실란, 및 트리스(tert-부틸아미노)실란은 하기 도시되어 있다:
Figure 112012033393255-pat00021
Figure 112012033393255-pat00022
Figure 112012033393255-pat00023
1 2 3
Figure 112012033393255-pat00024
Figure 112012033393255-pat00025
Figure 112012033393255-pat00026
4 5 6
하나의 실시양태에서, 화학식 1 또는 화학식 2에 기재된 바대로 하나 이상의 전자 당김 치환기를 갖는 아미노실란 전구체는 클로로실란과 상응하는 아민과의 아민화 반응에 의해 제조할 수 있다. 반응에 매우 적합한 대표적인 아민으로는 알킬, 사이클릭, 및 헤테로사이클릭이 있다. 바람직한 아민으로는 저급 알킬 아민, 예를 들면, 에틸, 이소프로필, t-부틸, 및 사이클로헥실이 있다. 추가로, 아민은 원하는 생성물에 따라 1차 또는 2차일 수 있다. 아민화 반응은 전형적으로 실온 또는 그 이하에서 수행한다. 탄화수소 용매, 예컨대 헥산 및 펜탄은 일반적으로 반응 매질로서 사용한다. 하나의 특정한 실시양태에서, 화학식 1 또는 화학식 2를 갖는 아미노실란 전구체는 하기 예시적 반응 A, 반응 B, 및 반응 C에 따라 예시한 바대로 만들 수 있다.
반응 A
R1R2NH + ClSiH3 -----→ R1R2N-SiH3 + R1R2NH-HCl
반응 B
4 R1R2NH + H2SiCl2 -----→ R1R2N-SiH2-NR1R2 + 2 R1R2NH-HCl
반응 C
6 R1R2NH + HSiCl3 -----→ (R1R2N)3SiH + 3 R1R2NH-HCl
또 다른 실시양태에서, 화학식 1의 아미노실란 전구체는 본원에서 실시예 4, 실시예 5, 및 실시예 6에서 기재된 다음의 방법을 사용하여 제조한다. 이러한 또는 다른 실시양태에서, 본원에 기재된 아미노실란 전구체는 보다 통상적으로 이용가능한 아미노실란으로부터 아미노기 전이(transamination) 반응에 의해 제조한다.
이미 언급한 바대로, 본원에 기재된 화학식 1 또는 화학식 2의 아미노실란 전구체는 기판 상에 질화규소, 산화규소, 탄질화규소, 및 질화산소 규소 막을 포함(그러나, 이들에 국한되지는 않음)하는 규소 함유 막을 침착하기 위한 전구체로서 사용할 수 있다. 적합한 기판의 예로는 비화갈륨("GaAs"), 질화붕소("BN") 규소, 및 결정질 규소, 폴리실리콘, 무정형 규소, 에피택셜(epitaxial) 규소, 이산화규소("SiO2"), 탄화규소("SiC"), 규소 옥시카바이드("SiOC"), 질화규소("SiN"), 탄질화규소("SiCN"), 오가노실리케이트 유리("OSG"), 오가노플루오로실리케이트 유리("OFSG"), 플루오로실리케이트 유리("FSG")와 같은 규소를 함유하는 조성물, 및 다른 적절한 기판 또는 이들의 혼합물을 포함하지만, 이들에 국한되는 것은 아니다. 기판은 막이 도포되는 다양한 층, 예컨대, 반사방지 코팅, 포토레지스트, 유기 중합체, 다공성 유기 및 무기 물질, 금속, 예컨대 구리 및 알루미늄, 또는 확산 배리어 층을 추가로 포함할 수 있다. 화학식 1의 아미노실란 전구체는 본원에 기재된 또는 당해 분야에 공지된 임의의 기술을 사용하여 침착시킬 수 있다. 예시적인 침착 기술은 화학 증착(CVD), 원자 층 침착(ALD), 펄스화 CVD, 플라즈마 보조된 화학 증착(PACVD), 및 플라즈마 증강된 화학 증착(PECVD)을 포함하지만, 이들에 국한되는 것은 아니다.
특정한 실시양태에서, 아미노실란 전구체는 CVD 또는 ALD 기술을 사용하여 기판 상에 침착시킨다. 특정한 실시양태에서, 화학식 1 또는 화학식 2의 아미노실란 전구체의 침착은 550℃ 또는 그 이하, 또는 500℃ 또는 그 이하, 또는 400℃ 또는 그 이하, 300℃ 또는 그 이하 또는 200℃ 또는 그 이하, 또는 100℃ 또는 그 이하, 또는 상술한 종료점으로부터 임의의 범위, 예컨대, 300℃ 내지 550℃의 온도에서 수행할 수 있다. 사용된 침착 기술에 따라, 50 mtorr 내지 100 torr의 압력이 대표적이다. 전형적인 CVD 침착 공정에서, 아미노실란 전구체는 공정 챔버, 예컨대 진공 챔버로 도입한다. 특정한 실시양태에서, 화학식 1의 아미노실란 전구체 이외에, 다른 화학 시약은 아미노실란 전구체의 도입 전에, 동안에, 및/또는 후에 도입할 수 있다. 에너지 공급원, 예컨대, 열, 플라즈마 또는 다른 공급원은 아미노실란 전구체 및 임의의 화학 시약을 에너지화시켜 기판의 적어도 일부 상에 막을 형성시킨다.
원자 층 침착(ALD)은 제1 전구체, 특정한 실시양태에서, 제2 전구체의 펄스의 순차적인 도입을 포함한다. 하나 이상의 전구체가 ALD 공정에서 사용되는 실시양태에서, 제1 전구체의 펄스, 이어서 퍼지 가스의 펄스 및/또는 펌프 배출, 이어서 제2 전구체의 펄스의 순차적인 도입이 존재하고, 이에 퍼지 가스의 펄스 및/또는 펌프 배출이 뒤따른다. 분리된 펄스의 순차적인 도입은 기판 표면 상에 각각의 전구체의 단층의 교대하는 자기 제한적 화학흡착을 생성시키고 각각의 사이클에 대해 침착된 물질의 단층을 형성한다. 사이클은 원하는 두께의 막을 생성하는데 필요한 바대로 반복한다. ALD 공정 동안, 기판은 화학흡착을 촉진시키는 온도 범위에서, 즉 전구체의 응축을 회피하고 각각의 공정 사이클에서 원하는 표면 반응에 대해 충분한 활성화 에너지를 제공하기에 충분히 높은, 흡수된 종과 밑에 있는 기판 사이의 변치않는 결합을 유지시키기에 충분히 낮은 온도에서 유지시킨다. 공정 챔버 온도는 0℃ 내지 400℃, 또는 0℃ 내지 300℃, 또는 0℃ 내지 275℃의 범위일 수 있다. ALD 공정 동안 공정 챔버 내의 압력은 0.1 내지 1000 Torr, 0.1 내지 15 Torr, 또는 0.1 내지 10 Torr 범위일 수 있다. 그러나, 임의의 특정한 ALD 공정에 대한 온도 및 압력은 포함된 하나 이상의 전구체에 따라 변할 수 있는 것으로 이해된다.
ALD의 성장 속도는 종래 CVD 공정과 비교하여 낮다. ALD 공정의 전형적인 성장 속도는 1 내지 2Å/사이클이다. 성장 속도의 증가를 위한 하나의 접근법은 더 높은 기판 온도에서 침착을 수행하는 것이다. 본원에 기재된 아미노실란 전구체는 비교적 더 낮은 온도에서 규소 함유 막을 침착시킬 수 있고, 따라서 막 성장 속도를 증가시킬 수 있다.
원하는 막에 따라, 종래 산화제는 규소 함유 막의 침착 공정에서 사용할 수 있다. 대표적인 산화제로는 과산화수소, 아산화질소, 오존, 및 분자 산소를 포함한다. 전형적으로, 산화제 대 아미노실란 전구체 비는 오가노아미노실란 전구체의 1 몰당 0.1 초과, 바람직하게는 0.1 내지 6 몰의 산화제이다.
화학식 1 또는 화학식 2의 아미노실란 전구체의 침착은 활성 질소 공급원 예컨대 암모니아, 하이드라진, 알킬하이드라진, 디알킬하이드라진 및 이들의 혼합물의 부재하에, 또는 존재하에 수행할 수 있다. 질소 공급원 대 아미노실란의 몰 비는 일반적으로 광범위하게 0: 내지 > 10:1의 범위 내에 있다. 상한치는 전구체에 대한 희석 효과로 제한되고 희석 효과는 침착 속도를 유의적으로 감소시킨다. 바람직한 범위는 0.1 내지 4:1이다. 침착을 통한 막의 형성은 또한 불활성 가스, 예컨대 질소 및 헬륨을 포함하는 다른 가스의 존재하에 또는 부재하에 수행할 수 있다. 전구체의 상응하는 희석을 달성하기 위한 제조기(fabricator)에 의한 가스의 사용은 침착의 정합성을 개선시킬 수 있거나 또는 화학 증기 함침을 위한 침투성을 개선시킬 수 있다.
이미 언급한 바대로, 특정한 실시양태에서, 추가의 화학 시약 또는 전구체는 화학식 1 또는 화학식 2의 아미노실란 전구체의 공정 챔버으로의 도입 전에, 동안에, 및/또는 후에 도입할 수 있다. 화학 시약의 선택은 원하는 수득된 막의 조성물에 의존할 수 있다. 예시적인 화학 시약으로는 산화제(즉, O2, NO, NO2, O3, CO, CO2 등); 물; 할로겐화물; 할로겐 함유 실란; 알킬클로로실란, 알킬브로모실란, 또는 알킬요오도실란; 할로겐화 규소 착물, 예컨대 규소 테트라클로라이드, 규소 테트라브로마이드, 또는 규소 테트라요오다이드; 또는 이들의 조합을 포함하지만, 이들에 국한되는 것은 아니다. 또한, 상기 착물의 유도체를 사용할 수도 있다는 것도 고려된다. 화학 시약은 가스로서 공정 챔버로 직접 전달할 수 있고/있거나, 증기화 액체, 승화 고체로서 전달할 수 있고/있거나, 반응 챔버 내로 불활성 캐리어 가스에 의해 전송할 수 있다.
불활성 캐리어 가스의 예로는 질소, 수소, 아르곤, 크세논 등을 포함한다.
침착 공정을 수행시에, 본원에 기재된 아미노실란은 다른 실릴 전구체와 블렌딩하여 막 특성을 변경시킬 수 있다. 다른 전구체의 예로는 비스-tert-부틸아미노실란, 트리스-이소프로필아미노실란, 비스-디에틸아미노실란, 트리스-디메틸아미노실란, 및 비스-이소프로필아미노실란을 포함한다.
본원에 기재된 상술한 막 형성 방법 중 어느 하나뿐만 아니라, 당해 분야에 공지된 다른 막 형성 방법은 단독으로 또는 조합하여 사용할 수 있다.
하기의 실시예는 본원에 기재된 아미노실란 전구체를 예시하고, 어떠한 방식으로든 이를 제한하는 것으로 의도되지 않는다.
[실시예]
실시예 1. BTBAS 내의 CF 3 에 의한 - CH 3 치환의 효과
비스(tert-부틸아미노)실란 BTBAS 내의 t-부틸 기 중의 메틸 기 중 하나는 하기 구조식 7에 도시된 바대로 -CF3 기로 순차적으로 치환하였다.
[화학식 7]
Figure 112012033393255-pat00027
상기 분자에 대한 그리고 치환된 2개 또는 3개의 메틸 기를 갖는 유사한 분자에 대한 반응 에너지는 상기 기재된 수학식 2 및 미국 캘리포니아주 샌 디에고에 소재하는 Accelyrs, Inc.에 의해 제공된 명칭 DMol3, Materials Studio v. 4.2.0.2.의 화학 모델링 소프트웨어 프로그램을 사용하여 결정하고 결과는 도 4에 제시되어 있다. 도 4는 -CF3 기에 대한 메틸 기의 완전 치환은 반응 에너지의 감소를 생성시킨다는 것을 보여준다. 특히, -CF3 기에 의한 분자에서 모든 메틸 기의 완전 치환은 반응을 흡열로부터 발열로 변경시킨다. 따라서, Si-N 결합의 분할은 BTBAS에서보다 훨씬 더 낮은 온도에서 발생하여 더 낮은 침착 온도를 허용하는 것으로 예상된다.
실시예 2. BTBAS 내의 - CN 에 의한 - CH 3 치환의 효과
BTBAS의 t-부틸 기 중의 메틸 기는 -CN 기로 순차적으로 치환하였다. BTBAS에 대한 그리고 -CN 기로 치환된 1개, 2개, 또는 3개의 메틸 기를 갖는 화학식 1의 아미노실란 전구체에 대한 반응 에너지는 상기 기재된 수학식 2 및 미국 캘리포니아주 샌 디에고에 소재하는 Accelyrs, Inc.에 의해 제공된 명칭 DMol3, Materials Studio v. 4.2.0.2.의 화학 모델링 소프트웨어 프로그램을 사용하여 결정하고 결과는 도 5에 제시되어 있다. 치환은 초기에 분자간 수소 결합의 형성으로 인해 반응 에너지의 증가를 유발한다. 그러나, 완전 치환시, 반응 에너지는 BTBAS에 대해 약 1.6kcal/mol 만큼 더 낮았다. 따라서, Si-N 결합의 분할은 BTBAS에서보다 훨씬 더 낮은 온도에서 발생하는 것으로 예상된다.
실시예 3: -F 치환의 효과
하나 이상의 전자 당김 치환기를 포함하는 알킬 및 아릴 기 또는 불소를 갖는 일련의 아미노실란 전구체는 수소보다는 불소를 함유하지 않는 유사한 아미노실란 전구체와 비교하였다. 계산은 표 1에 기재된 분자에 대해 F에 의한 H의 치환을 평가하기 위해 수행하고, 표 1에서 계산된 반응 열은 또한 본원에 기재된 수학식 2 및 미국 캘리포니아주 샌 디에고에 소재하는 Accelyrs, Inc.에 의해 제공된 명칭 DMol3, Materials Studio v. 4.2.0.2.의 화학 모델링 소프트웨어 프로그램을 사용하여 기재되어 있다. 비교의 결과는 하기 표 1에 제시되어 있다.
실시예 분자 ΔH(kcal/mol)
비교 실시예 1
Figure 112012033393255-pat00028
1.19
실시예 3a
Figure 112012033393255-pat00029
-0.338
비교 실시예 2
Figure 112012033393255-pat00030
1.26
실시예 3b
Figure 112012033393255-pat00031
0.93
실시예 3c
Figure 112012033393255-pat00032
0.42
비교 실시예 3
Figure 112012033393255-pat00033
0.27
실시예 3d
Figure 112012033393255-pat00034
0.07
실시예 3e
Figure 112012033393255-pat00035
0.11
실시예 3f
Figure 112012033393255-pat00036
-1.20
결과는 모든 경우에 반응 에너지는 F에 의한 H의 치환에 의해 감소될 수 있다는 것을 나타낸다. 특히, 온도 감소 효과는 α-자리, 이어서 β-자리 및 이어서 γ-자리에서 보다 명확해진다. 더 높은 치환은 더 양호한 반응 에너지를 생성시켰다.
실시예 4a: 비스(3,3-디플루오로피페리딘)실란에 대한 3,3- 디플루오로피페리딘 전구체의 제조.
마그네틱 교반 막대, N2 퍼지 밸브 및 고무 격막이 장착된 240 mL 테플론 반응기에 CH2Cl2(50mL) 중의 N-t-부틸-3-피페리딘(25g, 0.1255 mol)의 용액을 충전하고 0℃로 냉각시켰다. 이 용액에, 비스(2-메톡시에틸)아미노 황 트리플루오라이드(41.58g, 0.1882mol)를 첨가하였다. 이어서, 혼합물을 실온이 되게 하고 16 시간 동안 교반하였다. 혼합물을 250mL 유리 플라스크에 붓고 1℃에서 빙수 25 밀리리터(mL)로 처리하였다. 유기 상을 분별 깔대기에서 분리시켰다. 유기 용액을 15% 수성 NaOH를 사용하여 염기성으로 만들고 이어서 건조시키고(MgSO4), 여과시키고 진공하에 증발시켰다. 잔사는 마그네틱 교반 막대 및 질소 유입관이 장착된 250 mL 둥근 바닥 플라스크 내에서 3M HCl과 합하고 60℃에서 90 분 동안 가열하였다. 혼합물을 15% 수성 NaOH로 중성화시키고, 디에틸 에테르로 추출하고, 수성 상으로부터 분리시키고, 건조시키고(MgSO4), 여과시키고 이어서 진공하에 증발시켰다. 순수한 생성물 또는 3,3-디플루오로피페리딘은 40℃(0.1Torr)에서 증류에 의해 수득하고 G.C.M.S. 질량 분광계에 의해 분석하였다.
실시예 4b: 아미노기 전이 반응에 의한 비스(3,3-디플루오로피페리디노)실란의 제조
3,3-디플루오로피페리딘 0.1 mol 및 비스(t-부틸아미노)실란 0.1 mol의 양을 혼합하고 질소 보호하에 플라스크 내에서 교반하였다. 매 4 시간마다, 혼합물을 30 분 동안 100 torr의 진공으로 펌핑하였다. 48 시간 후에, 최종 생성물 비스(3,3-디플루오로피페리디노)실란을 118℃/10 torr에서 진공 증류에 의해 수득하였다.
실시예 5: 아미노기 전이 반응에 의한 비스[비스(2- 메톡시에틸 )아미노] 실란의 제조
비스(2-메톡시에틸)아민 0.1 mol 및 비스(t-부틸아미노)실란 0.1 mol의 양을 혼합하고 질소 보호하에 플라스크 내에서 교반하였다. 매 4 시간마다, 혼합물을 30 분 동안 100 torr의 진공으로 펌핑하였다. 48 시간 후에, 생성물 비스[비스(2-메톡시에틸)아미노]실란을 54℃/10 torr에서 진공 증류에 의해 수득하였다.
실시예 6: 아미노기 전이 반응에 의한 비스(2-메톡시에틸)아미노실란의 제조
비스(2-메톡시에틸)아민 0.1 mol 및 디에틸아미노실란 0.1 mol의 양을 혼합하고 질소 보호하에 플라스크 내에서 교반하였다. 매 4 시간마다, 혼합물을 30 분 동안 100 torr의 진공으로 펌핑하였다. 48 시간 후에, 생성물 비스(2-메톡시에틸)아미노실란을 40℃/10 torr에서 진공 증류에 의해 수득하였다.

Claims (28)

  1. 하기 화학식 1를 포함하는 규소 함유 막을 침착시키기 위한 아미노실란 전구체:
    화학식 1
    (R1R2N)nSiR3 4-n
    상기 식 중,
    치환기 R1 및 R2는 각각 독립적으로 1개 내지 20개의 탄소 원자를 포함하는 알킬 기 및 6개 내지 30개의 탄소 원자를 포함하는 아릴 기로부터 선택되고,
    치환기 R1 및 R2는 환 구조를 형성하도록 연결된 치환기 R1 및 R2로 이루어진 군으로부터 선택되고,
    치환기 R1 및 R2 중 하나 이상은 F, Cl, Br, I, CN, NO2, PO(OR)2, OR, RCOO, SO, SO2, SO2R로부터 선택된 하나 이상의 전자 당김(electron withdrawing) 치환기를 포함하며, 하나 이상의 전자 당김 치환기 내의 R은 알킬 기 또는 아릴 기로부터 선택되고,
    R3은 H, 1개 내지 20개의 탄소 원자를 포함하는 알킬 기, 또는 6개 내지 12개의 탄소 원자를 포함하는 아릴 기로부터 선택되며,
    R1, R2 , R, R3 및 전자 당김 치환기중 어느 하나 또는 모두는 N, S, P, 및 O로 이루어지는 군으로부터 선택되는 이종원자로 치환되거나 치환되지 않고,
    n은 1 내지 4의 수이다.
  2. 제1항에 있어서, R1 및 R2 중 하나 이상은 N, S, P, 및 O로 이루어지는 군으로부터 선택되는 이종원자로는 치환되는 것인 아미노실란 전구체.
  3. 삭제
  4. 삭제
  5. 삭제
  6. 삭제
  7. 삭제
  8. 삭제
  9. 삭제
  10. 제1항에 있어서, 비스(3,3-디플루오로피페리디노)실란을 포함하는 아미노실란 전구체.
  11. 화학 증착을 통해 기판 위에 규소 함유 막을 침착시키는 방법으로서,
    기판을 공정 챔버 내에 제공하는 단계;
    기판 상에 규소 함유 막을 반응 및 침착시키기에 충분한 온도 및 압력에서 공정 챔버 내로 아미노실란 전구체를 도입하는 단계로서, 아미노실란 전구체는 하기 화학식 1를 갖는 화합물을 포함하는 것인 단계
    를 포함하는 방법:
    [화학식 1]
    (R1R2N)nSiR3 4-n
    상기 식 중,
    치환기 R1 및 R2는 각각 독립적으로 1개 내지 20개의 탄소 원자를 포함하는 알킬 기 및 6개 내지 30개의 탄소 원자를 포함하는 아릴 기로부터 선택되고,
    치환기 R1 및 R2는 환 구조를 형성하도록 연결된 치환기 R1 및 R2로 이루어진 군으로부터 선택되고,
    치환기 R1 및 R2 중 하나 이상은 F, Cl, Br, I, CN, NO2, PO(OR)2, OR, RCOO, SO, SO2, SO2R로부터 선택된 하나 이상의 전자 당김 치환기를 포함하며, 하나 이상의 전자 당김 치환기 내의 R은 알킬 기 또는 아릴 기로부터 선택되고,
    R3은 H, 1개 내지 20개의 탄소 원자를 포함하는 알킬 기, 또는 6개 내지 12개의 탄소 원자를 포함하는 아릴 기로부터 선택되며,
    n은 1 내지 4의 수이다.
  12. 제11항에 있어서, 도입 단계는 암모니아, 질소 및 하이드라진으로부터 선택된 질소 공급원을 추가로 포함하는 것인 방법.
  13. 제12항에 있어서, 질소 공급원은 암모니아 또는 질소이고, 질소 공급원은 0.1 내지 4:1의 질소 공급원:전구체 범위로 존재하는 것인 방법.
  14. 제11항에 있어서, 온도는 400℃ 내지 700℃ 범위인 것인 방법.
  15. 제11항에 있어서, 압력은 20 mTorr 내지 20 Torr 범위인 것인 방법.
  16. 하기 화학식 2를 포함하는 규소 함유 막을 침착시키기 위한 아미노실란 전구체:
    화학식 2
    AnSiR4 4 -n
    상기 식 중,
    A는 하기 아미노 기(a) 내지 (d) 및 아미노 기(f) 내지 (j)로부터 선택된 하나 이상의 기이고,
    R4는 수소, 1개 내지 20개의 탄소 원자를 포함하는 알킬 기 또는 6개 내지 12개의 탄소 원자를 포함하는 아릴 기로부터 선택되며,
    n은 1 내지 4 범위의 수이다.
    Figure 112012033393255-pat00037
    ,
    Figure 112012033393255-pat00038
    ,
    Figure 112012033393255-pat00039
    ,
    Figure 112012033393255-pat00040
    ,
    a b c d
    Figure 112012033393255-pat00041
    ,
    Figure 112012033393255-pat00042
    ,
    Figure 112012033393255-pat00043
    , ,
    Figure 112012033393255-pat00045

    f g h i j
  17. 제16항에 있어서, A는 하기 아미노기 (a)인 것인 아미노실란 전구체:
    Figure 112014075301094-pat00046

    a.
  18. 제16항에 있어서, A는 하기 아미노기 (b) 것인 아미노실란 전구체:
    Figure 112014075301094-pat00047

    b.
  19. 제16항에 있어서, A는 하기 아미노기 (c)인 것인 아미노실란 전구체:
    Figure 112014075301094-pat00048

    c.
  20. 제16항에 있어서, A는 하기 아미노기 (d)인 것인 아미노실란 전구체:
    Figure 112014075301094-pat00049

    d.
  21. 제16항에 있어서, A는 하기 아미노기 (f)인 것인 아미노실란 전구체:
    Figure 112014075301094-pat00050

    f.
  22. 제16항에 있어서, A는 하기 아미노기 (g)인 것인 아미노실란 전구체:
    Figure 112014075301094-pat00051

    g.
  23. 제16항에 있어서, A는 하기 아미노기 (h)인 것인 아미노실란 전구체:
    Figure 112014075301094-pat00052

    h.
  24. 제16항에 있어서, A는 하기 아미노기 (i)인 것인 아미노실란 전구체:
    Figure 112014075301094-pat00053

    i.
  25. 제16항에 있어서, A는 하기 아미노기 (j)인 것인 아미노실란 전구체:
    Figure 112014075301094-pat00054

    j.
  26. 삭제
  27. 삭제
  28. 삭제
KR1020120043777A 2008-08-12 2012-04-26 규소 함유 막을 침착시키기 위한 전구체 및 이를 제조하고 사용하는 방법 KR101470067B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/190,125 2008-08-12
US12/190,125 US8129555B2 (en) 2008-08-12 2008-08-12 Precursors for depositing silicon-containing films and methods for making and using same

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020090073983A Division KR20100020440A (ko) 2008-08-12 2009-08-11 규소 함유 막을 침착시키기 위한 전구체 및 이를 제조하고 사용하는 방법

Publications (2)

Publication Number Publication Date
KR20120052214A KR20120052214A (ko) 2012-05-23
KR101470067B1 true KR101470067B1 (ko) 2014-12-10

Family

ID=41354086

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020090073983A KR20100020440A (ko) 2008-08-12 2009-08-11 규소 함유 막을 침착시키기 위한 전구체 및 이를 제조하고 사용하는 방법
KR1020120043777A KR101470067B1 (ko) 2008-08-12 2012-04-26 규소 함유 막을 침착시키기 위한 전구체 및 이를 제조하고 사용하는 방법

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020090073983A KR20100020440A (ko) 2008-08-12 2009-08-11 규소 함유 막을 침착시키기 위한 전구체 및 이를 제조하고 사용하는 방법

Country Status (6)

Country Link
US (1) US8129555B2 (ko)
EP (2) EP2644609B1 (ko)
JP (4) JP5587572B2 (ko)
KR (2) KR20100020440A (ko)
CN (1) CN101648964A (ko)
TW (1) TWI374887B (ko)

Families Citing this family (411)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US8357435B2 (en) * 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US8129555B2 (en) * 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
JP4638550B2 (ja) 2008-09-29 2011-02-23 東京エレクトロン株式会社 マスクパターンの形成方法、微細パターンの形成方法及び成膜装置
US20100081293A1 (en) * 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8189364B2 (en) 2008-12-17 2012-05-29 Qs Semiconductor Australia Pty Ltd. Charge retention structures and techniques for implementing charge controlled resistors in memory cells and arrays of memory
US8999734B2 (en) * 2009-03-10 2015-04-07 American Air Liquide, Inc. Cyclic amino compounds for low-k silylation
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8511281B2 (en) * 2009-07-10 2013-08-20 Tula Technology, Inc. Skip fire engine control
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) * 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110042685A1 (en) * 2009-08-18 2011-02-24 Qs Semiconductor Australia Pty Ltd Substrates and methods of fabricating epitaxial silicon carbide structures with sequential emphasis
US8449942B2 (en) * 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US20110159213A1 (en) * 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
CN102687252A (zh) 2009-12-30 2012-09-19 应用材料公司 以可变的氮/氢比所制造的自由基来生长介电薄膜的方法
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
JP2013517616A (ja) * 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド 酸化物ライナを使用する流動可能な誘電体
US8304351B2 (en) 2010-01-07 2012-11-06 Applied Materials, Inc. In-situ ozone cure for radical-component CVD
US8236708B2 (en) * 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
KR101226876B1 (ko) * 2010-04-01 2013-01-28 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 아미노-금속 및 할로겐화 금속 전구체의 조합을 사용한 금속 질화물 함유 필름 퇴적
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
JP5624492B2 (ja) * 2011-02-10 2014-11-12 大陽日酸株式会社 シリコン含有前駆体の活性化エネルギーの算出方法、安定性評価方法、及び選定方法
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8647993B2 (en) * 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9070758B2 (en) * 2011-06-20 2015-06-30 Imec CMOS compatible method for manufacturing a HEMT device and the HEMT device thereof
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
JP2013008828A (ja) * 2011-06-24 2013-01-10 Taiyo Nippon Sanso Corp シリコン絶縁膜の形成方法
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8912101B2 (en) * 2012-03-15 2014-12-16 Asm Ip Holding B.V. Method for forming Si-containing film using two precursors by ALD
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
KR20150036114A (ko) 2012-07-20 2015-04-07 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Ald/cvd 규소-함유 필름 애플리케이션을 위한 유기실란 전구체
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
KR101380317B1 (ko) * 2012-08-31 2014-04-04 주식회사 유진테크 머티리얼즈 실리콘 원자 및 금속 원자에 대한 친화성이 우수한 고리형 아미노실란 화합물, 이의 제조방법 및 이의 응용
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
KR102106885B1 (ko) * 2013-03-15 2020-05-06 삼성전자 주식회사 실리콘 산화막 증착용 전구체 조성물 및 상기 전구체 조성물을 이용한 반도체 소자 제조 방법
CN103938181B (zh) * 2013-05-30 2016-09-14 南京理工大学泰州科技学院 一种硅基氮氧化合物薄膜的制备方法
US9796739B2 (en) * 2013-06-26 2017-10-24 Versum Materials Us, Llc AZA-polysilane precursors and methods for depositing films comprising same
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9382268B1 (en) 2013-07-19 2016-07-05 American Air Liquide, Inc. Sulfur containing organosilane precursors for ALD/CVD silicon-containing film applications
TW201509799A (zh) 2013-07-19 2015-03-16 Air Liquide 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9543140B2 (en) 2013-10-16 2017-01-10 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US20150140833A1 (en) * 2013-11-18 2015-05-21 Applied Materials, Inc. Method of depositing a low-temperature, no-damage hdp sic-like film with high wet etch resistance
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10570513B2 (en) 2014-12-13 2020-02-25 American Air Liquide, Inc. Organosilane precursors for ALD/CVD silicon-containing film applications and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10421766B2 (en) * 2015-02-13 2019-09-24 Versum Materials Us, Llc Bisaminoalkoxysilane compounds and methods for using same to deposit silicon-containing films
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US11001599B2 (en) 2015-03-23 2021-05-11 Gelest Technologies, Inc. N-alkyl substituted cyclic and oligomeric perhydridosilazanes, methods of preparation thereof, and silicon nitride films formed therefrom
TWI706957B (zh) * 2015-03-30 2020-10-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 碳矽烷與氨、胺類及脒類之觸媒去氫耦合
US9815858B2 (en) 2015-06-16 2017-11-14 Gelest Technologies, Inc. Hydridosilapyrroles, hydridosilaazapyrroles, thiasilacyclopentanes, method for preparation thereof, and reaction products therefrom
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9520284B1 (en) * 2015-11-13 2016-12-13 Varian Semiconductor Equipment Associates, Inc. Ion beam activated directional deposition
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
KR20180087450A (ko) * 2015-12-21 2018-08-01 버슘머트리얼즈 유에스, 엘엘씨 규소-함유 막의 증착을 위한 조성물 및 이를 사용하는 방법
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10283348B2 (en) * 2016-01-20 2019-05-07 Versum Materials Us, Llc High temperature atomic layer deposition of silicon-containing films
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102378021B1 (ko) * 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10176984B2 (en) * 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6812855B2 (ja) * 2017-03-10 2021-01-13 Jnc株式会社 ジアルキルアミノシランの製造方法
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
CN110546302B (zh) 2017-05-05 2022-05-27 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US11056353B2 (en) 2017-06-01 2021-07-06 Asm Ip Holding B.V. Method and structure for wet etch utilizing etch protection layer comprising boron and carbon
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102173384B1 (ko) * 2018-03-30 2020-11-03 에스케이트리켐 주식회사 SiO2 박막 형성용 전구체 및 이를 이용한 SiO2 박막 형성.
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
DE112019003547T5 (de) * 2018-07-12 2021-03-25 Lotus Applied Technology, Llc Wasserunempfindliche verfahren zum bilden von metalloxidfilmen und damit in zusammenhang stehenden produkten
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102157137B1 (ko) * 2018-11-30 2020-09-17 주식회사 한솔케미칼 실리콘 전구체 및 이를 이용한 실리콘 함유 박막의 제조방법
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
US10988490B1 (en) 2019-10-03 2021-04-27 Entegris, Inc. Triiodosilylamine precursor compounds
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11932940B2 (en) 2019-11-12 2024-03-19 Applied Materials, Inc. Silyl pseudohalides for silicon containing films
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
US11492364B2 (en) * 2020-03-31 2022-11-08 Entegris, Inc. Silicon hydrazido precursor compounds
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11658025B2 (en) * 2021-01-18 2023-05-23 Applied Materials, Inc. Chalcogen precursors for deposition of silicon nitride
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050069986A (ko) * 2002-08-18 2005-07-05 에비자 테크놀로지, 인크. 실리콘 산화물 및 산질화물의 저온 증착
KR20070113113A (ko) * 2006-05-23 2007-11-28 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 유기아미노실란 전구체로부터 산화규소 막을 형성시키는방법

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4736046A (en) * 1985-12-23 1988-04-05 The Dow Chemical Company β-isocyanato organosilanes
JP2637265B2 (ja) 1990-06-28 1997-08-06 株式会社東芝 窒化珪素膜の形成方法
JPH06132284A (ja) 1992-10-22 1994-05-13 Kawasaki Steel Corp 半導体装置の保護膜形成方法
US5429673A (en) 1993-10-01 1995-07-04 Silicon Resources, Inc. Binary vapor adhesion promoters and methods of using the same
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US7005392B2 (en) 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US6391803B1 (en) * 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
AU2003256559A1 (en) * 2002-07-19 2004-02-09 Aviza Technology, Inc. Low temperature dielectric deposition using aminosilane and ozone
US7122222B2 (en) * 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
JP2005213633A (ja) * 2004-02-02 2005-08-11 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US20060045986A1 (en) * 2004-08-30 2006-03-02 Hochberg Arthur K Silicon nitride from aminosilane using PECVD
US7332618B2 (en) * 2004-09-28 2008-02-19 Praxair Technology, Inc. Organometallic precursor compounds
US20060182885A1 (en) * 2005-02-14 2006-08-17 Xinjian Lei Preparation of metal silicon nitride films via cyclic deposition
US7875556B2 (en) * 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
JP4554446B2 (ja) * 2005-06-21 2010-09-29 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US8129555B2 (en) * 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050069986A (ko) * 2002-08-18 2005-07-05 에비자 테크놀로지, 인크. 실리콘 산화물 및 산질화물의 저온 증착
KR20070113113A (ko) * 2006-05-23 2007-11-28 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 유기아미노실란 전구체로부터 산화규소 막을 형성시키는방법

Also Published As

Publication number Publication date
JP2017210485A (ja) 2017-11-30
JP2016166421A (ja) 2016-09-15
KR20120052214A (ko) 2012-05-23
EP2154141A3 (en) 2011-05-25
TWI374887B (en) 2012-10-21
US8129555B2 (en) 2012-03-06
EP2154141A2 (en) 2010-02-17
JP6290961B2 (ja) 2018-03-07
JP5587572B2 (ja) 2014-09-10
US20100041243A1 (en) 2010-02-18
EP2644609A3 (en) 2013-11-06
KR20100020440A (ko) 2010-02-22
JP2010043081A (ja) 2010-02-25
TW201006842A (en) 2010-02-16
EP2644609A2 (en) 2013-10-02
CN101648964A (zh) 2010-02-17
EP2644609B1 (en) 2015-07-08
EP2154141B1 (en) 2016-06-15
JP2014177471A (ja) 2014-09-25

Similar Documents

Publication Publication Date Title
KR101470067B1 (ko) 규소 함유 막을 침착시키기 위한 전구체 및 이를 제조하고 사용하는 방법
JP7320544B2 (ja) Si含有膜形成組成物およびその使用方法
JP6098947B2 (ja) 化学気相成長用組成物
US20040146644A1 (en) Precursors for depositing silicon containing films and processes thereof
JP2010147485A5 (ja) アミノシラン、シリコン含有膜の形成用前駆体、シリコン含有膜の形成用組成物
EP3307744B1 (en) Vapor deposition processes for forming silicon- and oxygen-containing thin films
EP3307745B1 (en) Vapor deposition processes for forming silicon- and nitrogen-containing thin films

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20171027

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20191029

Year of fee payment: 6