JP2014177471A - シリコン含有膜堆積用の前駆体及びその製造及び使用方法 - Google Patents
シリコン含有膜堆積用の前駆体及びその製造及び使用方法 Download PDFInfo
- Publication number
- JP2014177471A JP2014177471A JP2014096335A JP2014096335A JP2014177471A JP 2014177471 A JP2014177471 A JP 2014177471A JP 2014096335 A JP2014096335 A JP 2014096335A JP 2014096335 A JP2014096335 A JP 2014096335A JP 2014177471 A JP2014177471 A JP 2014177471A
- Authority
- JP
- Japan
- Prior art keywords
- precursor
- silicon
- aminosilane
- silane
- carbon atoms
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Withdrawn
Links
- OPFHEHLPNFMXRV-UHFFFAOYSA-N CN(CC(F)(F)F)CC(F)(F)F Chemical compound CN(CC(F)(F)F)CC(F)(F)F OPFHEHLPNFMXRV-UHFFFAOYSA-N 0.000 description 1
- HUSGIMGPSCQRKA-UHFFFAOYSA-N CN(CC1)CC1(F)F Chemical compound CN(CC1)CC1(F)F HUSGIMGPSCQRKA-UHFFFAOYSA-N 0.000 description 1
- HERYDAYTRWKYHW-UHFFFAOYSA-N CN(CCC1)C1(F)F Chemical compound CN(CCC1)C1(F)F HERYDAYTRWKYHW-UHFFFAOYSA-N 0.000 description 1
- DSRWBPDMEPWZPG-UHFFFAOYSA-N CN(CCC1)CC1(F)F Chemical compound CN(CCC1)CC1(F)F DSRWBPDMEPWZPG-UHFFFAOYSA-N 0.000 description 1
- QDTSRDWJVLECRT-UHFFFAOYSA-N CN(CCCC1)C1(F)F Chemical compound CN(CCCC1)C1(F)F QDTSRDWJVLECRT-UHFFFAOYSA-N 0.000 description 1
- LDCZMVCTWDIRAI-UHFFFAOYSA-N CN(COC)COC Chemical compound CN(COC)COC LDCZMVCTWDIRAI-UHFFFAOYSA-N 0.000 description 1
- SJRJJKPEHAURKC-UHFFFAOYSA-N CN1CCOCC1 Chemical compound CN1CCOCC1 SJRJJKPEHAURKC-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C07—ORGANIC CHEMISTRY
- C07F—ACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
- C07F7/00—Compounds containing elements of Groups 4 or 14 of the Periodic System
- C07F7/02—Silicon compounds
- C07F7/08—Compounds having one or more C—Si linkages
- C07F7/10—Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/34—Nitrides
- C23C16/345—Silicon nitride
-
- C—CHEMISTRY; METALLURGY
- C07—ORGANIC CHEMISTRY
- C07F—ACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
- C07F7/00—Compounds containing elements of Groups 4 or 14 of the Periodic System
- C07F7/02—Silicon compounds
- C07F7/025—Silicon compounds without C-silicon linkages
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/42—Silicides
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/20—Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
Abstract
【解決手段】シリコン含有膜を堆積させるための次式(I):
(R1R2N)nSiR3 4−n (I)
(式中、置換基R1およびR2はそれぞれ独立にC1〜20のアルキル基およびC6〜30のアリール基から選択され、置換基R1およびR2の少なくとも1つはF、Cl、Br、I、CN、NO2、PO(OR)2、OR、RCOO、SO、SO2、SO2Rから選択される電子吸引性置換基を含み、そしてR3はH、C1〜20のアルキル基、又はC6〜12のアリール基から選択され、そしてnは1〜4の範囲の数である。)から成るアミノシラン前駆体。
【選択図】なし
Description
(R1R2N)nSiR3 4−n (I)
(式中、置換基R1およびR2はそれぞれ独立に1〜20個の炭素原子を含むアルキル基および6〜30個の炭素原子を含むアリール基から選択され、置換基R1およびR2の少なくとも1つはF、Cl、Br、I、CN、NO2、PO(OR)2、OR、RCOO、SO、SO2、SO2Rから選択される少なくとも1つの電子吸引性置換基を含みそして少なくとも1つの電子吸引性置換基におけるRはアルキル基又はアリール基から選択され、R3は水素原子、1〜20個の炭素原子を含むアルキル基、又は6〜12個の炭素原子を含むアリール基から選択され、そしてnは1〜4の範囲の数である。)から成るアミノシラン前駆体が提供される。
処理室内に基材を提供すること、そして
処理室にアミノシラン前駆体を、それを反応させそして基材上にシリコン含有膜を堆積させるのに十分な温度および圧力で導入すること、
を含み、その際にアミノシラン前駆体が次式(I):
(R1R2N)nSiR3 4−n (I)
(式中、置換基R1およびR2はそれぞれ独立に1〜20個の炭素原子を含むアルキル基および6〜30個の炭素原子を含むアリール基から選択され、置換基R1およびR2の少なくとも1つはF、Cl、Br、I、CN、NO2、PO(OR)2、OR、RCOO、SO、SO2、SO2Rから選択される少なくとも1つの電子吸引性置換基を含みそして少なくとも1つの電子吸引性置換基におけるRはアルキル基又はアリール基から選択され、R3は水素原子、1〜20個の炭素原子を含むアルキル基、又は6〜12個の炭素原子を含むアリール基から選択され、そしてnは1〜4の範囲の数である。)により表される方法が提供される。
AnSiR4 4−n (II)
(式中、Aは次の(a)〜(j)のアミノ基群から選択される少なくとも1つの基で、R4は1〜20個の炭素原子を含むアルキル基又は6〜12個の炭素原子を含むアリール基から選択され、nは1〜4の範囲の数である。)から成るアミノシラン前駆体が提供される。
(R1R2N)nSiR3 4−n (I)
式(I)において、置換基R1およびR2はそれぞれ独立に1〜20個の炭素原子を含むアルキル基および6〜30個の炭素原子を含むアリール基から選択され、置換基R1およびR2の少なくとも1つはF、Cl、Br、I、CN、NO2、PO(OR)2、OR、RCOO、SO、SO2、SO2Rから選択される少なくとも1つの電子吸引性基を含み、そして少なくとも1つの電子吸引性置換基中のRはアルキル基又はアリール基から選択され、R3はH原子、1〜20個の炭素原子を含むアルキル基、又は6〜12個の炭素原子を含むアリール基から選択され、そしてnは1〜4の範囲の数である。本明細書で用いられるとき、用語“アルキル基”とは1〜20個、又は1〜12個、又は1〜6個の炭素原子を有する置換又は非置換のアルキル基を言いそして直鎖、分岐又は環状の基を含み得る。適したアルキル基の例として、限定されないが、メチル、エチル、イソプロピル、sec−ブチル、tert−ブチル、tert−アミル、n−ペンチル、n−ヘキシル、シクロプロピル、シクロペンチル、およびシクロヘキシルが挙げられる。本明細書で用いられるとき、用語“アリール”基とは6〜30個又は6〜12個又は6〜10個の炭素原子を有する置換又は非置換のアリール基を言う。アリール基の例として、限定されないが、フェニル、ベンジル、トリル、メシチル、およびキシリルが挙げられる。
AnSiR4 4−n (II)
として示される。式(II)において、Aは次のアミノ基(a)〜(j)から選択され、R4は1〜20個の炭素原子を含むアルキル基又は6〜12個の炭素原子を含むアリール基から選択され、nは1〜4の範囲の数である。アミノ基(a)〜(j)は示されるようにSi原子に結合されている。
(R1R2N)nSiR3 4−n+NH3→
(R1R2N)n−1Si(NH2)R3 4−n+R1R2NH (1)
に従ってSi−N結合の相対的強弱度を定量化するために用いられるSi−N形成エネルギーがここに規定される。
上記式(1)において、R1およびR2は一般に用いられる当業界で周知の前駆体の置換基であり、アミノシラン、β−アミノエチルシラン、環状シラザン、イミノシラン、ビシクロシラザン、ヒドロジノシラン、擬似ハロシラン、およびヘテロ環状置換シランであり得る。Si−N形成エネルギーはカリフォルニア州、サンジェゴのAccelyrs社により提供の表題がDMol3の、マテリアルスタジオv.4.2.0.2の化学モデリングソフトウエアープログラムで式(I)を用いて計算した。式(I)を適用しそして前記モデリングソフトウエアーを用いて形成エネルギーを決めると、強いSi−N結合はより高い反応エネルギーそしてそれ故により高い堆積温度(550℃より高い)をもたらすであろう。低い処理温度(例えば、550℃以下)で堆積させるアミノシラン前駆体を開発するためには、Si−N結合の近傍に電子吸引性基を導入してSi−N結合から電子密度を除去することによりSi−N結合を弱めることを望んでもよい。
△E=−[E((R1R2N)n−1Si(NH2)R3 4−n)+
E(R1R2NH)−E(NH3)−E(R1R2N)nSiR3 4−n](2)
式(2)において、△E値が小さければ小さいほど、Si−N結合は弱くそしてそれ故により低い堆積温度が達成され得る。
R1R2NH+ClSiH3→R1R2N−SiH3+R1R2NH−HCl(A)
4R1R2NH+H2SiCl2→R1R2N−SiH2−NR1R2
+2R1R2NH−HCl(B)
6R1R2NH+HSiCl3→(R1R2N)3SiH
+3R1R2NH−HCl(C)
ビス(tert−ブチルアミノ)シランBTBASのt−ブチル基におけるメチル基の1つを以下の化学構造7に示すように−CF3基で逐次的に置換した。
BTBASのt−ブチル基におけるメチル基を−CN基で逐次的に置換した。BTBASに対してのおよび−CN基で置換された1、2又は3個のメチル基を有する式(1)のアミノシラン前駆体に対しての反応エネルギーが前記式(2)およびカリフォルニア州サンジェゴのAccelyrs社により提供の表題がDMol3、マテリアルスタジオv.4.2.0.2の化学モデリングソフトウエアープログラムを用いて決定された。結果を図5に示す。置換は、当初は分子内の水素結合の形成に起因して反応エネルギーの増加をもたらす。しかしながら、全部の置換では、反応エネルギーはBTBASに対するよりも約1.6kcal/molほど低い。それ故、Si−N結合の開裂はBTBAS内におけるよりも低い温度で起ると予想される。
少なくとも1種の電子吸引性置換基又はフッ素を含むアルキル基又はアリール基を有する一連のアミノシラン前駆体が、フッ素を含有しないかそれよりも水素を含有する類似のアミノシラン前駆体と比較された。表1に記載した分子に対してHのFによる置換を調べるために計算が行われ、また、表1には、本明細書に記載の式(2)およびカリフォルニア州サンジェゴのAccelyrs社により提供の表題がDMol3、マテリアルスタジオv.4.2.0.2の化学モデリングソフトウエアープログラムを用いて計算した反応熱が示されている。比較の結果を以下の表1に示す。
磁気攪拌棒、N2パージバルブおよびゴム隔膜を備えた240mLのテフロン(登録商標)反応器にCH2Cl2(50mL)中のN−t−ブチル−3−ピペリドン(25g、0.1255mol)溶液を入れそして0℃に冷却した。この溶液に、ビス(2−メトキシエチル)アミノ硫黄トリフルオリド(41.58g、0.1882mol)を加えた。次いで、この混合物を室温とし、16時間にわたって撹拌した。次いで、混合物を250mLのガラスフラスコに注ぎ込みそして1℃の氷水25mLで処理した。有機相を分液ロートで分離した。有機溶液を15%NaOH水を用いてアルカリ性とし次いで乾燥(MgSO4)し、ろ過しそして真空で蒸発させた。残部を磁気攪拌棒および窒素注入管を備えた250mLの丸底フラスコ内で3MのHClと混合しそして60℃で90分間加熱した。混合物を15%NaOH水で中和し、ジエチルエーテル中に抽出し、水性相から分離し、乾燥(MgSO4)し、ろ過し次いで真空で蒸発させた。3,3−ジフルオロピペリジンの純生成物が40℃(0.1トール)での蒸留によって得られそしてG.C.M.S.マススペクトルで分析した。
0.1モルの3,3−ジフルオロピペリジンおよび0.1モルのビス(t−ブチルアミノ)シランの量を窒素で保護してフラスコ内で混合しそして攪拌した。毎4時間毎に、混合物はポンプで30分間100トールの真空にした。48時間後、最終の生成物ビス(3,3−ジフルオロピペリジノ)シランが118℃/10トールでの真空蒸留で得られた。
0.1モルのビス(2−メトキシエチル)アミンおよび0.1モルのビス(t−ブチルアミノ)シランの量を窒素で保護してフラスコ内で混合しそして攪拌した。毎4時間毎に、混合物はポンプで30分間100トールの真空にした。48時間後、生成物ビス[ビス(2−メトキシエチル)アミノ]シランが54℃/10トールでの真空蒸留で得られた。
0.1モルのビス(2−メトキシエチル)アミンおよび0.1モルのジエチルアミノシランの量を窒素で保護してフラスコ内で混合しそして攪拌した。毎4時間毎に、混合物はポンプで30分間100トールの真空にした。48時間後、生成物ビス[ビス(2−メトキシエチル)アミノ]シランが40℃/10トールでの真空蒸留で得られた。
Claims (16)
- 次式(I):
(R1R2N)nSiR3 4−n (I)
(式中、置換基R1およびR2はそれぞれ独立に1〜20個の炭素原子を含むアルキル基および6〜30個の炭素原子を含むアリール基から選択され、
置換基R1およびR2の少なくとも1つはF、Cl、Br、I、CN、NO2、PO(OR)2、OR、RCOO、SO、SO2、SO2Rから選択される少なくとも1つの電子吸引性置換基を含みそして少なくとも1つの電子吸引性置換基におけるRはアルキル基又はアリール基から選択され、
R3はH、1〜20個の炭素原子を含むアルキル基、又は6〜12個の炭素原子を含むアリール基から選択され、そして
nは1〜4の範囲の数である。)
から成るシリコン含有膜を堆積させるためのアミノシラン前駆体。 - R1およびR2が結合して環構造を形成している請求項1に記載のアミノシラン前駆体。
- 前記前駆体が、トリス(1,1−ジメチルヒドラジノ)−tert−ブチルシラン、ビス(1,1−ジメチルヒドラジン)エチルシラン、ビス(1,1−ジメチルヒドラジノ)メチルシラン、ビス(ジエチルアミノ)シラン、トリス(イソプロピルアミノ)シラン、トリス(tert−ブチルアミノ)シランおよびビス(3,3−ジフルオロピペリジン)シランから選択される請求項1に記載のアミノシラン前駆体。
- トリス(1,1−ジメチルヒドラジノ)−tert−ブチルシランを含む請求項3に記載のアミノシラン前駆体。
- ビス(1,1−ジメチルヒドラジン)エチルシランを含む請求項3に記載のアミノシラン前駆体。
- ビス(1,1−ジメチルヒドラジノ)メチルシランを含む請求項3に記載のアミノシラン前駆体。
- ビス(ジエチルアミノ)シランを含む請求項3に記載のアミノシラン前駆体。
- トリス(イソ−プロピルアミノ)シランを含む請求項3に記載のアミノシラン前駆体。
- トリス(tert−ブチルアミノ)シランを含む請求項3に記載のアミノシラン前駆体。
- ビス(3,3−ジフルオロピペリジン)シランを含む請求項3に記載のアミノシラン前駆体。
- 化学蒸着によって基材にシリコン含有膜を堆積させるための方法であって、下記の工程:
処理室内に基材を提供すること、
アミノシラン前駆体を、反応させそして基材にシリコン含有膜を堆積させるのに十分な温度および圧力で処理室に導入すること、
を含み、その際にアミノシラン前駆体が次式(I):
(R1R2N)nSiR3 4−n (I)
(式中、置換基R1およびR2はそれぞれ独立に1〜20個の炭素原子を含むアルキル基および6〜30個の炭素原子を含むアリール基から選択され、
置換基R1およびR2の少なくとも1つはF、Cl、Br、I、CN、NO2、PO(OR)2、OR、RCOO、SO、SO2、SO2Rから選択される少なくとも1つの電子吸引性置換基を含みそして少なくとも1つの電子吸引性置換基におけるRはアルキル基又はアリール基から選択され、
R3はH、1〜20個の炭素原子を含むアルキル基、又は6〜12個の炭素原子を含むアリール基から選択され、そして
nは1〜4の範囲の数である。)
を有する、方法。 - 前記導入工程が、アンモニア、窒素およびヒドラジンから選択される窒素源をさらに含む請求項11に記載の方法。
- 前記窒素源が、アンモニア又は窒素であり且つ窒素源が窒素源:前駆体が0.1〜4:1の範囲で存在している請求項12に記載の方法。
- 前記温度が、約400℃〜約700℃の範囲である請求項11に記載の方法。
- 前記圧力が、約20mトール〜約20トールの範囲である請求項11に記載の方法。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US12/190,125 | 2008-08-12 | ||
US12/190,125 US8129555B2 (en) | 2008-08-12 | 2008-08-12 | Precursors for depositing silicon-containing films and methods for making and using same |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2009184479A Division JP5587572B2 (ja) | 2008-08-12 | 2009-08-07 | シリコン含有膜堆積用の前駆体及びその製造及び使用方法 |
Related Child Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2016078162A Division JP6290961B2 (ja) | 2008-08-12 | 2016-04-08 | シリコン含有膜堆積用の前駆体及びその製造及び使用方法 |
JP2017163063A Division JP2017210485A (ja) | 2008-08-12 | 2017-08-28 | シリコン含有膜堆積用の前駆体及びその製造及び使用方法 |
Publications (1)
Publication Number | Publication Date |
---|---|
JP2014177471A true JP2014177471A (ja) | 2014-09-25 |
Family
ID=41354086
Family Applications (4)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2009184479A Expired - Fee Related JP5587572B2 (ja) | 2008-08-12 | 2009-08-07 | シリコン含有膜堆積用の前駆体及びその製造及び使用方法 |
JP2014096335A Withdrawn JP2014177471A (ja) | 2008-08-12 | 2014-05-07 | シリコン含有膜堆積用の前駆体及びその製造及び使用方法 |
JP2016078162A Expired - Fee Related JP6290961B2 (ja) | 2008-08-12 | 2016-04-08 | シリコン含有膜堆積用の前駆体及びその製造及び使用方法 |
JP2017163063A Pending JP2017210485A (ja) | 2008-08-12 | 2017-08-28 | シリコン含有膜堆積用の前駆体及びその製造及び使用方法 |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2009184479A Expired - Fee Related JP5587572B2 (ja) | 2008-08-12 | 2009-08-07 | シリコン含有膜堆積用の前駆体及びその製造及び使用方法 |
Family Applications After (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2016078162A Expired - Fee Related JP6290961B2 (ja) | 2008-08-12 | 2016-04-08 | シリコン含有膜堆積用の前駆体及びその製造及び使用方法 |
JP2017163063A Pending JP2017210485A (ja) | 2008-08-12 | 2017-08-28 | シリコン含有膜堆積用の前駆体及びその製造及び使用方法 |
Country Status (6)
Country | Link |
---|---|
US (1) | US8129555B2 (ja) |
EP (2) | EP2644609B1 (ja) |
JP (4) | JP5587572B2 (ja) |
KR (2) | KR20100020440A (ja) |
CN (1) | CN101648964A (ja) |
TW (1) | TWI374887B (ja) |
Families Citing this family (410)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7875556B2 (en) | 2005-05-16 | 2011-01-25 | Air Products And Chemicals, Inc. | Precursors for CVD silicon carbo-nitride and silicon nitride films |
US8357435B2 (en) * | 2008-05-09 | 2013-01-22 | Applied Materials, Inc. | Flowable dielectric equipment and processes |
US8129555B2 (en) * | 2008-08-12 | 2012-03-06 | Air Products And Chemicals, Inc. | Precursors for depositing silicon-containing films and methods for making and using same |
JP4638550B2 (ja) | 2008-09-29 | 2011-02-23 | 東京エレクトロン株式会社 | マスクパターンの形成方法、微細パターンの形成方法及び成膜装置 |
US20100081293A1 (en) * | 2008-10-01 | 2010-04-01 | Applied Materials, Inc. | Methods for forming silicon nitride based film or silicon carbon based film |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US8189364B2 (en) | 2008-12-17 | 2012-05-29 | Qs Semiconductor Australia Pty Ltd. | Charge retention structures and techniques for implementing charge controlled resistors in memory cells and arrays of memory |
JP5705751B2 (ja) * | 2009-03-10 | 2015-04-22 | レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード | low−kシリル化用の環式アミノ化合物 |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8511281B2 (en) * | 2009-07-10 | 2013-08-20 | Tula Technology, Inc. | Skip fire engine control |
US8980382B2 (en) * | 2009-12-02 | 2015-03-17 | Applied Materials, Inc. | Oxygen-doping for non-carbon radical-component CVD films |
US8741788B2 (en) * | 2009-08-06 | 2014-06-03 | Applied Materials, Inc. | Formation of silicon oxide using non-carbon flowable CVD processes |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US20110042685A1 (en) * | 2009-08-18 | 2011-02-24 | Qs Semiconductor Australia Pty Ltd | Substrates and methods of fabricating epitaxial silicon carbide structures with sequential emphasis |
US8449942B2 (en) * | 2009-11-12 | 2013-05-28 | Applied Materials, Inc. | Methods of curing non-carbon flowable CVD films |
US20110159213A1 (en) * | 2009-12-30 | 2011-06-30 | Applied Materials, Inc. | Chemical vapor deposition improvements through radical-component modification |
CN102687252A (zh) | 2009-12-30 | 2012-09-19 | 应用材料公司 | 以可变的氮/氢比所制造的自由基来生长介电薄膜的方法 |
US8329262B2 (en) | 2010-01-05 | 2012-12-11 | Applied Materials, Inc. | Dielectric film formation using inert gas excitation |
CN102754193A (zh) * | 2010-01-06 | 2012-10-24 | 应用材料公司 | 使用氧化物衬垫的可流动电介质 |
JP2013516788A (ja) | 2010-01-07 | 2013-05-13 | アプライド マテリアルズ インコーポレイテッド | ラジカル成分cvd用のインサイチュオゾン硬化 |
US8236708B2 (en) * | 2010-03-09 | 2012-08-07 | Applied Materials, Inc. | Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor |
TWI498447B (zh) * | 2010-04-01 | 2015-09-01 | Air Liquide | 使用胺基金屬與鹵化金屬前驅物組合之含金屬氮化物之薄膜沈積 |
US9373500B2 (en) | 2014-02-21 | 2016-06-21 | Lam Research Corporation | Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications |
US9257274B2 (en) | 2010-04-15 | 2016-02-09 | Lam Research Corporation | Gapfill of variable aspect ratio features with a composite PEALD and PECVD method |
US9287113B2 (en) | 2012-11-08 | 2016-03-15 | Novellus Systems, Inc. | Methods for depositing films on sensitive substrates |
US8637411B2 (en) | 2010-04-15 | 2014-01-28 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US9997357B2 (en) | 2010-04-15 | 2018-06-12 | Lam Research Corporation | Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors |
US9892917B2 (en) | 2010-04-15 | 2018-02-13 | Lam Research Corporation | Plasma assisted atomic layer deposition of multi-layer films for patterning applications |
US9285168B2 (en) | 2010-10-05 | 2016-03-15 | Applied Materials, Inc. | Module for ozone cure and post-cure moisture treatment |
US8664127B2 (en) | 2010-10-15 | 2014-03-04 | Applied Materials, Inc. | Two silicon-containing precursors for gapfill enhancing dielectric liner |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8450191B2 (en) | 2011-01-24 | 2013-05-28 | Applied Materials, Inc. | Polysilicon films by HDP-CVD |
JP5624492B2 (ja) * | 2011-02-10 | 2014-11-12 | 大陽日酸株式会社 | シリコン含有前駆体の活性化エネルギーの算出方法、安定性評価方法、及び選定方法 |
US8716154B2 (en) | 2011-03-04 | 2014-05-06 | Applied Materials, Inc. | Reduced pattern loading using silicon oxide multi-layers |
US8647993B2 (en) * | 2011-04-11 | 2014-02-11 | Novellus Systems, Inc. | Methods for UV-assisted conformal film deposition |
US8445078B2 (en) | 2011-04-20 | 2013-05-21 | Applied Materials, Inc. | Low temperature silicon oxide conversion |
US8771807B2 (en) * | 2011-05-24 | 2014-07-08 | Air Products And Chemicals, Inc. | Organoaminosilane precursors and methods for making and using same |
US8466073B2 (en) | 2011-06-03 | 2013-06-18 | Applied Materials, Inc. | Capping layer for reduced outgassing |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US9070758B2 (en) * | 2011-06-20 | 2015-06-30 | Imec | CMOS compatible method for manufacturing a HEMT device and the HEMT device thereof |
US9793148B2 (en) | 2011-06-22 | 2017-10-17 | Asm Japan K.K. | Method for positioning wafers in multiple wafer transport |
JP2013008828A (ja) * | 2011-06-24 | 2013-01-10 | Taiyo Nippon Sanso Corp | シリコン絶縁膜の形成方法 |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US9404178B2 (en) | 2011-07-15 | 2016-08-02 | Applied Materials, Inc. | Surface treatment and deposition for reduced outgassing |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US8617989B2 (en) | 2011-09-26 | 2013-12-31 | Applied Materials, Inc. | Liner property improvement |
US8551891B2 (en) | 2011-10-04 | 2013-10-08 | Applied Materials, Inc. | Remote plasma burn-in |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US8912101B2 (en) * | 2012-03-15 | 2014-12-16 | Asm Ip Holding B.V. | Method for forming Si-containing film using two precursors by ALD |
US8946830B2 (en) | 2012-04-04 | 2015-02-03 | Asm Ip Holdings B.V. | Metal oxide protective layer for a semiconductor device |
US9938303B2 (en) | 2012-07-20 | 2018-04-10 | American Air Liquide, Inc. | Organosilane precursors for ALD/CVD silicon-containing film applications |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
KR101380317B1 (ko) * | 2012-08-31 | 2014-04-04 | 주식회사 유진테크 머티리얼즈 | 실리콘 원자 및 금속 원자에 대한 친화성이 우수한 고리형 아미노실란 화합물, 이의 제조방법 및 이의 응용 |
US8889566B2 (en) | 2012-09-11 | 2014-11-18 | Applied Materials, Inc. | Low cost flowable dielectric films |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9324811B2 (en) | 2012-09-26 | 2016-04-26 | Asm Ip Holding B.V. | Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US9640416B2 (en) | 2012-12-26 | 2017-05-02 | Asm Ip Holding B.V. | Single-and dual-chamber module-attachable wafer-handling chamber |
US9018108B2 (en) | 2013-01-25 | 2015-04-28 | Applied Materials, Inc. | Low shrinkage dielectric films |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9824881B2 (en) | 2013-03-14 | 2017-11-21 | Asm Ip Holding B.V. | Si precursors for deposition of SiN at low temperatures |
US9564309B2 (en) * | 2013-03-14 | 2017-02-07 | Asm Ip Holding B.V. | Si precursors for deposition of SiN at low temperatures |
KR102106885B1 (ko) * | 2013-03-15 | 2020-05-06 | 삼성전자 주식회사 | 실리콘 산화막 증착용 전구체 조성물 및 상기 전구체 조성물을 이용한 반도체 소자 제조 방법 |
CN103938181B (zh) * | 2013-05-30 | 2016-09-14 | 南京理工大学泰州科技学院 | 一种硅基氮氧化合物薄膜的制备方法 |
US9796739B2 (en) * | 2013-06-26 | 2017-10-24 | Versum Materials Us, Llc | AZA-polysilane precursors and methods for depositing films comprising same |
US8993054B2 (en) | 2013-07-12 | 2015-03-31 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
US9382268B1 (en) | 2013-07-19 | 2016-07-05 | American Air Liquide, Inc. | Sulfur containing organosilane precursors for ALD/CVD silicon-containing film applications |
TW201509799A (zh) | 2013-07-19 | 2015-03-16 | Air Liquide | 用於ald/cvd含矽薄膜應用之六配位含矽前驅物 |
US9018111B2 (en) | 2013-07-22 | 2015-04-28 | Asm Ip Holding B.V. | Semiconductor reaction chamber with plasma capabilities |
US9793115B2 (en) | 2013-08-14 | 2017-10-17 | Asm Ip Holding B.V. | Structures and devices including germanium-tin films and methods of forming same |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9556516B2 (en) | 2013-10-09 | 2017-01-31 | ASM IP Holding B.V | Method for forming Ti-containing film by PEALD using TDMAT or TDEAT |
US9576790B2 (en) | 2013-10-16 | 2017-02-21 | Asm Ip Holding B.V. | Deposition of boron and carbon containing materials |
US9362109B2 (en) | 2013-10-16 | 2016-06-07 | Asm Ip Holding B.V. | Deposition of boron and carbon containing materials |
US20150140833A1 (en) * | 2013-11-18 | 2015-05-21 | Applied Materials, Inc. | Method of depositing a low-temperature, no-damage hdp sic-like film with high wet etch resistance |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
US9401273B2 (en) | 2013-12-11 | 2016-07-26 | Asm Ip Holding B.V. | Atomic layer deposition of silicon carbon nitride based materials |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US9447498B2 (en) | 2014-03-18 | 2016-09-20 | Asm Ip Holding B.V. | Method for performing uniform processing in gas system-sharing multiple reaction chambers |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9404587B2 (en) | 2014-04-24 | 2016-08-02 | ASM IP Holding B.V | Lockout tagout for semiconductor vacuum valve |
US9412581B2 (en) | 2014-07-16 | 2016-08-09 | Applied Materials, Inc. | Low-K dielectric gapfill by flowable deposition |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9543180B2 (en) | 2014-08-01 | 2017-01-10 | Asm Ip Holding B.V. | Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US9576792B2 (en) | 2014-09-17 | 2017-02-21 | Asm Ip Holding B.V. | Deposition of SiN |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
KR102300403B1 (ko) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US9564312B2 (en) | 2014-11-24 | 2017-02-07 | Lam Research Corporation | Selective inhibition in atomic layer deposition of silicon-containing films |
US10570513B2 (en) | 2014-12-13 | 2020-02-25 | American Air Liquide, Inc. | Organosilane precursors for ALD/CVD silicon-containing film applications and methods of using the same |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US9478415B2 (en) | 2015-02-13 | 2016-10-25 | Asm Ip Holding B.V. | Method for forming film having low resistance and shallow junction depth |
US10421766B2 (en) * | 2015-02-13 | 2019-09-24 | Versum Materials Us, Llc | Bisaminoalkoxysilane compounds and methods for using same to deposit silicon-containing films |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10566187B2 (en) | 2015-03-20 | 2020-02-18 | Lam Research Corporation | Ultrathin atomic layer deposition film accuracy thickness control |
US11001599B2 (en) | 2015-03-23 | 2021-05-11 | Gelest Technologies, Inc. | N-alkyl substituted cyclic and oligomeric perhydridosilazanes, methods of preparation thereof, and silicon nitride films formed therefrom |
TWI716333B (zh) * | 2015-03-30 | 2021-01-11 | 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 | 碳矽烷與氨、胺類及脒類之觸媒去氫耦合 |
US9815858B2 (en) | 2015-06-16 | 2017-11-14 | Gelest Technologies, Inc. | Hydridosilapyrroles, hydridosilaazapyrroles, thiasilacyclopentanes, method for preparation thereof, and reaction products therefrom |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US9899291B2 (en) | 2015-07-13 | 2018-02-20 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
US10410857B2 (en) | 2015-08-24 | 2019-09-10 | Asm Ip Holding B.V. | Formation of SiN thin films |
US9711345B2 (en) | 2015-08-25 | 2017-07-18 | Asm Ip Holding B.V. | Method for forming aluminum nitride-based film by PEALD |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US9909214B2 (en) | 2015-10-15 | 2018-03-06 | Asm Ip Holding B.V. | Method for depositing dielectric film in trenches by PEALD |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
US9520284B1 (en) * | 2015-11-13 | 2016-12-13 | Varian Semiconductor Equipment Associates, Inc. | Ion beam activated directional deposition |
US9905420B2 (en) | 2015-12-01 | 2018-02-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium tin films and structures and devices including the films |
US9607837B1 (en) | 2015-12-21 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming silicon oxide cap layer for solid state diffusion process |
WO2017112732A1 (en) * | 2015-12-21 | 2017-06-29 | Versum Materials Us, Llc | Compositions and methods using same for deposition of silicon-containing film |
US9735024B2 (en) | 2015-12-28 | 2017-08-15 | Asm Ip Holding B.V. | Method of atomic layer etching using functional group-containing fluorocarbon |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10283348B2 (en) * | 2016-01-20 | 2019-05-07 | Versum Materials Us, Llc | High temperature atomic layer deposition of silicon-containing films |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US9754779B1 (en) | 2016-02-19 | 2017-09-05 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
KR102378021B1 (ko) * | 2016-05-06 | 2022-03-23 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 박막의 형성 |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US9773643B1 (en) | 2016-06-30 | 2017-09-26 | Lam Research Corporation | Apparatus and method for deposition and etch in gap fill |
US10062563B2 (en) | 2016-07-01 | 2018-08-28 | Lam Research Corporation | Selective atomic layer deposition with post-dose treatment |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
US10381226B2 (en) | 2016-07-27 | 2019-08-13 | Asm Ip Holding B.V. | Method of processing substrate |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10037884B2 (en) | 2016-08-31 | 2018-07-31 | Lam Research Corporation | Selective atomic layer deposition for gapfill using sacrificial underlayer |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
KR20180070971A (ko) | 2016-12-19 | 2018-06-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10176984B2 (en) * | 2017-02-14 | 2019-01-08 | Lam Research Corporation | Selective deposition of silicon oxide |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
JP6812855B2 (ja) * | 2017-03-10 | 2021-01-13 | Jnc株式会社 | ジアルキルアミノシランの製造方法 |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
CN114875388A (zh) | 2017-05-05 | 2022-08-09 | Asm Ip 控股有限公司 | 用于受控形成含氧薄膜的等离子体增强沉积方法 |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US11056353B2 (en) | 2017-06-01 | 2021-07-06 | Asm Ip Holding B.V. | Method and structure for wet etch utilizing etch protection layer comprising boron and carbon |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
US10269559B2 (en) | 2017-09-13 | 2019-04-23 | Lam Research Corporation | Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
JP7206265B2 (ja) | 2017-11-27 | 2023-01-17 | エーエスエム アイピー ホールディング ビー.ブイ. | クリーン・ミニエンバイロメントを備える装置 |
JP7214724B2 (ja) | 2017-11-27 | 2023-01-30 | エーエスエム アイピー ホールディング ビー.ブイ. | バッチ炉で利用されるウェハカセットを収納するための収納装置 |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TW202325889A (zh) | 2018-01-19 | 2023-07-01 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
KR20200108016A (ko) | 2018-01-19 | 2020-09-16 | 에이에스엠 아이피 홀딩 비.브이. | 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
EP3737779A1 (en) | 2018-02-14 | 2020-11-18 | ASM IP Holding B.V. | A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
WO2019169335A1 (en) | 2018-03-02 | 2019-09-06 | Lam Research Corporation | Selective deposition using hydrolysis |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
KR102173384B1 (ko) * | 2018-03-30 | 2020-11-03 | 에스케이트리켐 주식회사 | SiO2 박막 형성용 전구체 및 이를 이용한 SiO2 박막 형성. |
US10580645B2 (en) | 2018-04-30 | 2020-03-03 | Asm Ip Holding B.V. | Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors |
TW202344708A (zh) | 2018-05-08 | 2023-11-16 | 荷蘭商Asm Ip私人控股有限公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
TWI816783B (zh) | 2018-05-11 | 2023-10-01 | 荷蘭商Asm 智慧財產控股公司 | 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11270899B2 (en) | 2018-06-04 | 2022-03-08 | Asm Ip Holding B.V. | Wafer handling chamber with moisture reduction |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
TWI819010B (zh) | 2018-06-27 | 2023-10-21 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法 |
US11499222B2 (en) | 2018-06-27 | 2022-11-15 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
KR20200002519A (ko) | 2018-06-29 | 2020-01-08 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US20200017970A1 (en) * | 2018-07-12 | 2020-01-16 | Lotus Applied Technology, Llc | Water-insensitive methods of forming metal oxide films and products related thereto |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
KR20200030162A (ko) | 2018-09-11 | 2020-03-20 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
CN110970344A (zh) | 2018-10-01 | 2020-04-07 | Asm Ip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102157137B1 (ko) * | 2018-11-30 | 2020-09-17 | 주식회사 한솔케미칼 | 실리콘 전구체 및 이를 이용한 실리콘 함유 박막의 제조방법 |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP2020096183A (ja) | 2018-12-14 | 2020-06-18 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
JP2020136678A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための方法および装置 |
KR20200102357A (ko) | 2019-02-20 | 2020-08-31 | 에이에스엠 아이피 홀딩 비.브이. | 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
JP2020136677A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための周期的堆積方法および装置 |
TW202100794A (zh) | 2019-02-22 | 2021-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108248A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141003A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 가스 감지기를 포함하는 기상 반응기 시스템 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP2021015791A (ja) | 2019-07-09 | 2021-02-12 | エーエスエム アイピー ホールディング ビー.ブイ. | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
JP2021019198A (ja) | 2019-07-19 | 2021-02-15 | エーエスエム・アイピー・ホールディング・ベー・フェー | トポロジー制御されたアモルファスカーボンポリマー膜の形成方法 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
KR20210018759A (ko) | 2019-08-05 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 화학물질 공급원 용기를 위한 액체 레벨 센서 |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
US10988490B1 (en) | 2019-10-03 | 2021-04-27 | Entegris, Inc. | Triiodosilylamine precursor compounds |
TW202129060A (zh) | 2019-10-08 | 2021-08-01 | 荷蘭商Asm Ip控股公司 | 基板處理裝置、及基板處理方法 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
KR20210045930A (ko) | 2019-10-16 | 2021-04-27 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 산화물의 토폴로지-선택적 막의 형성 방법 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
WO2021097022A1 (en) * | 2019-11-12 | 2021-05-20 | Applied Materials, Inc. | Silyl pseudohalides for silicon containing films |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
KR20210065848A (ko) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP2021090042A (ja) | 2019-12-02 | 2021-06-10 | エーエスエム アイピー ホールディング ビー.ブイ. | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN112992667A (zh) | 2019-12-17 | 2021-06-18 | Asm Ip私人控股有限公司 | 形成氮化钒层的方法和包括氮化钒层的结构 |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
KR20210095050A (ko) | 2020-01-20 | 2021-07-30 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
KR20210100010A (ko) | 2020-02-04 | 2021-08-13 | 에이에스엠 아이피 홀딩 비.브이. | 대형 물품의 투과율 측정을 위한 방법 및 장치 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
US11492364B2 (en) * | 2020-03-31 | 2022-11-08 | Entegris, Inc. | Silicon hydrazido precursor compounds |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
KR20210132605A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리 |
US11898243B2 (en) | 2020-04-24 | 2024-02-13 | Asm Ip Holding B.V. | Method of forming vanadium nitride-containing layer |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR20220010438A (ko) | 2020-07-17 | 2022-01-25 | 에이에스엠 아이피 홀딩 비.브이. | 포토리소그래피에 사용하기 위한 구조체 및 방법 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
TW202212623A (zh) | 2020-08-26 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
KR20220076343A (ko) | 2020-11-30 | 2022-06-08 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터 |
CN114639631A (zh) | 2020-12-16 | 2022-06-17 | Asm Ip私人控股有限公司 | 跳动和摆动测量固定装置 |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
US11658025B2 (en) | 2021-01-18 | 2023-05-23 | Applied Materials, Inc. | Chalcogen precursors for deposition of silicon nitride |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
Citations (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4736046A (en) * | 1985-12-23 | 1988-04-05 | The Dow Chemical Company | β-isocyanato organosilanes |
JP2004228585A (ja) * | 2003-01-23 | 2004-08-12 | Air Products & Chemicals Inc | ケイ素含有膜を付着させるための前駆体およびそのプロセス |
JP2005213633A (ja) * | 2004-02-02 | 2005-08-11 | L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude | 化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法 |
US20060045986A1 (en) * | 2004-08-30 | 2006-03-02 | Hochberg Arthur K | Silicon nitride from aminosilane using PECVD |
JP2006225764A (ja) * | 2005-02-14 | 2006-08-31 | Air Products & Chemicals Inc | 循環堆積による金属ケイ素窒化物膜の調製 |
JP5587572B2 (ja) * | 2008-08-12 | 2014-09-10 | エア プロダクツ アンド ケミカルズ インコーポレイテッド | シリコン含有膜堆積用の前駆体及びその製造及び使用方法 |
Family Cites Families (12)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2637265B2 (ja) | 1990-06-28 | 1997-08-06 | 株式会社東芝 | 窒化珪素膜の形成方法 |
JPH06132284A (ja) | 1992-10-22 | 1994-05-13 | Kawasaki Steel Corp | 半導体装置の保護膜形成方法 |
US5429673A (en) | 1993-10-01 | 1995-07-04 | Silicon Resources, Inc. | Binary vapor adhesion promoters and methods of using the same |
US5874368A (en) | 1997-10-02 | 1999-02-23 | Air Products And Chemicals, Inc. | Silicon nitride from bis(tertiarybutylamino)silane |
US7005392B2 (en) | 2001-03-30 | 2006-02-28 | Advanced Technology Materials, Inc. | Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same |
US6391803B1 (en) * | 2001-06-20 | 2002-05-21 | Samsung Electronics Co., Ltd. | Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane |
WO2004010467A2 (en) * | 2002-07-19 | 2004-01-29 | Aviza Technology, Inc. | Low temperature dielectric deposition using aminosilane and ozone |
US20060178019A1 (en) | 2002-08-18 | 2006-08-10 | Aviza Technology, Inc. | Low temperature deposition of silicon oxides and oxynitrides |
US7332618B2 (en) * | 2004-09-28 | 2008-02-19 | Praxair Technology, Inc. | Organometallic precursor compounds |
US7875556B2 (en) * | 2005-05-16 | 2011-01-25 | Air Products And Chemicals, Inc. | Precursors for CVD silicon carbo-nitride and silicon nitride films |
JP4554446B2 (ja) * | 2005-06-21 | 2010-09-29 | ルネサスエレクトロニクス株式会社 | 半導体装置の製造方法 |
US7875312B2 (en) * | 2006-05-23 | 2011-01-25 | Air Products And Chemicals, Inc. | Process for producing silicon oxide films for organoaminosilane precursors |
-
2008
- 2008-08-12 US US12/190,125 patent/US8129555B2/en not_active Expired - Fee Related
-
2009
- 2009-08-06 EP EP13173925.2A patent/EP2644609B1/en not_active Not-in-force
- 2009-08-06 EP EP09167403.6A patent/EP2154141B1/en not_active Not-in-force
- 2009-08-07 JP JP2009184479A patent/JP5587572B2/ja not_active Expired - Fee Related
- 2009-08-10 TW TW098126843A patent/TWI374887B/zh not_active IP Right Cessation
- 2009-08-11 KR KR1020090073983A patent/KR20100020440A/ko not_active Application Discontinuation
- 2009-08-12 CN CN200910166911A patent/CN101648964A/zh active Pending
-
2012
- 2012-04-26 KR KR1020120043777A patent/KR101470067B1/ko active IP Right Grant
-
2014
- 2014-05-07 JP JP2014096335A patent/JP2014177471A/ja not_active Withdrawn
-
2016
- 2016-04-08 JP JP2016078162A patent/JP6290961B2/ja not_active Expired - Fee Related
-
2017
- 2017-08-28 JP JP2017163063A patent/JP2017210485A/ja active Pending
Patent Citations (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4736046A (en) * | 1985-12-23 | 1988-04-05 | The Dow Chemical Company | β-isocyanato organosilanes |
JP2004228585A (ja) * | 2003-01-23 | 2004-08-12 | Air Products & Chemicals Inc | ケイ素含有膜を付着させるための前駆体およびそのプロセス |
JP2005213633A (ja) * | 2004-02-02 | 2005-08-11 | L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude | 化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法 |
US20060045986A1 (en) * | 2004-08-30 | 2006-03-02 | Hochberg Arthur K | Silicon nitride from aminosilane using PECVD |
JP2006225764A (ja) * | 2005-02-14 | 2006-08-31 | Air Products & Chemicals Inc | 循環堆積による金属ケイ素窒化物膜の調製 |
JP5587572B2 (ja) * | 2008-08-12 | 2014-09-10 | エア プロダクツ アンド ケミカルズ インコーポレイテッド | シリコン含有膜堆積用の前駆体及びその製造及び使用方法 |
Non-Patent Citations (8)
Title |
---|
CHEMICAL ABSTRACTS, vol. 80, no. 3, JPN6012026370, pages 440 - 1974, ISSN: 0003064672 * |
CHEMICAL ABSTRACTS, vol. 86, no. 21, JPN6012026368, pages 482 - 1977, ISSN: 0003064671 * |
JOURNAL OF FLUORINE CHEMISTRY, vol. Vol.64, No.1-2, JPN6012026363, pages 95 - 99, ISSN: 0003064668 * |
MAIN GROUP METAL CHEMISTRY, vol. 24, no. 7, JPN6012026373, pages 409 - 411, ISSN: 0003064674 * |
PHOSPHORUS, SULFUR AND SILICONE AND THE RELATED ELEMENTS, vol. Vol.79, No.1-4, JPN6012026364, pages 65 - 68, ISSN: 0003064669 * |
SYNLETT, JPN6012026366, pages 1617 - 1619, ISSN: 0003064670 * |
SYNTHESIS AND REACTIVITY IN INORGANIC AND METAL-ORGANIC CHEMISTRY, vol. 33, no. 10, JPN6012026372, pages 1825 - 1834, ISSN: 0003064673 * |
TETRAHEDRON, vol. 62, no. 17, JPN6012026375, pages 4071 - 4080, ISSN: 0003064675 * |
Also Published As
Publication number | Publication date |
---|---|
EP2644609B1 (en) | 2015-07-08 |
KR20120052214A (ko) | 2012-05-23 |
EP2644609A2 (en) | 2013-10-02 |
US8129555B2 (en) | 2012-03-06 |
TWI374887B (en) | 2012-10-21 |
JP2017210485A (ja) | 2017-11-30 |
EP2154141B1 (en) | 2016-06-15 |
TW201006842A (en) | 2010-02-16 |
US20100041243A1 (en) | 2010-02-18 |
JP5587572B2 (ja) | 2014-09-10 |
EP2154141A2 (en) | 2010-02-17 |
KR20100020440A (ko) | 2010-02-22 |
KR101470067B1 (ko) | 2014-12-10 |
CN101648964A (zh) | 2010-02-17 |
EP2644609A3 (en) | 2013-11-06 |
JP2010043081A (ja) | 2010-02-25 |
JP6290961B2 (ja) | 2018-03-07 |
EP2154141A3 (en) | 2011-05-25 |
JP2016166421A (ja) | 2016-09-15 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP6290961B2 (ja) | シリコン含有膜堆積用の前駆体及びその製造及び使用方法 | |
JP7320544B2 (ja) | Si含有膜形成組成物およびその使用方法 | |
JP6325613B2 (ja) | 化学気相成長用組成物 | |
JP5149273B2 (ja) | 化学気相堆積による窒化珪素膜及び/又はシリコンオキシナイトライド膜の堆積方法 | |
TWI386414B (zh) | 包含碳氮化矽及氧碳氮化矽薄膜之含矽薄膜之低溫化學氣相沉積用組成物及方法 | |
JP2010147485A5 (ja) | アミノシラン、シリコン含有膜の形成用前駆体、シリコン含有膜の形成用組成物 | |
EP1713953A2 (en) | Method for producing silicon nitride films and silicon oxynitride films by chemical vapor deposition | |
TW202035430A (zh) | 用於含矽膜的組合物及使用其的方法 | |
EP3307744B1 (en) | Vapor deposition processes for forming silicon- and oxygen-containing thin films | |
JP7164789B2 (ja) | 550℃以上の温度でALDを使用してSi含有膜を堆積させるための前駆体及びプロセス | |
EP3307745B1 (en) | Vapor deposition processes for forming silicon- and nitrogen-containing thin films |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A132 Effective date: 20150512 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20150805 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20151208 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20160408 |
|
A911 | Transfer to examiner for re-examination before appeal (zenchi) |
Free format text: JAPANESE INTERMEDIATE CODE: A911 Effective date: 20160418 |
|
A912 | Re-examination (zenchi) completed and case transferred to appeal board |
Free format text: JAPANESE INTERMEDIATE CODE: A912 Effective date: 20160513 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20170530 |
|
A711 | Notification of change in applicant |
Free format text: JAPANESE INTERMEDIATE CODE: A711 Effective date: 20170711 |
|
A761 | Written withdrawal of application |
Free format text: JAPANESE INTERMEDIATE CODE: A761 Effective date: 20170912 |