JP6290961B2 - シリコン含有膜堆積用の前駆体及びその製造及び使用方法 - Google Patents

シリコン含有膜堆積用の前駆体及びその製造及び使用方法 Download PDF

Info

Publication number
JP6290961B2
JP6290961B2 JP2016078162A JP2016078162A JP6290961B2 JP 6290961 B2 JP6290961 B2 JP 6290961B2 JP 2016078162 A JP2016078162 A JP 2016078162A JP 2016078162 A JP2016078162 A JP 2016078162A JP 6290961 B2 JP6290961 B2 JP 6290961B2
Authority
JP
Japan
Prior art keywords
silicon
aminosilane
deposition
precursor
precursors
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2016078162A
Other languages
English (en)
Other versions
JP2016166421A (ja
Inventor
チェン ハンソン
チェン ハンソン
マンチャオ シャオ
シャオ マンチャオ
サンカー ラル ガウリ
サンカー ラル ガウリ
リチャード ガフニー トーマス
リチャード ガフニー トーマス
チョウ チェンガン
チョウ チェンガン
ウー ジンピン
ウー ジンピン
Original Assignee
バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー
バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー, バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー filed Critical バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー
Publication of JP2016166421A publication Critical patent/JP2016166421A/ja
Application granted granted Critical
Publication of JP6290961B2 publication Critical patent/JP6290961B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/10Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/025Silicon compounds without C-silicon linkages
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/42Silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

本明細書には、前駆体、特に、限定されないが窒化ケイ素、酸化ケイ素、シリコンカーボナイトライド、および酸窒化ケイ素を含む、シリコン含有膜の堆積に用いられるアミノシラン前駆体が記載されている。本明細書では、1つの態様においてアミノシラン前駆体の製造方法が記載されている。さらに他の態様において、本明細書では集積回路素子製造におけるシリコン含有誘電膜を堆積させるためのアミノシラン前駆体の使用方法が記載されている。これら又は他の態様において、アミノシラン前駆体は、限定されないが原子層成長(“ALD”)、化学蒸着(“CVD”)、プラズマ化学気相成長法(“PECD”)、低圧化学蒸着(“LPCVD”)、および常圧化学蒸着を含む様々な堆積法に用いられ得る。
シリコン含有誘電体膜は半導体素子又は集積回路の製造において重要な役割を果たしている。半導体素子の製造において、化学的に不活性な誘電体材料、例えば窒化ケイ素の不動態薄層は必須であり得る。窒化ケイ素の1つ以上の薄層は素子内で、例えば拡散マスク又は拡散障壁、酸化障壁、トレンチ分離のためのゲート絶縁物、キャパシタ誘電体、高絶縁破壊電圧を有する金属間材料、および/又はパッシベーション層としての役割を果し得る。同様に、窒化ケイ素は金属酸化物半導体の側壁スペーサーとして素子、例えばグループIVおよび11−Vのトランジスタに単独で又は酸化ケイ素および/又は酸窒化ケイ素誘電体と組み合わせて用いられ得る。シリコン含有誘電体、例えば窒化ケイ素膜の他の応用は、例えば非特許文献1に見い出される。
いくつかの種類のシリコン含有化合物はシリコン含有膜、例えば窒化ケイ素膜の前駆体として用いられ得る。前駆体としての使用に適したこれらシリコン含有化合物の例として、シラン、クロロシラン、ポリシラザン、アミノシラン、およびアジドシランが挙げられる。不活性ガス又は希釈剤、例えば限定されないがヘリウム、水素、窒素などが同様に用いられる。
低圧化学蒸着(LPCVD)法は、シリコン含有膜の堆積のために半導体産業により用いられ幅広く受け入れられている方法の1つである。アンモニアを用いる低圧化学蒸着(LPCVD)は適度な成長速度および均一性を得るために750℃より高い堆積温度を必要とし得る。改良された膜特性を提供するために典型的にはさらに高い堆積温度が用いられる。窒化ケイ素又は他のシリコン含有膜を成長させるためのさらに一般的な工業的な方法の1つは前駆体のシラン、ジクロロシラン、および/又はアンモニアを用いて>750℃の温度の高温壁反応器での低圧化学蒸着による。しかしながら、この方法を用いるといくつかの欠点がある。例えば、ある種の前駆体、例えばシランおよびジクロロシランは自然発火性である。これは、取り扱いおよび使用におけるいくつかの問題を与える。同様に、シランおよびジクロロシランから堆積した膜はある種の不純物を含み得る。例えば、ジクロロシランを用いて堆積した膜はある種の不純物、例えば堆積工程の間に副生成物として形成される塩素および塩化アンモニウムを含み得る。シランを用いて堆積した膜は水素を含み得る。
特許文献1は一般式(RN)SiH4−nを有する有機シランを用いてアンモニア又は窒素の存在下のプラズマ化学気相成長又は熱的化学蒸着のいずれかによる窒化ケイ素膜の形成方法を記載している。これらの有機シラン前駆体は第3アミンでありNH結合を含まない。堆積実験は単一ウエハー反応器内で400℃、80〜100トールの範囲の圧力で行われた。
非特許文献2は、LPCVD法においてジクロロシランとアンモニアとを用いる窒化ケイ素の蒸着を記載している。この方法における主要な生成物はアミノクロロシラン、窒化ケイ素および塩化アンモニウムである。上記の通り、塩化アンモニウムの形成は、Si−Cl含有前駆体を用いる主要な欠点であり得る。塩化アンモニウムの形成は、チューブの後端並びに配管ライン及びポンプ装置における塩化アンモニウムの粒子形成及び堆積を招き得る。同様に、前駆体に塩素を含む方法はNHClの形成をもたらし得る。これらの方法は度々の清浄化が必要でありそして反応器の多くの停止時間をもたらし得る。
非特許文献3は、基材温度を200〜500℃に維持しつつ、500〜800℃の範囲のガス温度での均一CVD法によるシランとアンモニアとを用いた窒化ケイ素の蒸着を記載している。上記のように、前駆体としてのシランの使用は膜中に水素不純物を導入させ得る。
非特許文献4は、600〜700℃の範囲の温度を用いてLPCVD法によりジ第3ブチルシランとアンモニアとを用いる窒化ケイ素の蒸着を記載している。蒸着した窒化ケイ素膜はほぼ10原子量%の炭素不純物で汚染された。
非特許文献5は、350℃近くでのヘキサクロロジシランとヒドラジンとを用いるケイ素−窒素膜の低温蒸着を記載している。膜は空気中で不安定でありそしてゆっくりとケイ素−酸素膜に変化した。
非特許文献6は、ジエチルシランをアンモニアおよび酸化窒素とともに用いたLPCVDによる窒化ケイ素および酸窒化ケイ素膜の形成を記載している。蒸着は650℃〜700℃の温度範囲で行われる。蒸着は、低い温度では蒸着速度が4オングストローム/分以下に落ちるということで一般的には650℃の温度に制限される。LPCVD法では、直接Si−Cの炭素結合を含有する前駆体は膜に炭素汚染をもたらす。炭素を含まない蒸着は5:1より大きいNH対前駆体の比を必要とする。低いアンモニア濃度では、膜が炭素を含有することが見出された。ジエチルシランとアンモニアとのプロセスはウエハー全面での均一性を改良するために典型的にはフタ付のボート又は温度上昇を必要とする。
特許文献2(“869特許”)は、反応剤としてSi(N(CHとアンモニアとを用いた700℃そして0.5トールの圧力でのLPCVDによる窒化ケイ素の形成を記載している。同様に、アンモニア又は窒素と組み合わせた、SiH(N(CH、SiH(N(CHおよびSiH(N(CH)からなる群から選択される他の反応剤も反応剤として提案された。同様に、‘869特許は、紫外線ビームを放射することによってガスから又はガスを励起して生成したプラズマの使用による蒸着温度300℃までへの低減を開示している。
非特許文献7は、アミノシラン、例えばテトラキス(ジメチルアミノ)シランを含有する窒化ケイ素膜における炭素量を低減するための他の試みを開示している。この文献は、前駆体テトラキス(ジメチルアミド)シランSi(NMeとアンモニアを用いて600−750℃の範囲の蒸着温度でAPCVDによる窒化ケイ素膜の堆積を開示している。また、この文献は、アンモニアを用いないでSi(NMe4−nを用いた750℃の堆積温度での膜蒸着は低い成長速度および多量の炭素(22−30%の)と酸素(15−17%)との汚染で得られる膜をもたらしたことを教示している。
特許文献3(“368特許”)は、500〜800℃の温度範囲でのLPCVD法による窒化ケイ素を蒸着するためのビス(第3ブチルアミノ)シラン((t−CNH)SiH)とアンモニアとの使用を記載している。
窒化ケイ素膜の堆積に用いられる前駆体、例えばBTBASおよびクロロシランは通常550℃より高い温度で膜を堆積させる。半導体素子の微細化および低熱量の傾向はさらに低いプロセス温度とさらに高い堆積速度とを必要としている。窒化ケイ素膜が堆積される温度は、格子内での、特に金属化層を含む基材に対しておよび多くのIII−VおよびII−VI群の素子でのイオン拡散を防止するために低減しなければならない。現在、入手可能な窒化ケイ素前駆体のなかで、550℃未満の温度でCVD又はALDによって膜蒸着が起ることを可能とする化学的に十分活性的なものはない。従って、当業界では、550℃以下の温度で、CVD、ALD又は他の方法によって膜堆積を可能とする化学的に十分活性的である窒化ケイ素又は他のシリコン含有膜の堆積用前駆体を提供することが必要である。
特開平6−132284号公報 米国特許第5,234,869号明細書 米国特許第5,874,368号明細書
Gary E.McGuire編、Semiconductor and Process Technology Handbook、ニュージャージー州、Noyes Publication,(1988)、pp.289−301 Sorita et al.,Mass Spectrometric and Kinetic Study of Low−Pressure Chemical Vapor Deposition of Si3N4 Thin Films From SiH2Cl2 and NH3,J.Electro.Chem.Soc.,Vol.141、No.12(1994)、pp3505〜3511 B.A.Scott et al.,Preparation of Silicon Nitride with Good Interface Properties by Homogeneous Chemical Vapour Deposition、Chemtronics、1989,Vol.4、Dec.,pp.230−234 J.M.Grow et al.,Growth Kinetics and Characterization of Low pressure Chemically Vapor Deposited Si3N4 Films from (C4H9)2SiH2 and NH3, Materials Letters,23,(1995),pp.187〜193 W−C.Yeh,R.Ishihara,S.Moishita,and M.Matsumura,Japan.J.Appl.Phys.,35,(1996)pp.1509−1512 A.K.Hochberg and D.L.O’Meara,Diethysilane as a Silicon Source for the Deposition of Silicon Nitride and Silicon Oxynitride Films By LPCVD,Mat.Res.Soc.Symp.Proc.,Vol.204,(1991),pp.509−514 R.G.Gordon and D.M.Hoffman,Silicon Dimethylamido Complexes and Ammonia as Precursors for the Atmospheric Pressure Chemical Vapor Deposition of Silicon Nitride Thin Films,Chem.Mater.,Vol.2,(1990)pp480−482
本明細書に記載されるものは、シリコン含有膜の堆積に用いられるアミノシラン前駆体、前記アミノシラン前駆体の製造方法、および前記アミノシラン前駆体の、例えばシリコン含有膜の堆積での使用方法である。1つの態様において、シリコン含有膜を堆積させるためのものであって、次式(I):
(RN)SiR 4−n (I)
(式中、置換基RおよびRはそれぞれ独立に1〜20個の炭素原子を含むアルキル基および6〜30個の炭素原子を含むアリール基から選択され、置換基RおよびRの少なくとも1つはF、Cl、Br、I、CN、NO、PO(OR)、OR、RCOO、SO、SO、SORから選択される少なくとも1つの電子吸引性置換基を含みそして少なくとも1つの電子吸引性置換基におけるRはアルキル基又はアリール基から選択され、Rは水素原子、1〜20個の炭素原子を含むアルキル基、又は6〜12個の炭素原子を含むアリール基から選択され、そしてnは1〜4の範囲の数である。)から成るアミノシラン前駆体が提供される。
さらに他の態様において、化学蒸着法によって基材上にシリコン含有膜を堆積させる方法であって、
処理室内に基材を提供すること、そして
処理室にアミノシラン前駆体を、それを反応させそして基材上にシリコン含有膜を堆積させるのに十分な温度および圧力で導入すること、
を含み、その際にアミノシラン前駆体が次式(I):
(RN)SiR 4−n (I)
(式中、置換基RおよびRはそれぞれ独立に1〜20個の炭素原子を含むアルキル基および6〜30個の炭素原子を含むアリール基から選択され、置換基RおよびRの少なくとも1つはF、Cl、Br、I、CN、NO、PO(OR)、OR、RCOO、SO、SO、SORから選択される少なくとも1つの電子吸引性置換基を含みそして少なくとも1つの電子吸引性置換基におけるRはアルキル基又はアリール基から選択され、Rは水素原子、1〜20個の炭素原子を含むアルキル基、又は6〜12個の炭素原子を含むアリール基から選択され、そしてnは1〜4の範囲の数である。)により表される方法が提供される。
さらなる態様において、シリコン含有膜を堆積させるためのものであって、次式(II):
AnSiR 4−n (II)
(式中、Aは次の(a)〜(j)のアミノ基群から選択される少なくとも1つの基で、Rは1〜20個の炭素原子を含むアルキル基又は6〜12個の炭素原子を含むアリール基から選択され、nは1〜4の範囲の数である。)から成るアミノシラン前駆体が提供される。
Figure 0006290961
図1は、本明細書に記載の特定のアミノシラン前駆体1〜6に対する実験的に測定した堆積温度と活性化障壁レベルとの相関を示すグラフである。
図2は、本明細書に記載のアミノシラン前駆体1〜6に対する実験的に測定した堆積温度と算出した反応熱との相関を示すグラフである。
図3は、本明細書に記載のアミノシラン前駆体1〜6に対する活性化障壁レベルと算出した反応熱との相関を示すグラフである。
図4は、アミノシラン前駆体のビス(第3ブチルアミノ)シランに対するおよびビス(第3ブチルアミノ)シラン前駆体内の1個、2個、又は3個のメチル基を実施例1に記載のように少なくとも1個の電子吸引性基CFで置換したアミノシラン前駆体に対する1モル当たりのキロカロリー(kcal/mol)で表示した算出反応エネルギーを示すグラフである。
図5は、アミノシラン前駆体のビス(第3ブチルアミノ)シランに対するおよびビス(第3ブチルアミノ)シラン前駆体内の1個、2個、又は3個のメチル基を実施例2に記載のように少なくとも1個の電子吸引性基CNで置換したアミノシラン前駆体に対するkcal/molで表示した算出反応エネルギーを示すグラフである。
本明細書に開示されているものは、例えば窒化ケイ素又は他のシリコンおよび/又は窒素含有膜の化学蒸着に用いられ得るアミノシラン類である。これらのアミノシラン前駆体は、550℃以下の温度でシリコン含有膜、例えば窒化ケイ素膜の堆積を可能にし得る前駆体を提供することによって当業界における少なくとも1つの要求を満足する。本明細書に記載のアミノシラン前駆体は少なくとも1つの電子吸引性置換基を含有する。前駆体に少なくとも1つの電子吸引性置換基が存在するとアミノシラン前駆体の堆積に関して反応エネルギー、活性化エネルギー、又はそれらの両方の低減を引き起こすと考えられる。特定の1つの態様において、反応エネルギーは本明細書では式(2)で規定される。この又は他の態様において、反応エネルギーと活性化エネルギーとの相関は図3で示される。反応エネルギー、活性化エネルギー、又はそれらの両方の低減は、少なくとも1つの電子吸引性置換基を含有しない類似のアミノシラン前駆体に比べて本明細書に記載の前駆体が化学的により反応性であることを可能とし得ると考えられる。より好適なエネルギー論の結果として、アミノシラン前駆体はシリコン含有膜、例えば窒化ケイ素膜をより低い堆積温度(例えば、550℃以下)で堆積させるために用いられ得る。
1つの態様において、一般式(I)を有するアミノシランが提供される。
(RN)SiR 4−n (I)
式(I)において、置換基RおよびRはそれぞれ独立に1〜20個の炭素原子を含むアルキル基および6〜30個の炭素原子を含むアリール基から選択され、置換基RおよびRの少なくとも1つはF、Cl、Br、I、CN、NO、PO(OR)、OR、RCOO、SO、SO、SORから選択される少なくとも1つの電子吸引性基を含み、そして少なくとも1つの電子吸引性置換基中のRはアルキル基又はアリール基から選択され、RはH原子、1〜20個の炭素原子を含むアルキル基、又は6〜12個の炭素原子を含むアリール基から選択され、そしてnは1〜4の範囲の数である。本明細書で用いられるとき、用語“アルキル基”とは1〜20個、又は1〜12個、又は1〜6個の炭素原子を有する置換又は非置換のアルキル基を言いそして直鎖、分岐又は環状の基を含み得る。適したアルキル基の例として、限定されないが、メチル、エチル、イソプロピル、sec−ブチル、tert−ブチル、tert−アミル、n−ペンチル、n−ヘキシル、シクロプロピル、シクロペンチル、およびシクロヘキシルが挙げられる。本明細書で用いられるとき、用語“アリール”基とは6〜30個又は6〜12個又は6〜10個の炭素原子を有する置換又は非置換のアリール基を言う。アリール基の例として、限定されないが、フェニル、ベンジル、トリル、メシチル、およびキシリルが挙げられる。
式(I)から選択される電子吸引性置換基を有するアミノシランの更なる例は式(II):
SiR 4−n (II)
として示される。式(II)において、Aは次のアミノ基(a)〜(j)から選択され、Rは1〜20個の炭素原子を含むアルキル基又は6〜12個の炭素原子を含むアリール基から選択され、nは1〜4の範囲の数である。アミノ基(a)〜(j)は示されるようにSi原子に結合されている。
Figure 0006290961
特定の態様において、R、R、R、R、Rの任意の1つ又はすべておよび少なくとも1つの電子吸引性置換基は置換されている。この又は他の態様において、R、R、R、R、Rの任意の1つ又はすべておよび少なくとも1つの電子吸引性置換基はヘテロ原子、例えば、限定されないが、N、S、P、Oで置換されている。他の態様において、R、R、R、R、Rの任意の1つ又はすべておよび少なくとも1つの電子吸引性置換基は非置換である。
本明細書で用いられるとき、用語“電子吸引性置換基”とはSi−N結合から電子を引き離す作用をする原子又はその基を言う。適した電子吸引性置換基の例として、限定されないが、ハロゲン(F、Cl、Br、I)、ニトリル(CN)、カルボン酸(COOH)、およびカルボニル(CO)が挙げられる。特定の態様において、電子吸引性置換基は式(I)のNに隣接して又は近接してあり得る。
特定の態様において、置換基RおよびRは式(I)において環構造を形成するために結び付いている。他の態様において、置換基RおよびRは式(I)において結び付いていない。
理論には束縛されないが、低温(例えば、550℃以下の温度)で堆積されるための窒化ケイ素前駆体にとっての必要条件の1つは、基材表面にSi−Nの堆積を促進するために通常90kcal/molより弱いアミノシラン前駆体内のSi−N結合を有していることであり得る。このためには、それぞれの前駆体のSi−N結合エネルギーを評価することが必要である。しかしながら、均一の結合解離モデルに基く厳格な結合エネルギー計算は、結合エネルギーの弱い感度に起因して堆積温度にほぼ比例していると予想される結合強度と堆積温度との間の定性的な関係を確実に特徴付けることに失敗する可能性がある。結合エネルギー計算に対する代替案として、次式(1):
(RN)SiR 4−n+NH
(RN)n−1Si(NH)R 4−n+RNH (1)
に従ってSi−N結合の相対的強弱度を定量化するために用いられるSi−N形成エネルギーがここに規定される。
上記式(1)において、RおよびRは一般に用いられる当業界で周知の前駆体の置換基であり、アミノシラン、β−アミノエチルシラン、環状シラザン、イミノシラン、ビシクロシラザン、ヒドロジノシラン、擬似ハロシラン、およびヘテロ環状置換シランであり得る。Si−N形成エネルギーはカリフォルニア州、サンジェゴのAccelyrs社により提供の表題がDMolの、マテリアルスタジオv.4.2.0.2の化学モデリングソフトウエアープログラムで式(I)を用いて計算した。式(I)を適用しそして前記モデリングソフトウエアーを用いて形成エネルギーを決めると、強いSi−N結合はより高い反応エネルギーそしてそれ故により高い堆積温度(550℃より高い)をもたらすであろう。低い処理温度(例えば、550℃以下)で堆積させるアミノシラン前駆体を開発するためには、Si−N結合の近傍に電子吸引性基を導入してSi−N結合から電子密度を除去することによりSi−N結合を弱めることを望んでもよい。
量子力学の密度汎関数理論を用いて、様々な少なくとも1つの電子吸引性置換基で順次置換された種々のSiN前駆体の反応エネルギーを系統的に評価するためにコンピューターモデリングソフトウエアーを用いて多数の計算が行われた。それらの計算は、Perdew−Wang(PW91)により提案された交換相関汎関数を分極関数で補強した倍数的原子基準一式との組み合わせで用いる汎用勾配近似(GGA)で行った。すべての分子構造はエネルギー的に最も好ましい配列を得るために十分に最適化された。続いて、反応エネルギーは次式(2)を用いて評価された:
△E=−[E((RN)n−1Si(NH)R 4−n)+
E(RNH)−E(NH)−E(RN)SiR 4−n](2)式(2)において、△E値が小さければ小さいほど、Si−N結合は弱くそしてそれ故により低い堆積温度が達成され得る。
図1は、後述の特定のアミノシラン前駆体1〜6、すなわち、トリス(1,1−ジメチルヒドラジノ)−tert−ブチルシラン、ビス(1,1−ジメチルヒドラジノ)エチルシラン、ビス(1,1−ジメチルヒドラジノ)メチルシラン、ビス(ジエチルアミノ)シラン、トリス(イソ−プロピルアミノ)シラン、およびトリス(tert−ブチルアミノ)シランの各々に対して実験的に測定された堆積温度とコンピューターモデリングソフトウエアーを用いて計算した活性化バリヤーレベルとの相関のグラフ表示を示している。図1は活性化バリヤーが堆積温度と共に増加することを示す。図2はアミノシラン前駆体1〜6に対する実験的に測定した堆積温度およびコンピューターモデリングソフトウエアーを用いて計算した反応熱のグラフ表示を示している。図2は堆積温度が反応熱と共に増加することを示す。図3はアミノシラン前駆体1〜6に対する実験的に測定した活性化エネルギーとコンピューターモデリングソフトウエアーを用いて計算した反応熱の相関のグラフ表示を示している。この例は活性化バリヤーが反応熱と共に増加することを示している。図1〜図3の結果の検討により、特定の態様においては、前駆体の堆積温度は上述の式(2)を適用することによる反応熱によりコンピューター的に予測され得る。特定の態様において、アミノシラン前駆体の活性化エネルギーは約45kcal/mol以下、又は約40kcal/mol以下、又は約35kcal/mol以下、又は約30kcal/mol以下、又は約25kcal/mol以下が望ましい。この又は他の態様において、アミノシラン前駆体の反応エネルギーは約5.5kcal/mol以下、又は約4.0kcal/mol以下、又は約3.5kcal/mol以下、又は約3.0kcal/mol以下、又は約2.5kcal/mol以下が望ましい。
図1〜図3で用いた前駆体1〜6、すなわち、トリス(1,1−ジメチルヒドラジノ)−tert−ブチルシラン、ビス(1,1−ジメチルヒドラジン)エチルシラン、ビス(1,1−ジメチルヒドラジノ)メチルシラン、ビス(ジエチルアミノ)シラン、トリス(イソ−プロピルアミノ)シラン、およびトリス(tert−ブチルアミノ)シランの構造を以下に示す。
Figure 0006290961
1つの態様において、式(I)又は(II)で示されるように少なくとも1つの電子吸引性置換基を有するアミノシラン前駆体はクロロシランと対応するアミンとのアミノ化反応によって製造され得る。反応に良く適した代表的なアミンはアルキル、環式、およびヘテロ環式である。好適なアミンは低級アルキル、例えばエチル、イソ−プロピル、t−ブチル、およびシクロヘキシルアミンである。さらに、アミンは望まれる生成物に依存して、1級又は2級であり得る。アミン化反応は典型的には室温以下で行われる。炭化水素溶媒、例えばヘキサンおよびペンタンが通常反応媒体として用いられる。1つの特定の態様において、式(I)又は(II)を有するアミノシラン前駆体は次の典型的な反応(A)、(B)、および(C)によって示されるように製造され得る。
NH+ClSiH→RN−SiH+RNH−HCl(A)
4RNH+HSiCl→RN−SiH−NR
+2RNH−HCl(B)
6RNH+HSiCl→(RN)SiH
+3RNH−HCl(C)
他の態様において、式(I)のアミノシラン前駆体は本明細書の実施例4、5、および6に記載されている方法を用いて製造される。これら又は他の態様において、本明細書に記載されたアミノシラン前駆体はより一般的に入手可能であるアミノシランからのアミノ基転移反応により製造され得る。
前述したように、本明細書に記載の式(I)又は(II)のアミノシラン前駆体は基材にシリコン含有膜、例えば限定されないが窒化ケイ素、酸化ケイ素、シリコンカーボナイトライド、および酸窒化ケイ素の膜の堆積用前駆体として用いられ得る。適した基材の例として、限定されないが半導体材料、例えばガリウムヒ化物(“GaAs”)、窒化ホウ素(“BN”)、シリコン、およびシリコン含有組成物、例えば結晶シリコン、ポリシリコン、アモルファスシリコン、エピタキシャルシリコン、二酸化ケイ素(“SiO”)、炭化ケイ素(“SiC”)、シリコンオキシカーバイド(“SiOC”)、窒化ケイ素(“SiN”)、シリコンカーボナイトライド(“SiCN”)、有機ケイ酸塩ガラス(“OSG”)、有機フルオロケイ酸塩ガラス(“OFSG”)、フルオロケイ酸塩ガラス(“FSG”)、および他の適した基材又はそれらの混合物が挙げられる。基材は、さらに膜が適用される種々の層、例えば反射防止塗膜、フォトレジスト、有機ポリマー、多孔質の有機および無機材料、金属、例えば銅およびアルミニウム、又は拡散バリヤー層を含み得る。式(I)のアミノシラン前駆体は本明細書に記載の又は当業界で周知の任意の技術を用いて堆積され得る。例示的な堆積技術として、限定されないが化学蒸着(“CVD”)、原子層成長法(“ALD”)、パルスCVD、プラズマ補助化学蒸着(“PACVD”)およびプラズマ化学気相成長法(“PECD”)が挙げられる。
特定の態様において、アミノシラン前駆体はCVD又はALD技術を用いて基材に蒸着(堆積)される。特定の態様において、式(I)又は(II)のアミノシラン前駆体の堆積は、550℃以下、又は500℃以下、又は400℃以下、又は300℃以下又は200℃以下、又は100℃以下、又はこれらの端点の任意の範囲、例えば300℃〜550℃の温度で行われ得る。用いられ得る堆積技術に依存して、50mトール〜100トールの圧力が例示される。典型的なCVD堆積法において、アミノシラン前駆体はプロセス室、例えば真空室中に導入される。特定の態様において、式(I)のアミノシラン前駆体以外の他の化学反応剤がアミノシラン前駆体の導入の前、その間、および/又はその後に導入され得る。エネルギー源、例えば、熱的、プラズマ又は他の源はアミノシラン前駆体および任意的な化学反応剤にエネルギーを与え、よって、基材の少なくとも一部に膜を形成する。
原子層成長法(ALD)は第1前駆体そして、特定の態様においては第2前駆体のパルスの逐次的導入を含む。ALD法で1種より多い前駆体が用いられる態様においては、第1前駆体のパルスの逐次的導入、それに続くパージガスのパルスおよび/又はポンプ排気があり、続いて第2前駆体のパルスがあり、パージガスのパルスおよび/又はポンプ排気が続く。別々のパルスの逐次的導入は、基材表面での各前駆体よりなる単一層の交互自己限定的化学吸着をもたらしそして各サイクル毎に堆積材料からなる単一層を形成する。サイクルは望ましい厚さの膜を作り出すために必要に応じて繰り返される。ALD処理の間、基材は化学吸着を促進する温度範囲で、すなわち吸着種と下層の基材間の正常な結合を保つために十分低く、しかし前駆体の凝縮を避けるために十分に高く、そして各処理サイクルで望ましい表面反応に十分な活性化エネルギーを提供するために十分な温度範囲に保たれる。処理室温度は0℃から400℃に、又は0℃から300℃に、又は0℃から275℃に及び得る。ALD処理の間の処理室内の圧力は0.1〜1000トール、又は0.1〜15トール、又は0.1〜10トールであり得る。しかしながら、任意の特定のALD処理に対する温度および圧力は含まれる1種以上の前駆体に依存して変わり得ることが理解される。
ALDの成長速度は通常のCVD法と比較して低い。ALD法の典型的な成長速度は1〜2Å/サイクルである。成長速度の増加への1つのアプローチはより高い基材温度で堆積を行うことによる。本明細書に記載のアミノシラン前駆体は比較的低い温度でシリコン含有膜を堆積可能であり、それ故に膜の成長速度を増加させ得る。
望ましい膜に依存して、シリコン含有膜の堆積法に通常の酸化剤が用いられ得る。代表的な酸化剤として、過酸化水素、亜酸化窒素、オゾン、および分子酸素が挙げられる。典型的には、酸化剤とアミノシラン前駆体との割合は有機アミノシラン前駆体1モルに対して酸化剤が0.1モルより大、好適には0.1〜6モルである。
式(I)又は(II)のアミノシラン前駆体の堆積は、活性窒素源、例えばアンモニア、ヒドラジン、アルキルヒドラジン、ジアルキルヒドラジンおよびそれらの混合物の不存在下又は存在下に行われ得る。窒素源とアミノシランとのモル比は通常0:〜>10:1の範囲内と広い。上限は前駆体への希釈効果によって限定されそして希釈効果は堆積速度を大きく減じるであろう。好適な範囲は0.1〜4:1である。また、堆積による膜の形成は不活性ガス、例えば窒素およびヘリウムとともに含む他のガスを用いて又は用いないで行われ得る。対応する前駆体の希釈を達成するための製造装置による複数のガスの使用は堆積の追従性(conformality)を改良するか又は化学蒸気浸透法での浸透を改良し得る。
前述のように、特定の態様においては、処理室への式(I)又は(II)のアミノシラン前駆体の導入の前、その間、および/又はその後に追加の化学反応剤又は前駆体を導入し得る。化学反応剤の選択は望ましい最終的に得る膜の組成に依存し得る。典型的な化学反応剤としては、限定されないが酸化剤(すなわち、O、NO、NO、O、CO、CO等)、水、ハロゲン化物、ハロゲン含有シラン、アルキルクロロシラン、アルキルブロモシラン、又はアルキルヨードシラン、シリコンハロゲン化物錯体、例えばシリコンテトラクロライド、シリコンテトラブロマイド、又はシリコンテトラヨーダイド、又はそれらの組み合わせが挙げられる。また、上記錯体の誘導体も用いられ得ると予想される。化学反応剤は、処理室にガスとして直接供給され、反応室中へ蒸気化した液体、昇華した固体として供給されおよび/又は不活性キャリヤーガスによって反応室へ移送され得る。不活性ガスの例として、窒素、水素、アルゴン、キセノン等が挙げられる。
堆積処理を行う際に、本明細書に記載のアミノシランは膜の特性を変えるために他のシリル前駆体と混合され得る。他の前駆体の例として、ビス−tert−ブチルアミノシラン、トリス−イソープロピルアミノシラン、ビスージエチルアミノシラン、トリス−ジメチルアミノシラン、およびビス−イソープロピルアミノシランが挙げられる。
本明細書に記載の前述の膜形成の任意の方法ならびに当業界で周知の他の膜形成方法が単独で又は組み合わせて用いられ得る。
以下の実施例は本明細書に記載されたアミノシラン前駆体を説明するものであってなんら限定されるものではない。
実施例1(BTBASにおける−CHの−CFによる置換効果)
ビス(tert−ブチルアミノ)シランBTBASのt−ブチル基におけるメチル基の1つを以下の化学構造7に示すように−CF基で逐次的に置換した。
Figure 0006290961
上記分子に対してのおよび置換された2又は3個のメチル基を有する類似の分子に対する反応エネルギーが前記式(2)およびカリフォルニア州サンジェゴのAccelyrs社により提供の表題がDMol、マテリアルスタジオv.4.2.0.2の化学モデリングソフトウエアープログラムを用いて決定されそして結果を図4に示される。図4はメチル基の−CF基への全部の置換が反応エネルギーの低減をもたらすことを示す。特に、分子内の全部のメチル基の−CF基による全置換は反応を吸熱から発熱に変える。それ故、Si−N結合の開裂はBTBAS内におけるよりも大幅に低い温度で起りその際に低い堆積温度を可能とすると予想される。
実施例2(BTBASにおける−CHの−CNによる置換効果)
BTBASのt−ブチル基におけるメチル基を−CN基で逐次的に置換した。BTBASに対してのおよび−CN基で置換された1、2又は3個のメチル基を有する式(1)のアミノシラン前駆体に対しての反応エネルギーが前記式(2)およびカリフォルニア州サンジェゴのAccelyrs社により提供の表題がDMol、マテリアルスタジオv.4.2.0.2の化学モデリングソフトウエアープログラムを用いて決定された。結果を図5に示す。置換は、当初は分子内の水素結合の形成に起因して反応エネルギーの増加をもたらす。しかしながら、全部の置換では、反応エネルギーはBTBASに対するよりも約1.6kcal/molほど低い。それ故、Si−N結合の開裂はBTBAS内におけるよりも低い温度で起ると予想される。
実施例3(−F置換の効果)
少なくとも1種の電子吸引性置換基又はフッ素を含むアルキル基又はアリール基を有する一連のアミノシラン前駆体が、フッ素を含有しないかそれよりも水素を含有する類似のアミノシラン前駆体と比較された。表1に記載した分子に対してHのFによる置換を調べるために計算が行われ、また、表1には、本明細書に記載の式(2)およびカリフォルニア州サンジェゴのAccelyrs社により提供の表題がDMol、マテリアルスタジオv.4.2.0.2の化学モデリングソフトウエアープログラムを用いて計算した反応熱が示されている。比較の結果を以下の表1に示す。
Figure 0006290961
上記の結果は、HのFによる置換によってすべてのケースで反応エネルギーが低下され得ることを示している。特に、温度降下効果はα−サイトで顕著であり、次いでβ−サイトそしてその次にγ−サイトである。より高い水準の置換はより好ましい反応エネルギーを生み出す。
実施例4a[ビス(3,3,−ジフルオロピペリジン)シランに至る3,3−ジフルオロピペリジン前駆体の調製]
磁気攪拌棒、Nパージバルブおよびゴム隔膜を備えた240mLのテフロン(登録商標)反応器にCHCl(50mL)中のN−t−ブチル−3−ピペリドン(25g、0.1255mol)溶液を入れそして0℃に冷却した。この溶液に、ビス(2−メトキシエチル)アミノ硫黄トリフルオリド(41.58g、0.1882mol)を加えた。次いで、この混合物を室温とし、16時間にわたって撹拌した。次いで、混合物を250mLのガラスフラスコに注ぎ込みそして1℃の氷水25mLで処理した。有機相を分液ロートで分離した。有機溶液を15%NaOH水を用いてアルカリ性とし次いで乾燥(MgSO)し、ろ過しそして真空で蒸発させた。残部を磁気攪拌棒および窒素注入管を備えた250mLの丸底フラスコ内で3MのHClと混合しそして60℃で90分間加熱した。混合物を15%NaOH水で中和し、ジエチルエーテル中に抽出し、水性相から分離し、乾燥(MgSO)し、ろ過し次いで真空で蒸発させた。3,3−ジフルオロピペリジンの純生成物が40℃(0.1トール)での蒸留によって得られそしてG.C.M.S.マススペクトルで分析した。
実施例4b[アミノ基転移反応によるビス(3,3,−ジフルオロピペリジン)シランの調製]
0.1モルの3,3−ジフルオロピペリジンおよび0.1モルのビス(t−ブチルアミノ)シランの量を窒素で保護してフラスコ内で混合しそして攪拌した。毎4時間毎に、混合物はポンプで30分間100トールの真空にした。48時間後、最終の生成物ビス(3,3−ジフルオロピペリジノ)シランが118℃/10トールでの真空蒸留で得られた。
実施例5[アミノ基転移反応によるビス[ビス(2−メトキシエチル)]アミノシランの調製]
0.1モルのビス(2−メトキシエチル)アミンおよび0.1モルのビス(t−ブチルアミノ)シランの量を窒素で保護してフラスコ内で混合しそして攪拌した。毎4時間毎に、混合物はポンプで30分間100トールの真空にした。48時間後、生成物ビス[ビス(2−メトキシエチル)アミノ]シランが54℃/10トールでの真空蒸留で得られた。
実施例6[アミノ基転移反応によるビス(2−メトキシエチル)アミノシランの調製]
0.1モルのビス(2−メトキシエチル)アミンおよび0.1モルのジエチルアミノシランの量を窒素で保護してフラスコ内で混合しそして攪拌した。毎4時間毎に、混合物はポンプで30分間100トールの真空にした。48時間後、生成物ビス[ビス(2−メトキシエチル)アミノ]シランが40℃/10トールでの真空蒸留で得られた。
なお、本発明の実施態様としては、以下の態様を挙げることができる:
《態様1》
次式(I):
(R N) SiR 4−n (I)
(式中、置換基R およびR はそれぞれ独立に1〜20個の炭素原子を含むアルキル基および6〜30個の炭素原子を含むアリール基から選択され、
置換基R およびR の少なくとも1つはF、Cl、Br、I、CN、NO 、PO(OR) 、OR、RCOO、SO、SO 、SO Rから選択される少なくとも1つの電子吸引性置換基を含みそして少なくとも1つの電子吸引性置換基におけるRはアルキル基又はアリール基から選択され、
はH、1〜20個の炭素原子を含むアルキル基、又は6〜12個の炭素原子を含むアリール基から選択され、そして
nは1〜4の範囲の数である。)
から成るシリコン含有膜を堆積させるためのアミノシラン前駆体。
《態様2》
およびR が結合して環構造を形成している態様1に記載のアミノシラン前駆体。
《態様3》
前記前駆体が、トリス(1,1−ジメチルヒドラジノ)−tert−ブチルシラン、ビス(1,1−ジメチルヒドラジン)エチルシラン、ビス(1,1−ジメチルヒドラジノ)メチルシラン、ビス(ジエチルアミノ)シラン、トリス(イソプロピルアミノ)シラン、トリス(tert−ブチルアミノ)シランおよびビス(3,3−ジフルオロピペリジン)シランから選択される態様1に記載のアミノシラン前駆体。
《態様4》
トリス(1,1−ジメチルヒドラジノ)−tert−ブチルシランを含む態様3に記載のアミノシラン前駆体。
《態様5》
ビス(1,1−ジメチルヒドラジン)エチルシランを含む態様3に記載のアミノシラン前駆体。
《態様6》
ビス(1,1−ジメチルヒドラジノ)メチルシランを含む態様3に記載のアミノシラン前駆体。
《態様7》
ビス(ジエチルアミノ)シランを含む態様3に記載のアミノシラン前駆体。
《態様8》
トリス(イソ−プロピルアミノ)シランを含む態様3に記載のアミノシラン前駆体。
《態様9》
トリス(tert−ブチルアミノ)シランを含む態様3に記載のアミノシラン前駆体。
《態様10》
ビス(3,3−ジフルオロピペリジン)シランを含む態様3に記載のアミノシラン前駆体。
《態様11》
化学蒸着によって基材にシリコン含有膜を堆積させるための方法であって、下記の工程:
処理室内に基材を提供すること、
アミノシラン前駆体を、反応させそして基材にシリコン含有膜を堆積させるのに十分な温度および圧力で処理室に導入すること、
を含み、その際にアミノシラン前駆体が次式(I):
(R N) SiR 4−n (I)
(式中、置換基R およびR はそれぞれ独立に1〜20個の炭素原子を含むアルキル基および6〜30個の炭素原子を含むアリール基から選択され、
置換基R およびR の少なくとも1つはF、Cl、Br、I、CN、NO 、PO(OR) 、OR、RCOO、SO、SO 、SO Rから選択される少なくとも1つの電子吸引性置換基を含みそして少なくとも1つの電子吸引性置換基におけるRはアルキル基又はアリール基から選択され、
はH、1〜20個の炭素原子を含むアルキル基、又は6〜12個の炭素原子を含むアリール基から選択され、そして
nは1〜4の範囲の数である。)
を有する、方法。
《態様12》
前記導入工程が、アンモニア、窒素およびヒドラジンから選択される窒素源をさらに含む態様11に記載の方法。
《態様13》
前記窒素源が、アンモニア又は窒素であり且つ窒素源が窒素源:前駆体が0.1〜4:1の範囲で存在している態様12に記載の方法。
《態様14》
前記温度が、約400℃〜約700℃の範囲である態様11に記載の方法。
《態様15》
前記圧力が、約20mトール〜約20トールの範囲である態様11に記載の方法。
《態様16》
次式(II):
AnSiR 4−n (II)
(式中、Aは次の(a)〜(j)
Figure 0006290961
のアミノ基の群から選択される少なくとも1つの基であり、R は1〜20個の炭素原子を含むアルキル基又は6〜12個の炭素原子を含むアリール基から選択され、そしてnは1〜4の範囲の数である。)
から成るシリコン含有膜を堆積させるためのアミノシラン前駆体。

Claims (5)

  1. 次式(II):
    AnSiR 4−n (II)
    (式中、Aは次の(a)〜(d)及び(g)〜(j)
    Figure 0006290961
    のアミノ基の群から選択される少なくとも1つの基であり、Rは1〜20個の炭素原子を含むアルキル基又は6〜12個の炭素原子を含むアリール基から選択され、そしてnは1〜4の範囲の数である。)
    から成るシリコン含有膜を堆積させるためのアミノシラン前駆体。
  2. 次式(II):
    AnSiR 4−n (II)
    (式中、Aは次の(e)及び(f)
    Figure 0006290961
    のアミノ基の群から選択される少なくとも1つの基であり、R は1〜20個の炭素原子を含むアルキル基又は6〜12個の炭素原子を含むアリール基から選択され、そしてnは1〜4の範囲の数である。)
    から成るシリコン含有膜を堆積させるためのアミノシラン前駆体であって、少なくとも1つの電子吸引性置換基を有し、かつ40kcal/mol未満の活性化エネルギー及び4.0kcal/mol未満の反応エネルギーを有する、アミノシラン前駆体。
  3. 少なくとも1種の酸化剤をさらに含む、請求項1又は2に記載のアミノシラン前駆体。
  4. 少なくとも1種の窒素源をさらに含む、請求項1又は2に記載のアミノシラン前駆体。
  5. 化学蒸着によって基材にシリコン含有膜を堆積させるための方法であって、下記の工程:
    処理室内に基材を提供すること、
    請求項1〜4のいずれか一項に記載のアミノシラン前駆体を、反応させそして基材にシリコン含有膜を堆積させるのに十分な温度および圧力で処理室に導入すること、
    を含む、方法。
JP2016078162A 2008-08-12 2016-04-08 シリコン含有膜堆積用の前駆体及びその製造及び使用方法 Expired - Fee Related JP6290961B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/190,125 US8129555B2 (en) 2008-08-12 2008-08-12 Precursors for depositing silicon-containing films and methods for making and using same
US12/190,125 2008-08-12

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2014096335A Division JP2014177471A (ja) 2008-08-12 2014-05-07 シリコン含有膜堆積用の前駆体及びその製造及び使用方法

Publications (2)

Publication Number Publication Date
JP2016166421A JP2016166421A (ja) 2016-09-15
JP6290961B2 true JP6290961B2 (ja) 2018-03-07

Family

ID=41354086

Family Applications (4)

Application Number Title Priority Date Filing Date
JP2009184479A Expired - Fee Related JP5587572B2 (ja) 2008-08-12 2009-08-07 シリコン含有膜堆積用の前駆体及びその製造及び使用方法
JP2014096335A Withdrawn JP2014177471A (ja) 2008-08-12 2014-05-07 シリコン含有膜堆積用の前駆体及びその製造及び使用方法
JP2016078162A Expired - Fee Related JP6290961B2 (ja) 2008-08-12 2016-04-08 シリコン含有膜堆積用の前駆体及びその製造及び使用方法
JP2017163063A Pending JP2017210485A (ja) 2008-08-12 2017-08-28 シリコン含有膜堆積用の前駆体及びその製造及び使用方法

Family Applications Before (2)

Application Number Title Priority Date Filing Date
JP2009184479A Expired - Fee Related JP5587572B2 (ja) 2008-08-12 2009-08-07 シリコン含有膜堆積用の前駆体及びその製造及び使用方法
JP2014096335A Withdrawn JP2014177471A (ja) 2008-08-12 2014-05-07 シリコン含有膜堆積用の前駆体及びその製造及び使用方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2017163063A Pending JP2017210485A (ja) 2008-08-12 2017-08-28 シリコン含有膜堆積用の前駆体及びその製造及び使用方法

Country Status (6)

Country Link
US (1) US8129555B2 (ja)
EP (2) EP2154141B1 (ja)
JP (4) JP5587572B2 (ja)
KR (2) KR20100020440A (ja)
CN (1) CN101648964A (ja)
TW (1) TWI374887B (ja)

Families Citing this family (424)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US8357435B2 (en) * 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US8129555B2 (en) * 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
JP4638550B2 (ja) * 2008-09-29 2011-02-23 東京エレクトロン株式会社 マスクパターンの形成方法、微細パターンの形成方法及び成膜装置
US20100081293A1 (en) * 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8189364B2 (en) 2008-12-17 2012-05-29 Qs Semiconductor Australia Pty Ltd. Charge retention structures and techniques for implementing charge controlled resistors in memory cells and arrays of memory
SG174296A1 (en) * 2009-03-10 2011-10-28 Air Liquide Cyclic amino compounds for low-k silylation
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8511281B2 (en) * 2009-07-10 2013-08-20 Tula Technology, Inc. Skip fire engine control
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) * 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110042685A1 (en) * 2009-08-18 2011-02-24 Qs Semiconductor Australia Pty Ltd Substrates and methods of fabricating epitaxial silicon carbide structures with sequential emphasis
US8449942B2 (en) * 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
SG181670A1 (en) 2009-12-30 2012-07-30 Applied Materials Inc Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US20110159213A1 (en) * 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
KR101528832B1 (ko) * 2010-01-06 2015-06-15 어플라이드 머티어리얼스, 인코포레이티드 유동성 유전체 층의 형성 방법
US8304351B2 (en) 2010-01-07 2012-11-06 Applied Materials, Inc. In-situ ozone cure for radical-component CVD
US8236708B2 (en) * 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
CN102471885A (zh) * 2010-04-01 2012-05-23 乔治洛德方法研究和开发液化空气有限公司 使用氨基金属与卤化金属前体组合的含金属氮化物的薄膜沉积
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
JP5624492B2 (ja) * 2011-02-10 2014-11-12 大陽日酸株式会社 シリコン含有前駆体の活性化エネルギーの算出方法、安定性評価方法、及び選定方法
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8647993B2 (en) * 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9070758B2 (en) * 2011-06-20 2015-06-30 Imec CMOS compatible method for manufacturing a HEMT device and the HEMT device thereof
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
JP2013008828A (ja) * 2011-06-24 2013-01-10 Taiyo Nippon Sanso Corp シリコン絶縁膜の形成方法
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8912101B2 (en) * 2012-03-15 2014-12-16 Asm Ip Holding B.V. Method for forming Si-containing film using two precursors by ALD
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9938303B2 (en) 2012-07-20 2018-04-10 American Air Liquide, Inc. Organosilane precursors for ALD/CVD silicon-containing film applications
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
KR101380317B1 (ko) * 2012-08-31 2014-04-04 주식회사 유진테크 머티리얼즈 실리콘 원자 및 금속 원자에 대한 친화성이 우수한 고리형 아미노실란 화합물, 이의 제조방법 및 이의 응용
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
KR102106885B1 (ko) * 2013-03-15 2020-05-06 삼성전자 주식회사 실리콘 산화막 증착용 전구체 조성물 및 상기 전구체 조성물을 이용한 반도체 소자 제조 방법
CN103938181B (zh) * 2013-05-30 2016-09-14 南京理工大学泰州科技学院 一种硅基氮氧化合物薄膜的制备方法
US9796739B2 (en) * 2013-06-26 2017-10-24 Versum Materials Us, Llc AZA-polysilane precursors and methods for depositing films comprising same
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
TW201509799A (zh) 2013-07-19 2015-03-16 Air Liquide 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
US9382268B1 (en) 2013-07-19 2016-07-05 American Air Liquide, Inc. Sulfur containing organosilane precursors for ALD/CVD silicon-containing film applications
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9543140B2 (en) 2013-10-16 2017-01-10 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US20150140833A1 (en) * 2013-11-18 2015-05-21 Applied Materials, Inc. Method of depositing a low-temperature, no-damage hdp sic-like film with high wet etch resistance
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10570513B2 (en) 2014-12-13 2020-02-25 American Air Liquide, Inc. Organosilane precursors for ALD/CVD silicon-containing film applications and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10421766B2 (en) * 2015-02-13 2019-09-24 Versum Materials Us, Llc Bisaminoalkoxysilane compounds and methods for using same to deposit silicon-containing films
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US11001599B2 (en) 2015-03-23 2021-05-11 Gelest Technologies, Inc. N-alkyl substituted cyclic and oligomeric perhydridosilazanes, methods of preparation thereof, and silicon nitride films formed therefrom
TWI706957B (zh) * 2015-03-30 2020-10-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 碳矽烷與氨、胺類及脒類之觸媒去氫耦合
US9815858B2 (en) 2015-06-16 2017-11-14 Gelest Technologies, Inc. Hydridosilapyrroles, hydridosilaazapyrroles, thiasilacyclopentanes, method for preparation thereof, and reaction products therefrom
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9520284B1 (en) * 2015-11-13 2016-12-13 Varian Semiconductor Equipment Associates, Inc. Ion beam activated directional deposition
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
CN114016001A (zh) * 2015-12-21 2022-02-08 弗萨姆材料美国有限责任公司 用于沉积含硅膜的组合物及使用其的方法
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10283348B2 (en) * 2016-01-20 2019-05-07 Versum Materials Us, Llc High temperature atomic layer deposition of silicon-containing films
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102378021B1 (ko) * 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10176984B2 (en) * 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6812855B2 (ja) * 2017-03-10 2021-01-13 Jnc株式会社 ジアルキルアミノシランの製造方法
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
WO2018204709A1 (en) 2017-05-05 2018-11-08 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US11056353B2 (en) 2017-06-01 2021-07-06 Asm Ip Holding B.V. Method and structure for wet etch utilizing etch protection layer comprising boron and carbon
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102173384B1 (ko) * 2018-03-30 2020-11-03 에스케이트리켐 주식회사 SiO2 박막 형성용 전구체 및 이를 이용한 SiO2 박막 형성.
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
DE112019003547T5 (de) * 2018-07-12 2021-03-25 Lotus Applied Technology, Llc Wasserunempfindliche verfahren zum bilden von metalloxidfilmen und damit in zusammenhang stehenden produkten
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
KR102157137B1 (ko) * 2018-11-30 2020-09-17 주식회사 한솔케미칼 실리콘 전구체 및 이를 이용한 실리콘 함유 박막의 제조방법
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
US10988490B1 (en) 2019-10-03 2021-04-27 Entegris, Inc. Triiodosilylamine precursor compounds
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
WO2021097022A1 (en) * 2019-11-12 2021-05-20 Applied Materials, Inc. Silyl pseudohalides for silicon containing films
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
US11492364B2 (en) 2020-03-31 2022-11-08 Entegris, Inc. Silicon hydrazido precursor compounds
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
KR20220081905A (ko) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11658025B2 (en) 2021-01-18 2023-05-23 Applied Materials, Inc. Chalcogen precursors for deposition of silicon nitride
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4736046A (en) * 1985-12-23 1988-04-05 The Dow Chemical Company β-isocyanato organosilanes
JP2637265B2 (ja) * 1990-06-28 1997-08-06 株式会社東芝 窒化珪素膜の形成方法
JPH06132284A (ja) 1992-10-22 1994-05-13 Kawasaki Steel Corp 半導体装置の保護膜形成方法
US5429673A (en) * 1993-10-01 1995-07-04 Silicon Resources, Inc. Binary vapor adhesion promoters and methods of using the same
US5874368A (en) * 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US7005392B2 (en) * 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US6391803B1 (en) * 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
AU2003256559A1 (en) * 2002-07-19 2004-02-09 Aviza Technology, Inc. Low temperature dielectric deposition using aminosilane and ozone
JP2005536055A (ja) * 2002-08-18 2005-11-24 アヴィザ テクノロジー インコーポレイテッド 酸化シリコン及び酸窒化シリコンの低温堆積
US7122222B2 (en) * 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
JP2005213633A (ja) * 2004-02-02 2005-08-11 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US20060045986A1 (en) * 2004-08-30 2006-03-02 Hochberg Arthur K Silicon nitride from aminosilane using PECVD
US7332618B2 (en) * 2004-09-28 2008-02-19 Praxair Technology, Inc. Organometallic precursor compounds
US20060182885A1 (en) * 2005-02-14 2006-08-17 Xinjian Lei Preparation of metal silicon nitride films via cyclic deposition
US7875556B2 (en) * 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
JP4554446B2 (ja) * 2005-06-21 2010-09-29 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US8129555B2 (en) * 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same

Also Published As

Publication number Publication date
JP2010043081A (ja) 2010-02-25
US8129555B2 (en) 2012-03-06
EP2644609B1 (en) 2015-07-08
KR20100020440A (ko) 2010-02-22
JP2017210485A (ja) 2017-11-30
EP2644609A2 (en) 2013-10-02
EP2154141B1 (en) 2016-06-15
EP2154141A3 (en) 2011-05-25
JP2014177471A (ja) 2014-09-25
US20100041243A1 (en) 2010-02-18
JP5587572B2 (ja) 2014-09-10
JP2016166421A (ja) 2016-09-15
TWI374887B (en) 2012-10-21
KR20120052214A (ko) 2012-05-23
KR101470067B1 (ko) 2014-12-10
EP2644609A3 (en) 2013-11-06
EP2154141A2 (en) 2010-02-17
CN101648964A (zh) 2010-02-17
TW201006842A (en) 2010-02-16

Similar Documents

Publication Publication Date Title
JP6290961B2 (ja) シリコン含有膜堆積用の前駆体及びその製造及び使用方法
JP7320544B2 (ja) Si含有膜形成組成物およびその使用方法
JP6325613B2 (ja) 化学気相成長用組成物
JP5149273B2 (ja) 化学気相堆積による窒化珪素膜及び/又はシリコンオキシナイトライド膜の堆積方法
JP5781750B2 (ja) 酸化ケイ素及び酸窒化ケイ素膜、それらの形成方法、並びに化学気相成長用組成物
US7601860B2 (en) Composition and method for low temperature chemical vapor deposition of silicon-containing films including silicon carbonitride and silicon oxycarbonitride films
JP2010147485A5 (ja) アミノシラン、シリコン含有膜の形成用前駆体、シリコン含有膜の形成用組成物
WO2005080628A2 (en) Method for producing silicon nitride films and silicon oxynitride films by chemical vapor deposition
TW202035430A (zh) 用於含矽膜的組合物及使用其的方法
EP3307744B1 (en) Vapor deposition processes for forming silicon- and oxygen-containing thin films
JP7164789B2 (ja) 550℃以上の温度でALDを使用してSi含有膜を堆積させるための前駆体及びプロセス
EP3307745B1 (en) Vapor deposition processes for forming silicon- and nitrogen-containing thin films

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20170224

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170228

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20170530

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20170711

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170830

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20180109

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20180208

R150 Certificate of patent or registration of utility model

Ref document number: 6290961

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees