TWI374452B - Ultraviolet reflector with coolant gas holes and method - Google Patents

Ultraviolet reflector with coolant gas holes and method Download PDF

Info

Publication number
TWI374452B
TWI374452B TW098135450A TW98135450A TWI374452B TW I374452 B TWI374452 B TW I374452B TW 098135450 A TW098135450 A TW 098135450A TW 98135450 A TW98135450 A TW 98135450A TW I374452 B TWI374452 B TW I374452B
Authority
TW
Taiwan
Prior art keywords
reflector
ultraviolet lamp
longitudinal
substrate
holes
Prior art date
Application number
TW098135450A
Other languages
English (en)
Other versions
TW201029017A (en
Inventor
Yao Hung Yang
Tuan Anh Nguyen
Sanjeev Baluja
Andrzej Kaszuba
Juan Carlos Rocha
Thomas Nowak
Dustin W Ho
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201029017A publication Critical patent/TW201029017A/zh
Application granted granted Critical
Publication of TWI374452B publication Critical patent/TWI374452B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/06Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diffraction, refraction or reflection, e.g. monochromators
    • G21K1/062Devices having a multilayer structure
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K2201/00Arrangements for handling radiation or particles
    • G21K2201/06Arrangements for handling radiation or particles using diffractive, refractive or reflecting elements
    • G21K2201/064Arrangements for handling radiation or particles using diffractive, refractive or reflecting elements having a curved surface
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K2201/00Arrangements for handling radiation or particles
    • G21K2201/06Arrangements for handling radiation or particles using diffractive, refractive or reflecting elements
    • G21K2201/065Arrangements for handling radiation or particles using diffractive, refractive or reflecting elements provided with cooling means
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K2201/00Arrangements for handling radiation or particles
    • G21K2201/06Arrangements for handling radiation or particles using diffractive, refractive or reflecting elements
    • G21K2201/067Construction details

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • General Engineering & Computer Science (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Arrangement Of Elements, Cooling, Sealing, Or The Like Of Lighting Devices (AREA)
  • Chemical Vapour Deposition (AREA)

Description

第W〒號專利菊❿年^月修正月 六、發明說明 【發明所屬之技術領域】
補走 本發明之設備與方法之實施例一般關於基材的紫外線 處理。 【先前技術】 在製造積體電路、顯示器以及太陽能電池板時,多層 介電、半導體、與導體材料係形成於諸如半導體晶圓、 玻璃板、金屬板之基材上。該等多層隨後經處理以形成 諸如電連接、介電層、閘極與電極等特徵結構。在之後 的製程中,可使用紫外線輻射處理形成於基材上的多層 或特徵結構。舉例而言,可於快速熱處理(rtp)利用紫外 線輻射以快逮加熱形成於基材上的一層。也可使用紫外 線輻射以促進聚合層凝聚與聚合。也可使用紫外線輻射 以產生受壓的薄膜層。亦可使用紫外線輻射活化氣體以 清潔腔室》 一個應用中’使用紫外線(UV)輻射處理氧化矽、碳化 矽或碳摻雜的氧化石夕之薄膜。舉例而言,在共同讓渡的 美國專利號6,566,278以及6,614,181兩案中(兩案皆以其 全文併人做為參考)描述使用紫外光於處理碳氧化石夕薄 膜。諸如t化㈣iQx)、碳化邦ic)i及碳氧化石夕 (SiOCx)薄膜之類的材料在製造半導體元件上係用以作 為介電層。化學氣相沉積(CVD)方法經常使用於沉積該 1374452 p晬」丨月_修(更]正替锋頁j 等薄膜’龙且涉及促進CVD腔室 丛调夕叫, ,保給源u及氧供 :原之間的熱或電楽系反應。在某些該等製程中會形成 係CVD或其他反應之副產物^舉例而言水會在 使用包=少—㈣·碳鍵結的有機錢…仰製程 中’於碳氧化矽或碳化矽膜沉積時形成。製程產生 :實體上被吸收進薄膜成為濕氣,或併入沉積薄膜中成 為矽-羥基鍵結,該二者皆為不樂見的。 可使用紫外線輻射處理該等薄膜以固化及敏密化沉積 的⑽薄膜。有利地’紫外線處理減少單一晶圓的總敛 預算並且加速製造製程。兹已開發許多、紫外線固化腔 室,其可用於有效地固化沉積於基材38上的薄膜,諸如 於2005年5月9日提出申請、標題為「高效率紫外線固 化系統」之美國專利中請號n/124,9Q8,該案讓渡哈 AppHed Materials並其全文併入作為參考。在此類紫外 線製程中,期望能増加紫外線輻射的強度以提供更快的 固化時間以及更短的製程循環。但是,藉由使用高功率 源或其他工具增加紫外線輻射,也會增加腔室内所產生 的熱。此過剩的熱會對基材上所處理的特徵結構有負面 影響,並且也會縮短紫外線源本身的壽命。 由於此些及其他缺點之故,儘管已開發多種仍固化 腔室及技術,兹持續找尋紫外線處理技術上更進一步的 改善。 【發明内容】 4 ^ (Cr\ Α ί I__________—ί 在基材處理設備中可使用紫外線燈之反射器β該反射 器包含延伸紫外線燈長度的縱向帶。該縱向帶具有彎曲 反射表面,並且包含複數穿透孔洞以導引冷卻劑氣體朝 向紫外線燈。 基材處理腔室包含基材支撐件以及紫外線燈模組,該 模組包含:⑴長的紫外線燈,與基材支撐件隔開,該紫 外線燈係裝配以朝基材支撐件傳送紫外光;以及(i〇 主要反射器,其包含:(1)中央反射器,其包含延伸紫 外線燈長度的縱向帶’該縱向帶具有彎曲反射表面並且 並且包含複數穿透孔洞以導引冷卻劑氣體朝向紫外線 燈,以及(2)第一及第二側反射器,其放置在中央反射器 的各側。 一種以紫外線輕射處理基材的方法,包含提供基材於 製程區域内、賦能紫外線燈以產生紫外線輻射、提供彎 曲反射表面以朝基材反射產生的紫外線辕射、導引複數 冷卻劑氣體流穿過彎曲反射表面上的多個孔洞以冷卻紫 外線燈。 【實施方式】 第1圖中顯示紫外線(UV)燈模組20之實施例,該模組 能產生紫外線輻射以處理諸如半導體晶圓、顯示器以及 太陽能電池板的基材3 8 » UV燈模組20包含能發射紫外 線輕射的UV燈22。UV燈22可包括任何諸如汞微波弧
L__.___________J 燈、脈衝氙氣閃燈或高效率uv燈發射上極體陣列等uv 源。在一個方案中,UV燈22係以諸如氙氣(Xe)或汞(Hg) 之氣體填充的密封電漿燈泡,且該燈泡係由外部功率源 23(諸如微波產生器)所激發,該外部功率源包括磁電管 以及賦能磁電管燈絲的變壓器。另一實施例中,uv燈 22可包括由功率源23(簡略圖示)所施加功率的燈絲該 功率源可供給直流電給燈絲。UV燈22也可由包含射頻 (RF)能量源的功率源23所施加功率,該射頻能量源能在 UV燈22内激發氣體。為說明起見,uV燈22顯示為長 的圓柱狀燈泡;但是,亦可使用具有其他形狀的uv燈 22,諸如球狀燈或燈陣列,其對此技藝中一般技術人員 而言是顯而易見。舉例而言,合適的UV燈22可商業上 由美國俄亥俄州Westlake的Nordson Corporati〇n或美 國麥迪遜州Stevenson的Miltec UV公司構得。在一方 案中’UV燈22包括購自Miltec UV公司的單—長的uv H+燈泡。UV燈22可包括二個或多個各別長的燈泡。 燈模組20包括反射器組件24,其包括部份地環繞紫 外線燈模組20之UV燈22的主要反射器26 ^主要反射 器26包含中央反射器28’其在UV燈22後方於中央放 置’並且與UV燈22呈一相隔關係。中央反射芎28包 含縱向帶30 ’其如第3 A以及3B圖所顯示,延伸紫外線 燈的長度。該縱向帶30具有彎曲反射表面32,該表面 係一内部表面並且面向UV燈22的背面以朝基材38反 射UV燈22所發射的向後導引的紫外線輻射之光線。縱 1^0··?· (ί;·> 【 .丨"_ ί _ ....... 向帶30的彎曲反射表面32係可為圓形、橢圓形或拋物 線型的弧形表面。在一方案中,彎曲反射表面32包含圓 形表面’其曲率半徑至少約2公分並少於約5公分,或 甚至為約3至約4公分。縱向帶3〇也具有背表面34, 其係平坦的’或可彎曲以匹配於彎曲反射表面32之曲 率。 縱向帶30係由容許紅外輻射及微波傳輸並反射紫外 線輻射的材料所製成。在一方案中’縱向帶30包含石 英。舉例而言,石英之長的預製件可經機械加工以形成 縱向帶30。可使用諸如CNC之習知的機械加工技術以機 械加工一鑄型石英預製件以獲得包括該帶前方上的彎曲 反射表面32之縱向帶的期望形狀。之後,藉使用習知拋 光方法拋光該面向内部之表面而形成彎曲反射表面32, 如此’至少95 %的該表面3 2無皺紋亦無裂隙。 可選擇地,亦可施加二向色性塗層36於縱向帶3〇的 鼕曲反射表面32,以朝基材38反射更高比例的向後導 引的紫外光線。該二向色性塗層36係薄膜式濾層,其選 擇性地使具有小範圍波長的光通過同時反射其他波長。 在實施例中,二向色性塗層36包含由不同介電材料組 層胃膜。舉例而纟’不同介電材料可包括具有交 替的㈤及低折&率之複數$,並該複數層係、經排列與選 X致一向色性塗層36不會反射υν燈22所發射的全 Ρ的傷害性熱產生之紅外輻射,而是會容許某些該輻射 通過進人縱向帶中。該帶3G的石英材料傳輸紅外線光而 一向色性塗層36反射1^燈22發射的紫外線光。因為 二向色性塗層係非金屬,從功率源(未圖示)施加至卩乂燈 22並向下入射至石英背側的微波輻射不會顯著地與心 層反應或受調節層吸收,並且易於傳輸以在〇〃燈U中 離子化氣體。 一般而言,無塗層施加於縱向帶3〇的背表面h。但 是次要反射塗層(未圖示)也可施加至背表面34以反射回 任何通過在縱向帶30前方的彎曲反射表面32的紫外線 輻射,或者更快速地驅散因暴露於紫外線輻射而累積在 縱向帶30中的熱量。 ' 於縱向帶30中提供複數穿透孔洞4〇。孔洞4〇從背表 面34延伸至彎曲反射表面32以容許冷卻劑氣體〇從外 部冷卻劑氣體源受導引朝向UV燈22。孔洞40包括具有 第一直徑之第一孔洞44,其大於第二孔洞46之第二直 徑。第一孔洞44及第二孔洞46沿著縱向帶3〇的中央軸 線48對齊。舉例而言,第一孔洞44具有從約〇2公餐 至約4公f之直徑,而第二孔洞46可具有從約6公爱至 約i2公楚之直徑。如另一範例’第—孔洞料的總數量 範圍從約1〇個至約5〇個;而第二孔洞46的總數量範圍 由約2個至約6個。此外,孔洞的邊緣可呈一角度扭曲
或傾斜。適合的角度範圍可由約1〇度至約45度=諸如 25 度。 X 在-實施例中,中央反射器28的縱向帶3〇之每一末 端50a及5Gb包含末端凸耳…及咖。反射器支架μ [ff\ ^ L 一 _1 包含末端支架56a及56b,其每一且古费 八’具有戴去部位 (cmout)58a及58b ’該截去部位係經塑型以致中央反射 器28的每一末端凸耳52a及52b能相稱於末端支架 及56b的截去部位58a及58b,以支承位在1;¥燈22後 二處的中央反射器28(如第3A圖及第3B圖所示)。反射 盗支架54可由諸如Teflon®2類的聚合物所製成, Teflon®係購自美國德拉瓦州的Dup〇nt心仏则ws公 司 方案中,末端凸耳52a和52b係模形凸耳,其相 稱於對應的末端支架56a及5613之楔形截去部位。楔形 的凸耳也可具有傾斜表面60,該表面匹配於末端支架 56a及56b之楔形截去部位的對應之傾斜表面Q之傾斜 度。此容許中央反射器28從燈組件後方安裝到末端支架 的楔形截去部位以支撐中央反射器28。 電細模型圖表係用於基於遍及習知Uv源組件(第4 a 圖)的氣體流動分佈、以及遍及包含具孔洞44中央反射 器28之UV燈模組20實施例(第4B圖)的氣體流動分 饰’而決定燈泡表面溫度。對照之下,習知系統包含不 具孔洞的矩形中央反射器板。習知系統中,冷卻氣流一 致地掃動過兩側的燈泡表面。但是,因為燈泡溫度非一 致’某些熱點區域獲得較少冷卻功率而其他冷區域獲得 過量冷卻氣流。如從這些圖所觀察,相較於習知系統, 大體上增強的氣體流係使用位於UV燈22後方的具孔洞 4〇之中央反射器28而獲得。如第4Α圖所示,使用習知 系統遍及UV燈泡22的氣流提供較不集中於uv燈泡22 1-374452 • f (in u_——____ j 表面的冷卻氣流。對照之下,當氣體穿過如第4B圖所示 之中央反射器28的第一孔洞44及第二孔洞46時,遍及 UV燈泡22的冷卻氣體之氣流教示冷卻氣流係有效地導 引至UV燈泡22的關鍵性頂端定點。此於UV燈泡22 上提供低了 45。(:之表面溫度(從648°C至603°C )。這些圖 表係使用由法國ESI購得的Monte Carlo Flow模擬程式 (CADalyzer®)所建立模型。此模擬模型係使用在給定的 氣流條件下模擬熱能分佈的迭代程序。提供示範性模型 模擬的同時’應瞭解到本發明涵蓋在此述之外的模型方 案。 顯示於第1圖及第2圖的方案中,除了中央反射器28 之外,反射器組件24也可包括第一側反射器7〇以及第 二側反射器72,其放置於中央反射器28各側。第一側 反射器70以及第二侧反射器72也可由鑄型石英製成, 並具有各為弧形反射表面74、76的内部表面。弧形反射 表面74、76也可具有其上的二向色性塗層,其係如使用 於中央反射器28的相同的塗層材料。包含中央反射器 28、第一侧反射器7〇及第二侧反射器72的反射器組件 24形成長的共鳴空腔,該空腔具有在燈之上於一 頂點相會的拋物線型表面8〇並如第i圖所示橫切中央反 射器28的縱向帶30之長邊。第—側反射器7〇及第二側 反射器72各縱向延伸越過uv燈22的長邊。 中央及侧反射器28、70、72之任一者個別可為橢圓形 或抛物線型反射器’或者包括橢圓形及拋物線型反射部 10 1374452 月/^.射更)正替 份之結合。搞圓形反射器較拋物線型反'射器能相稱於更 小的共鳴空腔以用於同寬的光束,且相較於拋物線型反
射益’也可達成更卓越的光一致性。但是,兼具橢圓形 及抛物線型區段的反射器28、70、72在設計依應用而修 改的反射圖案時,其容許更多靈活度。再者,橢圓形反 射器不需具有真正的或完美的橢圓形。相反地,不具清 楚定義之焦點而具有部份或半橢圓形形狀的反射器亦可 為橢圓形反射器。類似地,拋物線型反射器不需具有真 正的或完美的拋物線型。相反地,反射不精確平行的光 線而具有部份或半拋物線型形狀的反射器亦可為拋物線 型反射器。應注意到,如繪示之實施例,反射器28、7〇、 72顯示為各別不連接的平板,然本發明並不限制於該相 同物,且反射器28、7〇、72可以單獨的U形部件連接, 其可或可不包括縱向孔隙。
反射器組件24控制來自!;¥燈22的照射曲線並且可 補償直接的光之非—致性(沿UV燈的照射係離光源中心 的距離之函數)。在顯示的實施例中,使用單獨的UV燈 22以照射基# 38 ’第一側反射it 70及第二側反射器72 具有弧形反射表面74、76,該等表面係相反的對稱反射 表面但疋’在其他實施例中,例如當使用二個或多個 uv_燈22以照射基材38時,非對稱的一對側反射器(未 圖示)也可如描述般使用。 。如第1圖與第2圖所示,除主要反射器4〇之外,反射 0 ’件24也可包括次要反射器9〇。次要反射器川進一 11 1374452
於月和修(更)正替钱育I
步導向及再導引以其他方式落於主要反射器之滿溢圖案 (flood pattern)邊界外的Uv輻射,以致此反射的輻射沖 射待處理的基材38以増加輻照基材38的能量強度。次 要反射器90表現為特殊uv燈22及/或主要反射器% 而訂做的複雜形狀。亦可視應用的需求而定,訂做次要 反射器90(使用時,與主要反射器結合)適於特殊的入射 曲線以及一致性層級。舉例而言,在某些實施例中,次 要反射器90可經設計以產生邊緣高的人射曲線以補償 中央高的加熱器熱能曲n然,視次要反射_9〇是否 與如下討論的靜態燈或旋轉燈一起使用而定,其通常可 經設計以產生不同的入射圖案。 如第2圖所示,次要反射器9〇將uv^ 22的滿溢圖 案從大體上矩料域修改以體±_ 92,以對應所暴 露的大體上圓形的半導贈其M , 回〜J干等體基材38。次要反射器9〇包括 上層部份94以及下層部份96,其相會於環繞反射器9〇
的内部周邊延伸的頂點98。上層部份94包括半圓形的 截去部位100以容許冷卻空氣無阻塞地流動至UV燈 22。上層部份94也包括 徊相對的、通常向内傾斜(從 頂知)縱向表面1 〇2a及1 〇2b,W β Λ v 以及兩個相對的橫切表面 1 C及1〇2d。橫切表面l〇2b通當伤卡古认 係垂直的且沿橫切方 向具有凸起的表面。縱而类 陷。 縱向表面102a通常係沿著縱向凹 放置在上層部份94正 對的、通當ή W 下層份96包括兩個相 …外傾斜(從頂端)的表面1〇乜以及兩個相對 12 1374452 月识更玷 的、通常向外傾斜的橫一 、表面1 04b。在顯示的實施例中, 表面104b較表面]〇9h © θ 更具減少的角度(相對於垂直)。 縱向表面1 〇2a通常传外护& u ^ 承係/〇縱向凹陷,而表面102b通常係 沿橫切方向凸起(其具有—值得注意的例夕卜,在轉角108 處,表面1〇2a的下層部份與表面職的下層部份相會)。
第1圖顯示從UV燈22發射以及由主要及次要反射器 26、90各別反射的uv^射之簡化的反射路經。如所示, 主要反射器26以及次要反射器%的結合容許大體上所 有由輻射源22發射的uv _被導引朝向及沖射基材 38。來自輻射源22的輻射可藉由不同示範性路徑沖射基 材38,該等路徑包括直接撞擊基材38 **由主要反射 器26或次要反射器9〇反射的路徑u〇a、被中央反射器 28反射後撞擊基材38的路徑祕、被第一側反射器7〇 反射後撞擊基材38的路經11〇c、被次要反射器9〇的上 層部份94反射後撞擊基材38的路徑u〇d以及被次要反 射器90的下層部份96反射後撞擊基材38的路徑ii〇e。 應瞭解到,路徑ll〇a_e僅為示範性路徑且許多其他反射 路徑可直接從輻射源22產生,或從主要反射器26或次 要反射器90反射。 如此述之紫外線燈模組20可用於許多不同類型的基 材處理設備,例如包括半導體處理設備、太陽能電池板 處理設備以及顯示器處理設備。顯示於第5圖及第6圖 的示範性基材處理設備200可用於處理半導體晶圓,諸 如矽或複合半導體晶圓。設備200繪示ProducerTM處理 13 丄374452 系統之實施例,其可購自美國加州’H^rciara,Calif的
Applied Materials,Inc.。如第 5 圖所示,設備 2〇〇 為一
獨立性的系統’其具有支樓於主機結構2 〇 2上的必須處 理設施。設備200通常包括卡式負載腔室204,其中基 材卡盒206a' b係受支撐以容許負載與卸載基材38進入 及離開負載鎖定腔室208,該設備200尚包括容納基材 處置器214的移送腔室210,架置於移送腔室21〇上的 —系列串接製程腔室2 16a-c。設施末端220容納操作設 備20 0所需的支樓設施,諸如氣體平板222以及功率分 配平板224。 每一串接製程腔室216a-c包括製程區域218a、b(如顯 示於腔室216b) ’其能夠各別處理基材38a、b。該二個 製程區域218a、b共享一共同的氣體供給、共同的壓力 控制以及共同的製程氣體排氣/抽氣系統,其容許不同組 態間快速轉換。腔室216a-c的排列與結合可經修改以執 行特定製程步驟。串接製程腔室2163_^之任一者可包括 如下項所述之蓋體,其包括一個或多個11乂燈22以用於 在基材38上處理材料及/或用於腔室清潔製程。在所示 的實施例中,所有三個串接製程腔室216a_c具有uv燈 2並且裝配成UV固化腔室以並聯運作以求最大產量。 但是,在可替換的實施例中’所有串接製程腔室2i6a< 可不裝配成UV處理腔室,且設備200可適於具有執行 諸如化學氣相沉積(CVD)、物理氣相沉積(PVD)、蝕刻或 ^等製程之結合的其他製程並同一腔室中執行處理 14 Γ374452 的腔室。舉例而言’設備200可以裝配成使串接製程腔 室216a-c的其中一個腔室做為CVD腔室以於基材38上 沉積材料(諸如低介電常數(K)薄膜)。
第6圖顯示設備200的串接製程腔室216的一實施 例,其經裝配用於UV處理諸如半導體晶圓之基材3 8。 製程腔室216包括主體230以及鉸合至主體23 0的蓋體 234。耦接至蓋體234的是兩個外罩238a、b,其每一皆 耦接至入口 24〇a、b以及出口 232a、b,其用於傳遞冷卻 劑氣體通過外罩23 8a、b的内部。可從冷卻劑氣體源244 透過管線246a、b以及氣流控制器248a、b獲得冷卻劑 氣體’而該冷卻劑氣體可存在於室溫或更低之溫度,例 如約22°C。冷卻劑氣體源244提供維持於充分壓力與流 速的冷卻劑氣體至入口 240a、b以確保適當操作UV燈 22及/或用於與串接製程腔室2i6a_c結合的燈之功率 源。可與串接製程腔室216 一併使用的冷卻模組之詳細 内谷可得於2006年Π月3日提出申請、標題為「用於 U V固化系統之氮氣濃化冷卻空氣模組」之共同讓渡的美 國專利中《 11/556,642,其内文併人本文作為參考。 可藉由以無氧的冷卻劑氣體(例如氮氣、氬氣或氦氣)冷 卻燈以避免形成臭氧。在一方案中,冷卻劑氣體源244 提供流速從約200至厕seem、包含氮氣的冷卻劑氣 體。出口 M2a、b從外罩238a、b接收排出的冷卻劑氣 體’其係藉由共同排氣系統(未圖示)所收集,該系統包 括洗務器以移除由uv燈泡(視燈泡選擇而定)潛在產生 15 1374452 的臭氧 ***^*** ·-**·». · ««·«»·..>...—..’年灼修 每-外罩2G4覆蓋兩個取燈22中之一者該等辟 燈係各別配置於主體23〇内所界定的兩個製程區域 218a、b之上。雖如前所示,單一的uv燈22係於兩個 製程區域218a、b之上,然應注意到,多個—燈可用
於增加總照射量,如描述於2〇〇7年3月15日提出申請、 標題為「使用主要及次要照射器以㈣輻射處理基材之 設備及方法」的美國專利公開號2〇〇7〇2572〇5ai,其内 文併入本文作為參考。每一外罩238a、b包含11乂燈22 放置其中的上層外軍252a、b以及次要反射器9〇安置其 中的下層外罩256a、b。。在所示的方案中,圓盤255a、 b各別具有複數齒25 7a、b,該等齒爽住對應的帶(未圖 不)’該帶耦接圓盤至心軸(未圖示),該心軸進而在操作
上耦接至馬達(未圖示)。圓盤255a、b、帶、心軸以及馬 達容許上層外罩252a、b(以及架置其中的uv燈22)相對 於安置在基材支撐件254a、b上的基材而旋轉。每一次 要反射器90藉由托架附接至各別圓盤255a、b的底部, 該托架容許次要反射器在下層外罩256a、b之内與上層 外罩252a b與UV燈22 —起旋轉。相對於暴露的基材 38a、b旋轉UV燈22可改善橫跨基材表面的暴露度的一 致性》—實施例中,UV燈22可相對暴露的基材38a、b 至少旋轉180度,而其他實施例中,uv燈22可旋轉27〇 度或甚至恰好360度。 每一製程區域218a、b包括在製程區域218a、b内用 16 1-374452 卜你㊉於洚(更> 正替換頁i 於支撐基材38a、b的基材支撐件254a、b。支撐件、 b可被加熱並且可由陶究或諸如鋁之金屬製成。較佳地, 支撐件254a、b耦接至心柱258&、b,該心柱透過主體 230的底部延伸並且藉由驅 及is離UV燈22移動製程區
b。驅動系統260a、b也可於固化期間旋轉及/或移位支 撐件254a、b以進一步促進基材照度的一致性。視光線 傳遞系統設計考量的性質(諸如焦距)而定,除了在可能 微調基材38上入射的UV照射層級外,將支撐件254a、 b以可調整方式放置,尚能控制易揮發的固化副產物、 淨化物、清潔氣體的流動模式以及滯留時間。 在所不之方案,UV燈22係長的圓柱密封電漿燈泡, 其以汞填充以受功率源(未圖示)所激發。一方案中,功 率源係微波產生器,其包括磁電管以及賦能磁電管燈絲
動系統260a、b操作以朝向 域250a、b中的支撐件254a、 的變虔器…方案中’千瓦微波功率源產生微波該微 波功率源鄰近外罩238a、b的穿孔(未圖示)並透過穿孔 傳輸施加至UV燈22的微波。提供上至6〇〇〇瓦的微波 功率的功率源從每一 UV燈22能產生上至約1〇〇瓦的 UV光。一方案中,UV燈Μ發射橫跨波長從丄川^^至 4〇〇nm之寬廣波段的UV光。1;¥燈22中的氣體決定發 射的波長,且因更短的波長在氧氣存在時趨於產生臭 氧,故UV燈22發射的UV光可調至顯著地產生2〇〇nm 以上多頻率的UV光以避免UV處理製程期間產生臭氧。 從每一 UV燈22發射的UV光藉穿過配置於蓋體234 17
内穿孔中的窗264a、b進入製程區域25〇a、b之一。_ 方案中’冑264a、b由合成的石英玻璃所製成,.且具有 充分厚度以維持真空而不破裂。舉例而言’ f 26钝、卜 可由無OH的融合二氧化石夕製成,其可傳輸下至約丄⑽ 的uv光。蓋體234密封至主體23〇,以致窗、匕密 封至蓋體234以提供製程區域218a,其具有能夠維持從 約1托爾至約650托爾之壓力的體積。製程氣體透過兩 個入口通道262a、b之一者進入製程區域2i8a、b,而藉 由共同的排氣埠口 266離開製程區域218a、b。亦然, 供給至外罩238a、b内部的冷卻劑氣體循環通過uv燈 22,但藉由窗264a、b與製程區域218a、b隔離。 現將描述示範性紫外線處理製程,其中,包含碳氧化 矽的低k介電材料受到固化。對於此類固化製程,於35〇 C至500 C間加熱支撐件254a、b,而製程區域258a、b 維持在從約1至約10托爾的氣體壓力以促進熱量從支撐 件254a、b傳送至基材38。在該固化製程中,於每一串 接腔室216a-c中藉由每一入口通道262a、8托爾之 壓力以14 slm之流速導入氦氣(成對腔室每側7 slm)。對 於某些實施例,固化製程亦可使用氮氣(N2)或氬氣(Ar) 代替或混合氦氣。淨化氣體移除固化副產物,促進橫跨 基材38a、b的一致熱傳,並且將製程區域25〇a、b内表 面上累積的殘餘物減至最少。也可添加氫氣以從基材38 上的薄膜移除一些甲基並且清除固化期間釋放的氧氣。 另一實施例中,固化製程使用包含脈衝氙氣閃燈的脈 18 1-374452 .Μ. «7:
A 衝UV燈22。製程區域218a、b維持在壓力為約ι〇毫托 爾至約700托爾的真空下,而基材38a、 UV燈22的UV光脈衝。脈衝的UV燈22 諧用於各種應用的UV光輸出頻率。
b暴露於來自 可提俟一經調 清潔製程也可在製程區域218a、b中執行。在此製程 中’支擇件254a、b的溫度可升至約1〇〇。〇至約6〇〇<^之 間。在此清潔製程中,元素氧與存在於製程區域Η。、 b表面的碳氫化合物及碳物料反應以形成—氧化碳以及 二氧化碳,其可透過排氣埠口 266抽出或排出。諸如氧 氣的清潔氣體可暴露於選擇的波長之uv輻射以原位產 生臭氧。當清潔氣體為氧氣時,可開啟功率源以提供 光從UV燈22以期望的波長發射,較佳地,波長約為 184.9 nm及約253.7 nm。這些uv輻射波長促進有氧之 清潔因為氧氣吸收1 84.9 nm的波長並且產生臭氧及元素 氧,而253.7 nm之波長由臭氧吸收,其轉移成氧氣以及 元素氧。在清潔製程的一方案中,包含5 slm臭氧及氧 氣(氧氣中臭氧佔13%之重量)製程氣體流進串接腔室 216a、b,均等地於製程區域218a、b内分流以產生足夠 氧自由基於處理製程區域218a、b内由表面清潔沉積 物。〇3分子也會攻擊多種有機殘餘物。殘留的〇2分子不 會移去製程區域250a、b内表面上的碳氫化合物沉積 物。可在固化六對基材38a、b後,在8托爾下以2〇分 鐘的清潔製程執行足夠的清潔製程。 雖顯示且描述了本發明之示範性實施例,此技藝中一 19 I ------------·»— - ---—<·** ___ -_ 一^ 般技術人員可設計併入本發明及亦於本發明範疇中之其 他實施例。再者,關於圖式中示範性實施例所顯示於詞 彙「之下(below)」、「之上(ab〇ve)」、「底部(b〇tt〇m)」、「頂 部(toP)」、上(up)」、「下(如則)」、「第一」及「第二 及其他相對性或位置性的詞彙是可交換的。因此,附加 的申請專利範圍不應限於此述用於說明本發明的較佳的 方案、材料或空間排列之描述。 【圖式簡單說明】 參考隨後的敘述、附加的申請專利範圍以及繪示本發 明實施例的伴隨之圖式,可更易瞭解本發明之該等特徵 結構、態樣以及優點。但是,亦應瞭解到,每—特徵結 構通常均可用於本發明中’不僅只用於特定圖式之内 容’而本發明包括任何該等特徵結構之結合,其中: 第1圖係紫外線(UV)燈模組的實施例之簡略透視圖, 、模、。且包3 UV燈以及放置在石英窗以及基材之上的主 要反射器; 第2圖係UV燈模組以及包含主要及次要反射器的反 射器組件之頂透視圖; 第3A圖係由反射器的彎曲表面仰觀的中央反射器之 透視圖’其顯示一部分的反射器支架,以及穿過中央反 射器的孔洞越過UV燈之上的冷卻劑氣體流; 第3B圖係沿第3A圖中的視線3B所榻取的中央反射 Γ3 74452
, i -_________—_ j 器側視圖’其顯示穿過孔洞以▽燈周圍的氣體 流; 第4A圖以及第4B圖係冷卻劑氣體在習知系統中(第 4A圖)以及穿過中央反射器之孔洞並環繞uv燈周圍(第 4B圖)的電腦模型示意圖; 第5圖係根據本發明之實施例之串接腔室的簡略·橫截 面圖; 第6圖係根據本發明之實施例之基材處理設備之簡略 頂平面圖。 【主要元件符號說明】 20紫外線燈模組 22紫外線燈 23功率源 24反射器組件 26主要反射器 28中央反射器 3 0縱向帶 32彎曲反射表面 34背表面 36塗層 38基材 40、44、46孑匕洞 21 1374452 月听皆沒)正f技㈢ __———」
4 2冷卻劑氣體 48 中央軸線 50末端 52末端凸耳 54反射器支架 56末端支架 58截去部位 60 、 62表面 70、72側反射器 74、76反射表面 80拋物線型表面 90次要反射器 92圓形 94上層部份 96下層部份 98頂點 100截去部位 102表面 104表面 108轉角 11 Oa-e路徑 200設備 202主機結構 204卡式負載腔室 22 Γ374452 卡盒 負載鎖定腔室 移送腔室 基材處置器 串接製程腔室 製程區域 設施末端 氣體平板 功率分配平板 主體 蓋體 外罩 入口 出口 冷卻氣體源 管線 控制器 製程區域 、256外罩 支撐件 圓盤 齒 心柱 驅動系統 Γ374452 262通道 264 窗 266埠

Claims (1)

  1. Γ374452 七、申請專利範圍:
    ,/,τ * ·* · · --> - 1. 一種紫外線燈反射器,包括沿該紫外線燈的一長度延伸 的一縱向帶,該縱向帶包括: (a) —彎曲反射表面; (b) 複數個穿透孔洞,該等穿透孔洞導引一冷卻劑氣 體朝向該紫外線燈;以及 (c) 在每個端部的一端部凸耳。 2.如申請專利範圍第丨項所述的反射器,其中該縱向帶的 該彎曲反射表面包括以下之中的至少—個: (a) 至少約2 crn的曲率半徑; (b) 少於約5 cm的曲率半徑;以及 (c) 一二向色性塗層。 其中該複數個穿 一孔洞以及具有 3.如申請專利範圍第1項所述的反射器, 透孔洞包括具有—第一直徑的多個第 第一直徑的多個第二孔7同,該第—直經大於該第二直 徑。 4.如申請專利範圍第3項所述的反射器,其中該等第—和 第二孔洞沿該縱向帶的〆中央轴線對齊。 Γ"—~~ 第號專利蒗。丨年今月 5.如申請專利範圍第1項所述的反射器 耳爲楔形。
    日修®正替換g ’其中該等端部i 6.如申請專利範圍第1項所述的反射器,進一步包括多個 聚合端部支架,每個聚合端部支架具有一截去部位,並 且其中該反射器的每個端部凸耳裝入一端部支架的一 截去部位。 7. —種反射器組件,該反射器組件在一中央位置包括如申 请專利範圍第1項所述的反射器,該反射器組件進一步 包括第一和第二側反射器,該第一和第二側反射器位於 該位於中央的反射器的任一側(either side )上。 8·如申請專利範圍第7項所述的反射器組件,其中該位於 中央的反射器以及該第一和第二側反射器形成一拋物 線型表面’該表面橫切該縱向帶的一長度相會於一頂 點。 9.如申請專利範圍第7項所述的反射器組件,其中該位於 中央的反射器以及該第一和第二側反射器構成一主要 反射器,且該反射器組件進一步包括位於該主要反射器 及一基材支撐件之間的一次要反射器,該次要反射器包 括上層及下層區段,每一區段包括⑴具有多個端部的多 個相對縱向表面,以及(ii)在該等縱向表面的該等端部 1374452
    之間延伸的多個相蜚 10. —種紫外線燈模組, 的反射器組件,以及i 吴,,且,包括如申請專利範圍第7項所述 以及進—步包括—長的紫外線燈。 11. 一種基材處理腔室,包括: (1) 一基材支撐件; ⑺如申請專利範圍帛1〇項所述的紫外線燈模 12. 一種基材處理腔室,包括: (a) —基材支樓件;以及 (b) —紫外線燈模組,包括: (i) 一長的紫外線燈’該紫外線燈與該基材支撐件隔 開,该紫外線燈配置成朝該基材支撐件傳輸紫外線 光;以及 (⑴一主要反射器,包括: (1) 中央反射器,包括沿該長的紫外線燈的長 度延伸的一縱向帶,該縱向帶具有位於每一 端部處的端部凸耳、一彎曲反射表面、以及 複數個穿透孔洞,該等穿透孔洞配置成導引 一冷卻劑氣體朝向該長的紫外線燈;以及 (2) 第一與第二側反射器,位於該中央反射器的 任一側上。 2η 13.如申請專利範圍 的該彎曲反射表面 第12項所述的腔室,其中該縱向帶 包括以下之中的至少一個: (a) 約2 cm至約5 cm的—曲率半徑;以及 (b) —二向色性塗層。 申明專利範圍第12項所述的腔室,其中該複數個 透孔洞包括沿該縱向帶的一中央軸線排列的多個第 第一孔洞,該等第一孔洞具有一第一直徑,該第一 直徑大於該等第二孔洞的一第二直徑。 ’如申請專利範圍第12項所述的腔室,其中該主要反 2包括-對聚合端部支架,每個聚合端部支架:具有一 萄·去部位,並且直中續中本 邻凡甘壯 〃中以中央反射15的该縱向帶的每個端 耳裝入一端部支架的一截去部位。 6.如申請專利範圍第12項所述的腔室 射器包含石英。 其中该中央反 τ靖寻利範圍第 射器以及号·笛 面,社主 叹拋物錦 ^面橫切該縱向帶的一長度相會於—頂點 8.如申請專利範圍f 12項所述 進一步包括 物線型 位 :該主要反射器及該基材支撐件的-::要反射 岛,該次要反射器包括上層及下層區段,每—區段包括 G)具有多個端部的多個相對縱向表面,以及⑴)在該等 縱向表面的該等端部之間延伸的多個相對橫切表面。 9·如申請專利範圍第12項所述的腔室,進一步包括一 窗,該窗將該紫外線燈與該基材支撐件分離。 種用紫外線輻射處理一基材的方法,所述方法包括 以下步驟: (a) 在一處理區域中提供一基材; (b) 賦能一紫外線燈以產生紫外線輻射; (〇)採用位於一縱向帶的每個端部處的多個端部凸耳 來支撐該縱向帶,該縱向帶具有位於該紫外線燈背面的 —彎曲反射表面以朝向該基材反射所產生的該紫外線 輻射;以及 ^ (d)導引複數個冷卻劑氣體流通過該彎曲反射表面中 的多個孔洞以冷卻該紫外線燈。 2 1.如申請專利範圍第20項所述的方法,包括以下步驟 中的至少一者: (a) 導引複數個冷卻劑氣體流通過多個具有不同直徑的 孔洞; (b) 導引包含氮氣的冷卻劑氣體;以及 ^ ** > i ^ ** > i 至該紫 (c)將形成於該基材上的一層低k介電材料露 外線輻射以固化該介電層。 22. —種紫外線燈反射器組件,包括: (a) —中央反射器,該中央反射器包括—縱向帶,該縱 向帶具有一彎曲反射表面以及複數個穿透孔洞; (b) 第一及第二側反射器,該第一及第二側反射器位於 該中央反射器的任一側上;以及 (0—次要反射器,該次要反射器位於該中央反射器下 方,該次要反射器包括上層及下層區段,每一區段包括 (i)具有多個端部的多個相對縱向表面,以及(丨丨)在該等 縱向表面的該等端部之間延伸的多個相對橫切表面。 23. 如申請專利範圍第22項所述的反射器組件,其中該 中央反射器、該第一和第二側反射器以及該次要反射器 中的至少一者包含石英。 24. 如申請專利範圍第22項所述的反射器組件,其中該 中央反射器以及該第一和第二側反射器形成一抛物線 型表面,該表面橫切該縱向帶的一長度相會於一頂點。 25. 如申請專利範圍第22項所述的反射器組件,其中該 中央反射器、該第一和第二側反射器以及該次要反射器 中的至少一者包含約2 cm至約5 cm的一曲率半徑。 j ^4452
    26. 述 /種紫外線燈模組’包括如申請專 的反射器絚件,並且進—步包括一 利範圍第22項所 長的紫外線燈。 27. 窗 如申請專利範圍第26項所述 處理腔室中將該紫外線燈與 的模組,進一步包括在 一基材支撐件分離的一 2 8. 一種紫外線燈反射器’包括沿一紫外線燈的長度延伸 的一縱向帶’該縱向帶具有: (a) —彎曲反射表面;以及 (b) 複數個穿透孔洞,該等穿透孔洞導引一冷卻劑氣 體朝向一紫外線燈,該等穿透孔洞包括呈角度或傾斜的 多個邊緣。 29. 如申請專利範圍第1或28項所述的反射器’包含石 英。 30. 如申請專利範圍第28項所述的反射器,其中該等穿 透孔洞呈約1 〇度至約4 5度的一角度。 31. 如申請專利範圍第28項所述的反射器,其中該等穿 透孔洞包括多個第一和第二孔洞,該等第一孔洞具有比 該等第二礼洞的一第二直徑大的一第一直徑。 匕々f簡正替韻! • --,_»,_· J 32. -種紫外線燈模組,包括如申請專利範圍第28項所 述的反射器,並且進-步包括一長的紫外線燈。 33. 一種基材處理腔室,包括: (1) 一基材支撐件;以及 ⑺如申請專利範圍第32項所述的一紫外線燈模組。 从-種主要反射器組件,包括位於一中央位置的如申請 專利範圍第28項所述的反射器,並且進一步包括第一 和第二側反射器,該第一和第二側反射器位於該位於中 央位置的反射器的任一側上。 35. -種反射器組件’包括如申請專利範圍第Μ項所述 的主要反射器組件’並且進—步包括〜欠要反射器,該 次要反射器包括上層及下層區段,每一區段包括⑴Λ 多個端部的多個相對縱向表面,以及(U)在料縱向表 面的該等端部之間延伸的多個相對橫切表面。 36. —種㈣外線II射處理—基材的方法,該方法 下步驟: Λ (a) 在一處理區域中提供一基材; (b) 賦能一紫外線燈以產生紫外線輻射; (C)安置一縱向帶以朝向該基材反射所產生的該紫外 Γ374452 疹(更泣替換頁i 線輻射’該縱向帶具有一彎曲反射表面和多個孔洞,該 等孔洞具有多個呈角度或傾斜的邊緣;以及 ⑷導引複數個冷卻劑氣體流進人具有呈角度或傾斜 的邊緣的料孔洞,錢科卻織體_料線燈注 3 7. 一種如申請專剎監m狀 範圍第36項所述的方 步驟中的至少_者. 在’包括以下 (a) 通過具有不同直 氣體流;或者 、夕個孔/同注Λ複數個冷卻劑 (b) 注入包含氮氣 礼的冷卻齊||氣體。
TW098135450A 2008-10-21 2009-10-20 Ultraviolet reflector with coolant gas holes and method TWI374452B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/255,609 US7964858B2 (en) 2008-10-21 2008-10-21 Ultraviolet reflector with coolant gas holes and method

Publications (2)

Publication Number Publication Date
TW201029017A TW201029017A (en) 2010-08-01
TWI374452B true TWI374452B (en) 2012-10-11

Family

ID=42107912

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098135450A TWI374452B (en) 2008-10-21 2009-10-20 Ultraviolet reflector with coolant gas holes and method

Country Status (6)

Country Link
US (2) US7964858B2 (zh)
JP (1) JP5401551B2 (zh)
KR (1) KR101244243B1 (zh)
CN (3) CN102187441B (zh)
TW (1) TWI374452B (zh)
WO (1) WO2010048237A2 (zh)

Families Citing this family (309)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100242299A1 (en) * 2003-01-09 2010-09-30 Con-Trol-Cure, Inc. Uv curing system and process
JP5423205B2 (ja) * 2008-08-29 2014-02-19 東京エレクトロン株式会社 成膜装置
JP5445044B2 (ja) * 2008-11-14 2014-03-19 東京エレクトロン株式会社 成膜装置
US8314408B2 (en) 2008-12-31 2012-11-20 Draka Comteq, B.V. UVLED apparatus for curing glass-fiber coatings
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8617347B2 (en) * 2009-08-06 2013-12-31 Applied Materials, Inc. Vacuum processing chambers incorporating a moveable flow equalizer
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5257328B2 (ja) * 2009-11-04 2013-08-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5310512B2 (ja) * 2009-12-02 2013-10-09 東京エレクトロン株式会社 基板処理装置
JP5553588B2 (ja) * 2009-12-10 2014-07-16 東京エレクトロン株式会社 成膜装置
JP5909039B2 (ja) * 2010-04-06 2016-04-26 株式会社小森コーポレーション 巻紙印刷機
EP2388239B1 (en) 2010-05-20 2017-02-15 Draka Comteq B.V. Curing apparatus employing angled UV-LEDs
US8871311B2 (en) 2010-06-03 2014-10-28 Draka Comteq, B.V. Curing method employing UV sources that emit differing ranges of UV radiation
WO2012009628A1 (en) 2010-07-16 2012-01-19 Nordson Corporation Lamp systems and methods for generating ultraviolet light
US8794801B2 (en) * 2010-07-30 2014-08-05 Kla-Tencor Corporation Oblique illuminator for inspecting manufactured substrates
DK2418183T3 (en) 2010-08-10 2018-11-12 Draka Comteq Bv Method of curing coated glass fibers which provides increased UVLED intensity
US8309421B2 (en) 2010-11-24 2012-11-13 Applied Materials, Inc. Dual-bulb lamphead control methodology
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101290570B1 (ko) * 2012-03-06 2013-07-31 삼성코닝정밀소재 주식회사 고주파 가열 장치
KR101488659B1 (ko) 2012-03-06 2015-02-02 코닝정밀소재 주식회사 고주파 가열 장치
RU2636754C2 (ru) * 2012-08-23 2017-11-28 Филипс Лайтинг Холдинг Б.В. Осветительный прибор с сид и улучшенным отражающим коллиматором
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6171483B2 (ja) * 2013-03-29 2017-08-02 岩崎電気株式会社 照射装置
JP6186799B2 (ja) * 2013-03-28 2017-08-30 岩崎電気株式会社 照射器
WO2014170093A2 (en) * 2013-04-17 2014-10-23 Asml Netherlands B.V. Radiation collector, radiation source and lithographic apparatus
US9132448B2 (en) * 2013-10-23 2015-09-15 Miltec Corporation Apparatus for radiant energy curing of a coating
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9433973B1 (en) * 2015-06-15 2016-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. UV curing apparatus
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
DE102015212969B4 (de) * 2015-07-10 2019-04-18 Koenig & Bauer Ag UV-Bestrahlungsvorrichtung
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US20170358446A1 (en) * 2016-06-13 2017-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer processing apparatus and wafer processing method using the same
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10446420B2 (en) * 2016-08-19 2019-10-15 Applied Materials, Inc. Upper cone for epitaxy chamber
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
CN108091588B (zh) * 2016-11-21 2019-05-31 北京北方华创微电子装备有限公司 一种退火工艺方法、工艺腔室及退火设备
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
CN108662444B (zh) * 2017-03-30 2021-01-05 深圳市优绿源科技有限公司 紫外光led光源装置
CN106896543B (zh) * 2017-04-14 2019-09-13 武汉华星光电技术有限公司 一种紫外线固化装置
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102328781B1 (ko) * 2018-03-23 2021-11-22 한양대학교 산학협력단 리플렉터 및 이를 포함하는 광소결 장치
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) * 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
CN108848578A (zh) * 2018-08-01 2018-11-20 绵阳力洋英伦科技有限公司 一种闭合双抛物球面薄板高速加热装置及反射面处理方法
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
KR102179827B1 (ko) * 2018-08-06 2020-11-17 곽주현 경화 장치
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
KR20210047961A (ko) * 2018-09-24 2021-04-30 어플라이드 머티어리얼스, 인코포레이티드 세정 및 표면 처리를 위한 원자 산소 및 오존 디바이스
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
CN114340778A (zh) * 2019-06-24 2022-04-12 不列颠哥伦比亚大学 用于流体受控辐照的多反射器式光反应器
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
JP7304768B2 (ja) 2019-08-16 2023-07-07 株式会社Screenホールディングス 熱処理装置および熱処理装置の洗浄方法
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
JP7248954B2 (ja) * 2019-08-29 2023-03-30 岩崎電気株式会社 低圧水銀ランプユニット
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
DE102021000459A1 (de) 2020-03-20 2021-09-30 Kastriot Merlaku Ventilator
DE102020003124A1 (de) 2020-03-20 2021-09-23 Kastriot Merlaku Virenschutz-Vorrichtung für eine Luftdurchströmungs- / Belüftungs-Vorrichtung oder Lüftungs-Anlage oder Klima-Anlage
CN111532024B (zh) * 2020-03-26 2021-12-28 东莞市汇创智能装备有限公司 Uv固化机及其uv灯箱
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TWI756761B (zh) * 2020-04-06 2022-03-01 香港商正揚科技有限公司 紫外光固化裝置
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11744913B2 (en) * 2020-11-05 2023-09-05 Bolb Inc. Fluid conduit disinfector
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4048490A (en) * 1976-06-11 1977-09-13 Union Carbide Corporation Apparatus for delivering relatively cold UV to a substrate
JPH02189805A (ja) * 1989-01-17 1990-07-25 Ushio Inc マイクロ波励起型無電極発光装置
US5959306A (en) * 1996-02-08 1999-09-28 Bright Solutions, Inc. Portable light source and system for use in leak detection
US6098637A (en) * 1998-03-03 2000-08-08 Applied Materials, Inc. In situ cleaning of the surface inside a vacuum processing chamber
US6118130A (en) * 1998-11-18 2000-09-12 Fusion Uv Systems, Inc. Extendable focal length lamp
US6630682B2 (en) * 2000-03-13 2003-10-07 Victor J. Shanley Combination UV inspection light and flashlight
US7265062B2 (en) * 2000-04-04 2007-09-04 Applied Materials, Inc. Ionic additives for extreme low dielectric constant chemical formulations
DE10196030T1 (de) * 2000-04-07 2003-03-27 Nordson Corp Mikrowellenerregtes Ultraviolett-Lampensystem mit verbesserter Lampenkühlung
US6759664B2 (en) * 2000-12-20 2004-07-06 Alcatel Ultraviolet curing system and bulb
US7091137B2 (en) * 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US20030192577A1 (en) 2002-04-11 2003-10-16 Applied Materials, Inc. Method and apparatus for wafer cleaning
KR20030096733A (ko) * 2002-06-17 2003-12-31 삼성전자주식회사 자외선 조사 장치
US20050095859A1 (en) * 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US20050250346A1 (en) * 2004-05-06 2005-11-10 Applied Materials, Inc. Process and apparatus for post deposition treatment of low k dielectric materials
US7077547B2 (en) * 2004-07-29 2006-07-18 Nordson Corporation Shuttered lamp assembly and method of cooling the lamp assembly
US20060105106A1 (en) 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
JP2006147782A (ja) 2004-11-18 2006-06-08 Toshiba Ceramics Co Ltd 半導体基板用マイクロ波加熱セラミックスヒータ
DE202005002740U1 (de) * 2005-02-19 2005-06-02 Visplay International Ag Vorrichtung zum Aufhängen von Artikeln oder zur Halterung einer Ablage und Aufbau damit
US20060251827A1 (en) * 2005-05-09 2006-11-09 Applied Materials, Inc. Tandem uv chamber for curing dielectric materials
US7777198B2 (en) * 2005-05-09 2010-08-17 Applied Materials, Inc. Apparatus and method for exposing a substrate to a rotating irradiance pattern of UV radiation
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7601652B2 (en) 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
JP4811000B2 (ja) 2005-12-07 2011-11-09 ウシオ電機株式会社 光照射装置
US7692171B2 (en) * 2006-03-17 2010-04-06 Andrzei Kaszuba Apparatus and method for exposing a substrate to UV radiation using asymmetric reflectors
US7589336B2 (en) * 2006-03-17 2009-09-15 Applied Materials, Inc. Apparatus and method for exposing a substrate to UV radiation while monitoring deterioration of the UV source and reflectors
US7566891B2 (en) * 2006-03-17 2009-07-28 Applied Materials, Inc. Apparatus and method for treating a substrate with UV radiation using primary and secondary reflectors
JP4896555B2 (ja) * 2006-03-29 2012-03-14 株式会社東芝 半導体製造装置及び半導体装置の製造方法
US20070286956A1 (en) * 2006-04-07 2007-12-13 Applied Materials, Inc. Cluster tool for epitaxial film formation
US7978964B2 (en) * 2006-04-27 2011-07-12 Applied Materials, Inc. Substrate processing chamber with dielectric barrier discharge lamp assembly
JP5026846B2 (ja) * 2006-04-28 2012-09-19 東海ゴム工業株式会社 アルミ系金属品付きゴム部材およびその製法
US7547633B2 (en) * 2006-05-01 2009-06-16 Applied Materials, Inc. UV assisted thermal processing
US20070256635A1 (en) * 2006-05-02 2007-11-08 Applied Materials, Inc. A Delaware Corporation UV activation of NH3 for III-N deposition
US7798096B2 (en) * 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
JP2009543355A (ja) 2006-07-03 2009-12-03 アプライド マテリアルズ インコーポレイテッド 進歩型フロントエンド処理のためのクラスターツール
JP5459944B2 (ja) * 2006-11-13 2014-04-02 大日本スクリーン製造株式会社 表面形状測定装置および応力測定装置、並びに、表面形状測定方法および応力測定方法
JP5063995B2 (ja) 2006-11-22 2012-10-31 大日本スクリーン製造株式会社 熱処理装置
JP4621699B2 (ja) * 2007-02-13 2011-01-26 株式会社東芝 使用済燃料貯蔵ラック

Also Published As

Publication number Publication date
JP2012506622A (ja) 2012-03-15
CN102187441B (zh) 2013-09-11
US20110248183A1 (en) 2011-10-13
TW201029017A (en) 2010-08-01
JP5401551B2 (ja) 2014-01-29
US8338809B2 (en) 2012-12-25
US7964858B2 (en) 2011-06-21
KR101244243B1 (ko) 2013-03-19
CN103337274A (zh) 2013-10-02
WO2010048237A2 (en) 2010-04-29
CN103400627A (zh) 2013-11-20
KR20110077008A (ko) 2011-07-06
WO2010048237A3 (en) 2010-07-15
CN102187441A (zh) 2011-09-14
US20100096564A1 (en) 2010-04-22

Similar Documents

Publication Publication Date Title
TWI374452B (en) Ultraviolet reflector with coolant gas holes and method
JP2012506622A5 (zh)
US7692171B2 (en) Apparatus and method for exposing a substrate to UV radiation using asymmetric reflectors
US7589336B2 (en) Apparatus and method for exposing a substrate to UV radiation while monitoring deterioration of the UV source and reflectors
US8203126B2 (en) Apparatus and method for exposing a substrate to a rotating irradiance pattern of UV radiation
US20070257205A1 (en) Apparatus and method for treating a substrate with uv radiation using primary and secondary reflectors
US8951348B1 (en) Single-chamber sequential curing of semiconductor wafers
KR101168821B1 (ko) 처리 챔버의 고효율 uv 클리닝
JP2012506639A (ja) マイクロメッシュスクリーンを備える紫外線透過式マイクロ波反射板
TW201009947A (en) Method and apparatus for excimer curing
JPH06349813A (ja) サブストレートを加熱する装置
CN111952213A (zh) 晶片处理装置
JPH1197370A (ja) 熱処理装置
JPS6114724A (ja) 半導体ウエハ−への紫外線照射方法
TWI785519B (zh) 微波產生器、紫外光源、與基板處理方法
WO2020194572A1 (ja) ガスレーザ装置、及び電子デバイスの製造方法
JP2003234304A (ja) 熱処理装置