JP5401551B2 - 冷却ガス用の孔を有する紫外線リフレクタおよび方法 - Google Patents

冷却ガス用の孔を有する紫外線リフレクタおよび方法 Download PDF

Info

Publication number
JP5401551B2
JP5401551B2 JP2011532341A JP2011532341A JP5401551B2 JP 5401551 B2 JP5401551 B2 JP 5401551B2 JP 2011532341 A JP2011532341 A JP 2011532341A JP 2011532341 A JP2011532341 A JP 2011532341A JP 5401551 B2 JP5401551 B2 JP 5401551B2
Authority
JP
Japan
Prior art keywords
reflector
ultraviolet lamp
substrate
longitudinal
holes
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2011532341A
Other languages
English (en)
Other versions
JP2012506622A5 (ja
JP2012506622A (ja
Inventor
ヤオ−ホン ヤン,
トゥアン アン グエン,
サンジーヴ バルージャ,
アンジェイ カシューバ,
フアン カルロス ロチャ,
トーマス ノワック,
ダスティン ダブリュ. ホー,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2012506622A publication Critical patent/JP2012506622A/ja
Publication of JP2012506622A5 publication Critical patent/JP2012506622A5/ja
Application granted granted Critical
Publication of JP5401551B2 publication Critical patent/JP5401551B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/06Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diffraction, refraction or reflection, e.g. monochromators
    • G21K1/062Devices having a multilayer structure
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K2201/00Arrangements for handling radiation or particles
    • G21K2201/06Arrangements for handling radiation or particles using diffractive, refractive or reflecting elements
    • G21K2201/064Arrangements for handling radiation or particles using diffractive, refractive or reflecting elements having a curved surface
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K2201/00Arrangements for handling radiation or particles
    • G21K2201/06Arrangements for handling radiation or particles using diffractive, refractive or reflecting elements
    • G21K2201/065Arrangements for handling radiation or particles using diffractive, refractive or reflecting elements provided with cooling means
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K2201/00Arrangements for handling radiation or particles
    • G21K2201/06Arrangements for handling radiation or particles using diffractive, refractive or reflecting elements
    • G21K2201/067Construction details

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • General Engineering & Computer Science (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Arrangement Of Elements, Cooling, Sealing, Or The Like Of Lighting Devices (AREA)
  • Chemical Vapour Deposition (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Description

【技術分野】
【0001】
本装置および方法の実施形態は、一般に基板の紫外線処置に関する。
【背景技術】
【0002】
集積回路、ディスプレイおよびソーラーパネルの製作では、誘電体材料、半導体材料および導電性材料の層が、半導体ウェハ、ガラスパネルまたは金属パネルなどの基板上に形成される。次いでこれらの層は、電気的相互接続、誘電体層、ゲートおよび電極などのフィーチャを形成するように処理される。他の処理では、紫外線放射を用いて、基板上に形成された層またはフィーチャを処置することができる。例えば、紫外線放射を急速熱処理(RTP)に用いて、基板上に形成された層を迅速に加熱することができる。紫外線放射は、ポリマー層の縮合および重合を促進するためにも用いられる。紫外線放射を用いて、圧力を加えられた薄膜層を生成することもできる。紫外線放射を用いて、チャンバを清浄化するガスを活性化することもできる。
【0003】
ある用途では、酸化ケイ素、炭化ケイ素または炭素ドープ酸化ケイ素の薄膜を処置するために、紫外線(UV)放射が用いられる。例えば、どちらも参照によって本明細書にその全体を援用する、本発明の譲受人に譲渡された米国特許第6,566,278号および第6,614,181号は、ケイ素−酸素−炭素の薄膜の処置に対する紫外線光の使用について記載している。酸化ケイ素(SiO)、炭化ケイ素(SiC)およびケイ素−酸素−炭素(SiOC)の薄膜などの材料は、半導体デバイスの製造において誘電体層として使用される。こうした薄膜を堆積させるために、化学気相成長(CVD)法が用いられることがしばしばであり、CVDチャンバ内でのケイ素供給源と酸素供給源の間の熱またはプラズマベースの反応の促進を伴う。こうしたプロセスのいくつかにおいて、CVDまたは他の反応の副生成物として水が形成されることがある。例えば、少なくとも1つのSi−C結合を含む有機シラン源を用いるCVDプロセスによって、ケイ素−酸素−炭素または炭化ケイ素の薄膜が堆積する際に、水が形成されることがある。プロセスによって生成された水は、水分として薄膜中に物理的に吸収される、またはSi−OH化学結合として堆積した薄膜中に取り込まれる可能性があるが、そのどちらも望ましくない。
【0004】
紫外線放射を用いてこれらの薄膜を処置し、堆積したCVD薄膜を硬化して密度を高めることができる。有利には、紫外線処置は個々のウェハの全体的な熱量を低減し、製造プロセスを速める。例えばカリフォルニア州サンタクララのApplied Materials,Inc.,に譲渡され、参照によって本明細書にその全体を援用する、2005年5月9日出願の「Tandem UV Chamber for Curing Dielectric Materials」という名称の米国特許出願公開第2006/0251827号として公開された米国特許出願第11/124,908号など、基板38上に堆積した薄膜を効果的に硬化させるために用いることができる、いくつかの紫外線硬化チャンバが開発されている。そうした紫外線プロセスでは、より速い硬化時間およびより短いプロセスサイクルを実現するために、紫外線放射の強度を高めることが望ましい。しかしながら、高出力の供給源または他の手段によって紫外線放射を高めると、チャンバ内で発生する熱も増加する。この過大な熱が、基板上で処理されるフィーチャに悪影響を及す恐れがあり、また紫外線源自体の寿命を縮める可能性もある。
【0005】
これらのおよび他の欠陥を含む理由のために、様々なUV硬化チャンバおよび技術の開発にもかかわらず、紫外線処置技術におけるさらなる改善が引き続き求められている。
【発明の概要】
【0006】
基板処理装置において、紫外線ランプ用のリフレクタを用いることができる。リフレクタは、紫外線ランプの長さに延在する長手方向のストリップを備える。長手方向のストリップは湾曲した反射面を有し、かつ冷却ガスを紫外線ランプに方向付けるために複数の貫通孔を備える。
【0007】
基板処理チャンバは、
(i)基板支持体から間隔を置いて配置され、紫外線光を基板支持体に向けて送るように構成された細長い紫外線ランプと、
(ii)(1)紫外線ランプの長さに延在し、湾曲した反射面を有し、かつ冷却ガスを紫外線ランプに方向付けるために設けられた複数の貫通孔を含む、長手方向のストリップを備えた中央リフレクタ、ならびに(2)中央リフレクタのどちらかの側に位置決めされた、第1の側面リフレクタおよび第2の側面リフレクタを備える一次リフレクタと
を含む、基板支持体および紫外線ランプのモジュールを備える。
【0008】
紫外線放射を用いて基板を処置する方法は、基板を処理ゾーンに設置することを含む。紫外線ランプはエネルギーを与えられ、紫外線放射を発生させる。発生した紫外線放射を基板に向けて反射させるために、湾曲した反射面が設けられる。紫外線ランプを冷却するために、冷却ガスの複数の流れが湾曲した反射面内の孔を通して方向付けられる。
紫外線ランプ用のリフレクタ組立体は、湾曲した反射面と複数の貫通孔とを有する長手方向のストリップを備えた中央リフレクタ、ならびに中央リフレクタのどちらかの側に位置決めされた第1の側面リフレクタおよび第2の側面リフレクタを備える。二次リフレクタが中央リフレクタの下方にあり、前記二次リフレクタは上側部分および下側部分を含み、これら部分はそれぞれ(i)相対する長手方向の面と、(ii)前記長手方向の面の端部の間に延在する相対する横断面とを含む。
紫外線ランプ用のリフレクタは、紫外線ランプの長さに沿って延在する長手方向のストリップを備え、前記長手方向のストリップは湾曲した反射面と、冷却ガスを紫外線ランプに方向付けるために複数の貫通孔とを有し、前記貫通孔の縁部は角度または傾斜が付いている。
基板を紫外線放射で処理する方法は、基板を処理ゾーンに設置するステップと、紫外線ランプにエネルギーを与えて、紫外線放射を発生させるステップと、前記発生した紫外線放射を前記基板に向けて反射するために、湾曲した反射面と縁部に角度または傾斜の付いた孔とを有する長手方向のストリップを設けるステップと、冷却ガスの複数の流れを前記縁部に角度または傾斜の付いた孔へと方向付けて、前記冷却ガスを前記紫外線ランプへと注入させるステップとを含む。
【0009】
本発明のこれらの特徴、態様および利点は、以下の記述、添付の特許請求の範囲、および本発明の例を図示する添付図面に関連してより適切に理解されるようになるであろう。しかしながら、特徴のそれぞれは、特定の図面の状況のみならず、本発明において一般的に使用することが可能であり、また本発明はこれらの特徴の任意の組合せを含むことを理解されたい。
【図面の簡単な説明】
【0010】
【図1】石英窓および基板の上に位置決めされた紫外線(UV)ランプおよび一次リフレクタを備える、UVランプモジュールの一実施形態の概略的な斜視図である。
【図2】UVランプモジュール、ならびに一次リフレクタおよび二次リフレクタを備えるリフレクタ組立体の上面斜視図である。
【図3A】リフレクタホルダの一部、および中央リフレクタの孔を通り、UVランプを覆う冷却ガスの流れを示す、リフレクタの湾曲した反射面を見上げる中央リフレクタの斜視図である。
【図3B】孔を通り、UVランプを取り巻くガスの流れを示す、図3Aの観察線3Bに沿って得られる中央リフレクタの側面図である。
【図4A】従来型のシステムにおける冷却ガスについて、コンピュータによってモデル化した図である。
【図4B】中央リフレクタの孔を通り、UVランプを取り巻く冷却ガスについて、コンピュータによってモデル化した図である。
【図5】本発明の一実施形態によるタンデム型チャンバの概略的な断面図である。
【図6】本発明の一実施形態による基板処理装置の概略的な平面図である。
【発明を実施するための形態】
【0011】
半導体ウェハ、ディスプレイおよびソーラーパネルなどの基板38を処置するために紫外線放射を発生させることができる、紫外線(UV)ランプモジュール20の一実施形態を図1に示す。UVランプモジュール20は、紫外線放射を放出するUVランプ22を備えている。UVランプ22は、水銀マイクロ波アークランプ、パルス式キセノンフラッシュランプ、または高効率のUV発光ダイオードアレイなど任意のUV源を含むことができる。あるバージョンでは、UVランプ22は、マグネトロン、およびマグネトロンのフィラメントにエネルギーを与える変圧器を含む、マイクロ波発生器などの外部の電源23によって励起されるキセノン(Xe)または水銀(Hg)などのガスで充填された、密閉式のプラズマバルブである。他の実施形態では、UVランプ22は、フィラメントに直流を供給する電源23(概略的に示す)によって動力の供給を受けるフィラメントを含むことができる。UVランプ22も、UVランプ22内のガスを励起させることが可能な高周波(RF)エネルギー源を備えた電源23によって、動力の供給を受けることができる。UVランプ22は、説明のために細長い円筒形のバルブとして示してあるが、当業者には明らかになるように、球形のランプまたはランプの配列など、他の形を有するUVランプ22を用いることもできる。適切なUVランプ22は、例えばNordson Corporation(オハイオ州ウエストレイク)、またはMiltec UV Company(メリーランド州スティーブンソン)から市販されている。あるバージョンでは、UVランプ22は、Miltec UV Company製の単一の細長いUV H+バルブを含む。UVランプ22は、2つ以上の別個の細長いバルブを含むことができる。
【0012】
ランプモジュール20は、紫外線ランプモジュール20のUVランプ22を部分的に囲む一次リフレクタ26を含む、リフレクタ組立体24を含んでいる。一次リフレクタ26は、UVランプ22の後ろに、UVランプ22に対して間隔を置いた関係で中央に位置決めされた中央リフレクタ28を備えている。中央リフレクタ28は、図3Aおよび3Bに示すように、紫外線ランプの長さに延在する長手方向のストリップ30を備えている。長手方向のストリップ30は、内側の面であり、かつUVランプ22によって基板38に向けて放出され、方向付けされた紫外線放射の光線を後方に反射するように、UVランプ22の後部に面する湾曲した反射面32を有している。長手方向のストリップ30の湾曲した反射面32は、円形、楕円形または放物線状とすることが可能な弓形の面である。あるバージョンでは、湾曲した反射面32は、少なくとも約2cmかつ約5cm未満、あるいは約3〜約4cmの曲率半径を有する円形の面を備えている。長手方向のストリップ30は、平坦にする、または湾曲した反射面32の曲率に合うように湾曲させることが可能な後面34も有している。
【0013】
長手方向のストリップ30は、赤外線放射およびマイクロ波の透過を可能にし、紫外線放射を反射する材料から製造することができる。あるバージョンでは、長手方向のストリップ30は石英を含む。例えば、長手方向のストリップ30を形成するように、石英の細長い予備成形品を機械加工することができる。CNCなどの従来の機械加工技術を用いて、湾曲した反射面32をストリップ30の前部に含む所望の形の長手方向のストリップ30を得るように、鋳造石英の予備成形品を機械加工することができる。したがって、従来の研磨方法を用いて内向きの面を研磨し、面32の少なくとも95%にしわおよび亀裂がないようにすることによって、湾曲した反射面32が形成される。
【0014】
任意選択で、後方に方向付けされた紫外線光線をより高い割合で基板38に向けて反射するように、長手方向のストリップ30の湾曲した反射面32にダイクロイックコーティング36を塗布することもできる。ダイクロイックコーティング36は、狭い範囲の波長を有する光を選択的に通過させ、他の波長を反射する薄い膜のフィルタである。一実施形態では、ダイクロイックコーティング36は、異なる誘電体材料からなる複層の薄膜を含む。例えば、異なる誘電体材料は、交互に高い屈折率と低い屈折率を有する複数の層を含むことが可能であり、その層は、ダイクロイックコーティング36が、UVランプ22によって放出された、熱を発生させる有害な赤外線放射のすべてを反射するのではなく、むしろこの放射の一部が長手方向のストリップ30の中に入ることができるように配置および選択される。ストリップ30の石英材料は赤外線光を透過させ、ダイクロイックコーティング36は、UVランプ22によって放出された紫外線光を反射する。ダイクロイックコーティングは非金属性であるため、電源(図示せず)からUVランプ22に適用されるマイクロ波放射は、石英の裏側に下向きに入射し、調節された層とあまり相互作用しない、または調節された層によって吸収されず、容易に透過されてUVランプ22内のガスをイオン化する。
【0015】
通常、長手方向のストリップ30の後面34にはコーティングが塗布されない。しかしながら、長手方向のストリップ30の前部の湾曲した反射面32を通過する紫外線放射を反射して戻すために、または紫外線放射に曝すことによって長手方向のストリップ30に蓄積された熱をより迅速に放散させるために、背面34に二次的な反射コーティング(図示せず)を塗布することもできる。
【0016】
長手方向のストリップ30の中に、複数の貫通孔40が設けられる。孔40は、冷却ガス42を外部の冷却ガス源からUVランプ22に注入することができるように、背面34から湾曲した反射面32まで延びる。孔40は、第2の孔46の第2の直径より大きい、第1の直径を有する第1の孔44を含む。第1の孔44および第2の孔46は、長手方向のストリップ30の中心軸48に沿って一列に並べられる。例えば、第1の孔44は約0.2mm〜約4mmの直径を有することができ、第2の孔46は、約6mm〜約12mmの直径を有することができる。他の例として、第1の孔44の総数は約10〜約50の範囲とすることができ、第2の孔46の総数は約2〜約6の範囲とすることができる。さらに、孔44,46の縁部は角度または傾斜を付けて、冷却ガス42がUVランプ22へと注入されるようにすることができる。適切な角度は、25°など約10°〜約45°の範囲とすることができる。
【0017】
一実施形態において、中央リフレクタ28の長手方向のストリップ30の各端部50a、bは、端部タブ52a、bを備えている。リフレクタホルダ54は、それぞれがカットアウト58a、bを有する端部ホルダ56a、bを備え、カットアウト58a、bは、図3Aおよび3Bに示すように、中央リフレクタ28の各端部タブ52a、bが端部ホルダ56a、bのカットアウト58a、bに収まり、中央リフレクタ28をUVランプ22の後ろの適所に保持するように成形される。リフレクタホルダ54は、DuPont de Nemours ompany(デラウェア州)のTeflon(登録商標)などのポリマーで製造することができる。あるバージョンでは、端部タブ52a、bは、端部ホルダ56a、bの対応する楔形のカットアウト58a,bに収まる楔形のタブである。楔形のタブ52a、bは、端部ホルダ56a、bの楔形のカットアウト58a、bの対応する傾斜面62の傾斜に一致する、傾斜面60を有することもできる。これによって、中央リフレクタ28をリフレクタ組立体24の後ろから端部ホルダ56a、bの楔形のカットアウト58a、bに取り付け、中央リフレクタ28を保持することが可能になる。
【0018】
コンピュータによってモデル化された図表を用い、従来型のUV源組立体(図4A)、および第1の孔44を有する中央リフレクタ28を備えるUVランプモジュール20の実施形態(図3B)を横断するガスの流れ分布に基づいて、バルブ表面の温度を求めた。対照的に、従来型のシステムは、孔のない長方形の中央のリフレクタプレートを備えている。従来型のシステムでは、冷却流がバルブ表面を越えて2つの側面上を均一に流れる。しかし、バルブの温度が均一ではないため、一部の高温のスポット領域の冷却能力が低くなると同時に、他の低温領域は過多な冷却流を受ける。これらの図から理解されるように、従来型のシステムと比べると、UVランプ22の後ろに位置決めされた孔40を有する中央リフレクタ28を用いて、実質的に向上したガス流が得られる。図4Aに示すように、従来型のシステムを用いたUVランプ22を横切る流れは、UVランプ22の表面への集中が少ない冷却流をもたらす。対照的に、図4Bに示すように、ガスを中央リフレクタ28の第1の孔44および第2の孔46を通過させるとき、UVランプ22を横切る冷却ガス42の流れは、冷却流がUVランプ22の重要な頂部の位置に効果的に方向付けられることを示している。これにより、UVランプ22では45℃低い表面温度(648〜603)が実現される。これらの図表は、ESI(フランス)から入手可能な市販のモンテカルロ流体シミュレーションプログラム、CADalyzer(登録商標)を用いてモデル化された。シミュレーションモデルは、所与の流れ条件の下での熱分布をシミュレートする反復法を用いた。例示的なモデリングシミュレーションを示しているが、請求される発明は、本明細書に記載されるもの以外のモデル化されたバージョンを包含することを理解すべきである。
【0019】
図1および2に示すバージョンでは、中央リフレクタ28に加えて、リフレクタ組立体24は、中央リフレクタ28のどちらかの側に位置決めされた第1の側面リフレクタ70および第2の側面リフレクタ72を含むこともできる。第1の側面リフレクタ70および第2の側面リフレクタ72も、鋳造石英で製造することが可能であり、それぞれ弓形の反射面74、76である内面を有する。弓形の反射面74、76も、その上に中央リフレクタ28に用いるものと同じコーティング材料である、ダイクロイックコーティングを有することができる。中央リフレクタ28、ならびに第1の側面リフレクタ70および第2の側面リフレクタ72を備えるリフレクタ組立体24は、図1に示すように、中央リフレクタ28の長手方向のストリップ30の長さを横断するUVランプ22より上の頂点で接する放物線型の面80を有する、細長い共振空洞を形成する。第1の側面リフレクタ70および第2の側面リフレクタ72はそれぞれ、UVランプ22の長さを越えて長手方向に延在する。
【0020】
中央および側面のリフレクタ28、70、72のいずれも、それぞれ楕円形もしくは放物線状のリフレクタとする、または楕円形の反射部と放物線状の反射部の両方の組合せを含むことができる。同じ幅の光ビームの場合、楕円形のリフレクタは、放物線状のリフレクタより小さい共振空洞の中に収まることが可能であり、また放物線状のリフレクタに比べて優れた光の均一性を得ることもできる。しかしながら、楕円形の区画と放物線状の区画の両方を有するリフレクタ28、70、72は、用途に合わせた反射パターンの生成においてより高い適応性を与える。さらに、楕円形のリフレクタは、正確なまたは完全な楕円形状を有する必要がない。その代わり、明確に定義された焦点がない部分的な楕円形状または半楕円形状を有するリフレクタも、楕円形のリフレクタと称する。同様に、放物線状のリフレクタも、正確なまたは完全な放物線形状を有する必要がない。その代わり、厳密には平行でない光線を反射する部分的な放物線形状または半放物線形状を有するリフレクタも、放物線状のリフレクタと称する。説明のための実施形態として、リフレクタ28、70、72を別個の接続されていないパネルとして示しているが、本発明は同様のものに限定されず、リフレクタ28、70および72を単一のU字形の構成要素として接続することが可能であり、またそうした構成要素が、長手方向の開口部を含んでも含まなくてもよいことにも留意すべきである。
【0021】
リフレクタ組立体24は、UVランプ22からの照射プロファイルを制御し、直接的な光の不均一性を補償することができる(UVランプに沿った照射は、供給源の中心からの距離の関数である)。単一のUVランプ22を用いて基板38を照射する、示される実施形態では、第1の側面リフレクタ70および第2の側面リフレクタ72は、相対する対称な反射面である弓形の反射面74、76を有している。しかしながら、他の実施形態において、例えば2つ、もしくは2つより多い、もしくはそれより多いUVランプ22を用いて基板38を照射するときには、非対称な対の側面リフレクタ(図示せず)を前述のように用いることもできる。
【0022】
図1および2に示すように、リフレクタ組立体24は、一次リフレクタ26に加えて二次リフレクタ90を含むこともできる。二次リフレクタ90はさらに、もしそうしない場合には一次リフレクタのフラッドパターンの境界の外側に出るUV放射を導き、再び方向付け、この反射された放射が処置される基板38に当たり、基板38に放射されるエネルギーの強度を高めるようにする。二次リフレクタ90は、特定のUVランプ22および/または一次リフレクタ26に合わせて変更することができる複雑な形を示す。二次リフレクタ90は、用途の要求に応じて、特定の照射プロファイルおよび均一性のレベルに合わせて(使用されるときには一次リフレクタと共に)変更することもできる。例えばいくつかの実施形態では、二次リフレクタ90は、中央で高くなるヒータの熱プロファイルを補償するために、縁部で高い照射プロファイルを生成するように設計することができる。また、二次リフレクタ90は一般に、以下に論じる静止したまたは回転するランプと共に使用されるかどうか応じて、異なる照射パターンを生成するように設計される。
【0023】
図2に示すように、二次リフレクタ90は、UVランプ22のフラッドパターンを、実質的に長方形の領域から、露光される実質的に円形の半導体基板38に対応する実質的に円形の形状92に変える。二次リフレクタ90は、リフレクタ90の内周部の周りに延びる頂点98で交わる上側部分94および下側部分96を含む。上側部分94は、UVランプ22への冷却空気の流れが妨げられないように、半円のカットアウト100を含む。上側部分94は、2つの相対する(頂部から)全体的に内向きに傾斜する長手方向の面102a、b、および2つの相対する横断面102c、dも含む。横断面102c,dは全体的に垂直であり、横断方向に沿って凸形の面を有する。長手方向の面102a,bは、長手方向に沿って全体的に凹形である。
【0024】
上側部分94のすぐ下に位置決めされる下側部分96は、2つの相対する(頂部から)全体的に外向きに傾斜する面104a、および2つの相対する全体的に外向きに傾斜する横断面104bを含む。示される実施形態では、面104a,bは(垂直面に対して)面102a,bより小さい角度で傾斜している。長手方向の面102a,bは、長手方向に沿って全体的に凹形であるが、相対する横断102c,dは横断方向に沿って全体的に凸形である(注目すべき例外は、面102c,dの下側部分が面102a,bの下側部分と交わるコーナー108にある)。
【0025】
UVランプ22から放出され、一次リフレクタ26および二次リフレクタ90によってそれぞれ反射されるUV放射に対する簡易化した反射経路を、図1に示す。示されるように、一次リフレクタ26と二次リフレクタ90を組み合わせることにより、ランプ22によって放出される実質的にすべてのUV放射を、基板38に方向付け、基板38に当てることが可能になる。ランプ22からの放射は、異なる例示的な経路によって基板38に当たることが可能であり、その経路には、一次リフレクタ26または二次リフレクタ90から反射されることなく、基板38に直接達する経路110a、中央リフレクタ28によって反射された後に、基板38に達する経路110b、第1の側面リフレクタ70によって反射された後に、基板38に達する経路110c、二次リフレクタ90の上側部分94によって反射された後に、基板38に達する経路110d、および二次リフレクタ90の下側部分96によって反射された後に、基板38に達する経路110eが含まれる。経路110a〜eは例示的な経路にすぎず、多くの他の反射経路がランプ22から直接生成される、または一次リフレクタ26もしくは二次リフレクタ90から反射されることを理解されたい。
【0026】
本明細書に記載される紫外線ランプモジュール20は、例えば半導体処理装置、ソーラーパネル処理装置およびディスプレイ処理装置を含めた、多くの異なるタイプの基板処理装置に用いることができる。シリコンウェハまたは化合物半導体ウェハなどの半導体ウェハを処理するために用いることができる例示的な基板処理装置200を、図5および6に示す。装置200は、Applied Materials,Inc.(カリフォルニア州サンタクララ)から市販されている、Producer(商標)処理システムの1つの実施形態を図示している。図5に示すように、装置200は、主フレーム構造体202に支持された必要な処理ユーティリティを有する独立したシステムである。装置200は一般に、基板カセット206a、bが、基板38のロードロックチャンバ208へのローディング、およびロードロックチャンバ208からのアンローディングを可能にするように支持されるカセットローディング用のチャンバ204、基板ハンドラ214を収容するトランスファチャンバ210トランスファチャンバ210に取り付けられる一連のタンデム型処理チャンバ216a〜cとを含む。ユーティリティの端部220は、ガスパネル222および出力分布パネル224など、装置200の動作に必要とされる支援ユーティリティを収容する。
【0027】
タンデム型処理チャンバ216a〜cはそれぞれ、基板38a、bの処理がそれぞれ可能である処理ゾーン218a、b(チャンバ216bについて示す)を含む。2つの処理ゾーン218a、bは、共通のガス供給、共通の圧力制御、および共通のプロセスガス排出/ポンピング用のシステムを共有し、異なる構成の間で迅速な切り換えを可能にする。チャンバ216a〜cの配置および組合せは、特有の処理ステップを実施するために変更することができる。タンデム型処理チャンバ216a〜cはいずれも、基板38上の材料を処置するのに用いるために、かつ/またはチャンバの清浄プロセスのために1つまたは複数のUVランプ22を含む、以下に記載する蓋部を含むことができる。示される実施形態では、並行に作動して最大のスループットを得るために、3つのタンデム型処理チャンバ216a〜cはすべてUVランプ22を有し、UV硬化チャンバとして構成される。しかしながら、代替の実施形態では、タンデム型処理チャンバ216a〜cのすべてが、UV処置チャンバとして構成されなくてもよく、装置200は、化学気相成長(CVD)、物理蒸着(PVD)、エッチング、またはこれらのプロセスと同じチャンバ内で実施されるUV処置との組合せなど、他のプロセスを実施するチャンバを有するように適合させることができる。例えば装置200は、タンデム型処理チャンバ216a〜cの1つを、基板38上に低誘電率(K)の薄膜などの材料を堆積させるためのCVDチャンバとして備えるように構成することができる。
【0028】
半導体ウェハなどの基板38のUV処置用に構成された、装置200のタンデム型処理チャンバ216の一実施形態を図6に示す。処理チャンバ216は、本体230、および本体230にヒンジで取り付けることができる蓋部234を含む。蓋部234には2つのハウジング238a、bが結合され、2つのハウジング238a、bはそれぞれ、冷却ガスがハウジング238a、bの内部を通過するように、出口242a、bと共に入口240a、bに結合される。冷却ガスは、冷却ガス源244からパイプ246a、bおよび流れ制御器248a、bを介して得られ、冷却ガスは、約22℃など室温また室温より低くすることができる。冷却ガス源244は、UVランプ22、および/またはタンデム型処理チャンバ216a〜cに関連付けられるランプ用の電源の適切な動作を保証するために、十分な圧力および流量の冷却ガスを入口240a、bに提供する。タンデム型処理チャンバ216と共に使用可能な冷却モジュールの詳細は、2006年11月3日に出願され、「Nitrogen Enriched Cooling Air Module for UV Curing System」という名称である、本発明の譲受人に譲渡された米国特許出願第11/556,642号に見出すことができ、その全体を参照によって本明細書に援用する。ランプを無酸素の冷却ガス(例えば、窒素、アルゴンまたはヘリウム)を用いて冷却することによって、オゾンの形成を避けることができる。あるバージョンでは、冷却ガス源244は、約200〜2000sccmの流量の窒素を含む冷却ガスを提供する。出口242a、bは、ハウジング238a、bから排出された冷却ガスを受け取り、その冷却ガスは、バルブの選択に応じてUVバルブによって生成される可能性があるオゾンを除去するためにスクラッバを含むことができる、共通の排出システム(図示せず)によって集められる。
【0029】
ハウジング238a、bはそれぞれ、本体230の中に画定される2つの処理ゾーン218a、bの上にそれぞれ配設される、2つのUVランプ22の1つを覆う。各処理ゾーン218a、bの上に単一のUVランプ22が示されているが、例えば参照によって本明細書にその全体を援用する、2007年3月15日出願の「APPARATUS AND METHOD FOR TREATING A SUBSTRATE WITH UV RADIATION USING PRIMARY AND SECONDARY REFLECTORS」という名称の米国特許出願公開第20070257205号に記載されるように、全照射量を増やすために複数のUVランプを用いることが可能であることに留意すべきである。ハウジング238a、bはそれぞれ、UVランプ22が内部に位置決めされる上側ハウジング252a、b、および二次リフレクタ90が内部に配置される下側ハウジング256a、bを備えている。示されるバージョンでは、ディスク255a、bがそれぞれ複数の歯257a、bを有し、歯257a、bは、ディスクをスピンドル(図示せず)に結合する対応するベルト(図示せず)をつかみ、スピンドルは動作可能にモータ(図示せず)に結合される。ディスク255a、b、ベルト、スピンドルおよびモータによって、上側ハウジング252a、b(およびその内部に取り付けられるUVランプ22)を、基板支持体254a、bの上に位置決めされた基板38a,bに対して回転させることが可能になる。二次リフレクタ90はそれぞれ、ブラケット(図示せず)によってそれぞれのディスク255a、bの底部に取り付けられ、それにより、二次リフレクタ90が上側ハウジング252a、bおよびUVランプ22と共に、下側ハウジング256a、bの中で回転することが可能になる。UVランプ22を露光される基板38a、bに対して回転させることによって、基板の表面全体にわたる露光の均一性が改善される。一実施形態では、UVランプ22を露光される基板38a、bに対して少なくとも180度回転させることができ、他の実施形態では、UVランプ22を270度、あるいは完全に360度回転させることができる。
【0030】
処理ゾーン218a、bはそれぞれ、基板38a、bを処理ゾーン218a、bの中で支持するための基板支持体254a、bを含む。支持体254a、bは加熱される可能性があり、セラミックまたはアルミニウムなどの金属から製造することができる。好ましくは、支持体254a、bはステム258a、bに結合され、ステム258a、bは、本体230の底部を貫通して延び、駆動システム260a、bによって作動され、処理ゾーン218a、b内の支持体254a、bをUVランプ22に向ける、またUVランプ22から遠ざけるように移動させる。駆動システム260a、bは、硬化の間、基板の照明の均一性をさらに高めるために、支持体254a、bを回転および/または並進させることもできる。支持体254a、bの調節可能な位置決めにより、焦点距離など光送出システムの設計上考慮すべき事柄の本質に応じて基板38に入射するUVの照射レベルを細かく調整できることに加えて、揮発性の硬化副生成物、およびパージ、および清浄ガスの流れのパターン、および滞留時間の制御も可能になる。
【0031】
示されるバージョンでは、UVランプ22は、電源(図示せず)によって励起されるように水銀で充填された、細長い円筒形の密閉されたプラズマバルブである。あるバージョンでは、電源は、マグネトロン、およびマグネトロンのフィラメントにエネルギーを与える変圧器を含むマイクロ波発生器である。あるバージョンでは、キロワットのマイクロ波電源が、ハウジング238a、b内の開口部(図示せず)付近でマイクロ波を発生させ、マイクロ波を開口部を通して送り、マイクロ波がUVランプ22に適用される。最大6000ワットのマイクロ波の出力を提供する電源は、UVランプ22のそれぞれから最大約100WのUV光を発生させることができる。あるバージョンでは、UVランプ22は、170nm〜400nmの広帯域の波長にわたるUV光を放出する。UVランプ22中のガスによって放出される波長が決まるが、酸素が存在すると、波長が短くなってオゾンを発生させる傾向があるため、UV処置プロセス中のオゾンの発生を避けるために、UVランプ22によって放出されるUV光は、主に200nmより高い広帯域のUV光を発生させるように調整することができる。
【0032】
各UVランプ22から放出されたUV光は、蓋部234の開口部内に配設された窓264a、bを通過することによって、処理ゾーン218a、bの1つに入る。あるバージョンでは、窓264a、bは、合成石英ガラスで製造され、亀裂を生じることなく真空を維持するのに十分な厚さを有する。例えば、窓264a、bは、UV光を透過して約150nmまで下げる、OHのない溶融石英から製造することができる。蓋部234は本体230を密閉し、その結果、窓264a、bが蓋部234に対して密閉され、約1トル〜約650トルの圧力を維持することが可能な体積を有する処理ゾーン218a、bを形成する。プロセスガスは、2つの入口通路262a、bの1つを介して処理ゾーン218a、bに入り、共通の排出ポート266を介して処理ゾーン218a、bを出る。また、ハウジング238a、bの内部に供給される冷却ガスは、UVランプ22を越えて循環するが、窓264a、bによって処理ゾーン218a、bから隔離される。
【0033】
次に、ケイ素−酸素−炭素を含む低k誘電体材料を硬化させる例示的な紫外線処置プロセスについて説明する。そうした硬化プロセスでは、支持体254a、bが350℃〜500℃に加熱され、支持体254a、bから基板38への熱伝達を高めるために、処理ゾーン218a、bが約1〜約10トルのガス圧力に維持される。硬化プロセスでは、ヘリウムが、入口通路262a、bのそれぞれを介して、タンデム型チャンバ216a〜cのそれぞれに14slmの流量および8トルの圧力(対の一方の側につき7slm)で導入される。いくつかの実施形態では、硬化プロセスは、ヘリウムの代わりにまたはヘリウム(He)との混合物として、窒素(N)またはアルゴン(Ar)を用いることもできる。パージガスは硬化副生成物を除去し、基板38a、b全体にわたる均一な熱伝達を促し、処理ゾーン218a、b内の面の上に蓄積される残留物を最小限に抑える。基板38上の薄膜から一部のメチル基を除去し、硬化中に放出される酸素を掃気するために、水素を加えることもできる。
【0034】
他の実施形態では、硬化プロセスは、パルス式キセノンフラッシュランプを含むことができるパルス式UVランプ22を使用する。処理ゾーン218a、bは、真空下で約10ミリトル〜約700トルの圧力に維持され、基板38a、bはUVランプ22からのUV光のパルスに曝される。パルス式UVランプ22は、様々な用途に対して調整された出力周波数のUV光を提供することができる。
【0035】
処理ゾーン218a、bの中で、清浄プロセスを実施することもできる。このプロセスでは、支持体254a、bの温度を、約100℃〜約600℃に上昇させることができる。清浄プロセスでは、元素酸素が処理ゾーン218a、bの面上に存在する炭化水素および炭素種と反応し、排出ポート266を通して汲み出すまたは排出することが可能な一酸化炭素および二酸化炭素を形成する。酸素などの清浄化ガスは、選択された波長のUV放射に曝され、元の位置にオゾンを発生させる。電源をオンにして、所望の波長におけるUVランプ22からのUV光の放出をもたらすことが可能であり、清浄化ガスが酸素であるときには、約184.9nmおよび約253.7nmが好ましい。酸素が184.9nmの波長を吸収し、オゾンおよび元素酸素を発生させ、253.7nmの波長がオゾンによって吸収され、オゾンが酸素ガスと元素酸素の両方に分裂するため、これらのUV放射の波長は酸素を用いた清浄化を向上させる。清浄プロセスのあるバージョンでは、5slmのオゾンおよび酸素(酸素中13重量%のオゾン)を含むプロセスガスを、タンデム型チャンバ216a、bに流入させ、各処理ゾーン218a、bの中に均等に分割し、処理ゾーン218a、b内の面から堆積物を清浄化するのに十分な酸素ラジカルを発生させた。O分子も、様々な有機残留物を攻撃することができる。残りのO分子は、処理ゾーン250a、b内の面上の炭化水素の堆積物を除去しない。6対の基板38a、bを硬化させた後、8トルでの20分の清浄プロセスによって十分な清浄化プロセスを実施することができる。
【0036】
本発明の例示的な実施形態が図示され記載されるが、当業者には、本発明を組み込み、やはり本発明の範囲内である他の実施形態を考案することが可能である。さらに、図中の例示的な実施形態に関して示される、下方、上方、底部、頂部、上、下、第1および第2、ならびに他の相対的または位置的な用語は入れ換えることが可能である。したがって、添付の特許請求の範囲は、本発明を説明するために本明細書に記載される好ましいバージョン、材料または空間的な配置についての記述に限定されるべきではない。

Claims (36)

  1. 紫外線ランプ用のリフレクタであって、前記紫外線ランプの長さに延在する長手方向のストリップを備え、前記長手方向のストリップが
    (a)湾曲した反射面と、
    (b)冷却ガスを前記紫外線ランプに方向付けるための複数の貫通孔と、
    (c)各端部に端部タブと
    を備えるリフレクタ。
  2. 前記長手方向のストリップの湾曲した反射面が、
    (a)少なくとも約2cmの曲率半径、
    (b)約5cm未満の曲率半径、および
    (c)ダイクロイックコーティング
    の少なくとも1つを備える請求項1に記載のリフレクタ。
  3. 前記複数の貫通孔が、第1の直径を有する第1の孔、および第2の直径を有する第2の孔を備え、前記第1の直径が前記第2の直径より大きい請求項1に記載のリフレクタ。
  4. 前記第1の孔および第2の孔が長手方向のストリップの中心軸に沿って整列されている請求項3に記載のリフレクタ。
  5. 前記端部タブが、楔形である請求項1に記載のリフレクタ。
  6. それぞれがカットアウトを有するポリマーの端部ホルダをさらに備え、前記リフレクタの各端部タブが、端部ホルダのカットアウトに収まる請求項1に記載のリフレクタ。
  7. 中央の位置に請求項1の前記リフレクタを備え、前記中央に位置決めされたリフレクタのどちらかの側に位置決めされた、第1の側面リフレクタおよび第2の側面リフレクタをさらに備えるリフレクタ組立体。
  8. 前記中央に位置決めされたリフレクタ、ならびに前記第1の側面リフレクタおよび前記第2の側面リフレクタが、前記長手方向のストリップの長さを横断する頂点で接する放物線型の面を形成する請求項7に記載のリフレクタ組立体。
  9. 中央に位置決めされたリフレクタ、ならびに前記第1の側面リフレクタおよび前記第2の側面リフレクタが、一次リフレクタを構成し、前記一次リフレクタと基板支持体の間に位置決めされた二次リフレクタをさらに備え、前記二次リフレクタが上側区域および下側区域を備え、前記上側区域および前記下側区域のそれぞれが、(i)端部を有する相対する長手方向の面、および(ii)前記長手方向の面の端部の間に延在する相対する横断面を含む請求項8に記載のリフレクタ組立体。
  10. 請求項8のリフレクタ組立体を備え、細長い紫外線ランプをさらに備える紫外線ランプモジュール。
  11. (1)基板支持体、および
    (2)請求項10に記載の紫外線ランプモジュール
    を備える基板処理チャンバ。
  12. (a)基板支持体と、
    (b)紫外線ランプモジュールと
    を備えた基板処理チャンバであって、
    前記紫外線ランプモジュールが、
    (i)前記基板支持体から間隔を置いて配置され、紫外線光を前記基板支持体に向けて送るように構成された細長い紫外線ランプと、
    (ii)(1)前記細長い紫外線ランプの長さに沿って延在する長手方向のストリップを備えた中央リフレクタであって、前記長手方向のストリップが、各端部に端部タブと、湾曲した反射面と、冷却ガスを前記細長い紫外線ランプに方向付けるために設けられた複数の貫通孔とを備える、中央リフレクタと、(2)中央リフレクタのどちらかの側に位置決めされた、第1の側面リフレクタおよび第2の側面リフレクタとを備える一次リフレクタと
    を含む、基板処理チャンバ。
  13. 前記長手方向のストリップの湾曲した反射面が、
    (a)約2cm〜約5cmの曲率半径、および
    (b)ダイクロイックコーティング
    の少なくとも1つを備える請求項12に記載のチャンバ。
  14. 前記複数の貫通孔が、前記長手方向のストリップの中心軸に沿って一列に並べられる第1の孔および第2の孔を含み、前記第1の孔の第1の直径が前記第2の孔の第2の直径より大きい請求項12に記載のチャンバ。
  15. 前記一次リフレクタが、それぞれがカットアウトを有する一組のポリマーの端部ホルダを備え、前記中央リフレクタの前記長手方向のストリップの各端部タブが端部ホルダのカットアウトに収まる請求項12に記載のチャンバ。
  16. 前記中央リフレクタが石英を含む請求項12に記載のチャンバ。
  17. 前記中央リフレクタ、ならびに前記第1の側面リフレクタおよび前記第2の側面リフレクタが、前記長手方向のストリップの長さを横断する頂点で接する放物線型の面を形成する請求項12に記載のチャンバ。
  18. 前記一次リフレクタと基板支持体の間に位置決めされた二次リフレクタをさらに備え、前記二次リフレクタが上側区域および下側区域を備え、前記上側区域および前記下側区域のそれぞれが、(i)端部を有する相対する長手方向の面、および(ii)前記長手方向の面の端部の間に延在する相対する横断面を含む請求項12に記載のチャンバ。
  19. 前記紫外線ランプを前記基板支持体から分離する窓をさらに備える請求項12に記載のチャンバ。
  20. 基板を紫外線放射で処置する方法であって、
    (a)基板を処理ゾーンに設置すること、
    (b)紫外線ランプにエネルギーを与えて、紫外線放射を発生させること、
    (c)前記発生した紫外線放射を前記基板に向けて反射するために、紫外線ランプの裏側に湾曲した反射面を有する長手方向のストリップを、前記長手方向のストリップの各端部の端部タブで支持すること、および
    (d)前記紫外線ランプを冷却するために、冷却ガスの複数の流れを前記湾曲した反射面内の孔を通して方向付けること
    を含む方法。
  21. (a)冷却ガスの複数の流れを、異なる直径を有する孔を通して方向付けること、
    (b)窒素を含む冷却ガスを方向付けること、および
    (c)前記基板上に形成された低誘電率材料層を、前記紫外線放射に曝して、前記低誘電率材料層を硬化すること
    の少なくとも1つを含む請求項20に記載の方法。
  22. (a)湾曲した反射面と複数の貫通孔とを有する長手方向のストリップを備えた中央リフレクタと、
    (b)前記中央リフレクタのどちらかの側に位置決めされた、第1の側面リフレクタおよび第2の側面リフレクタと、
    (c)前記中央リフレクタの下方に二次リフレクタと
    を備えた紫外線ランプリフレクタ組立体であって、
    前記二次リフレクタが、上側区域および下側区域を備え、前記上側区域および前記下側区域のそれぞれが、(i)端部を有する相対する長手方向の面、および(ii)前記長手方向の面の端部の間に延在する相対する横断面を含む、リフレクタ組立体。
  23. 前記中央リフレクタ、前記第1の側面リフレクタ、前記第2の側面リフレクタ、および前記二次リフレクタの少なくとも1つが石英を含む、請求項22に記載のリフレクタ組立体。
  24. 前記中央リフレクタ、ならびに前記第1の側面リフレクタおよび前記第2の側面リフレクタが、前記長手方向のストリップの長さを横断する頂点で接する放物線型の面を形成する請求項22に記載のリフレクタ組立体。
  25. 前記中央リフレクタ、前記第1の側面リフレクタ、前記第2の側面リフレクタ、および前記二次リフレクタの少なくとも1つが約2cm〜約5cmの曲率半径を備える、請求項22に記載のリフレクタ組立体。
  26. 請求項22のリフレクタ組立体を備え、細長い紫外線ランプをさらに備える紫外線ランプモジュール。
  27. 処理チャンバ内で基板支持体から紫外線ランプを分離する窓をさらに備える請求項26に記載の紫外線ランプモジュール。
  28. 紫外線ランプの長さに沿って延在する長手方向のストリップを備えた紫外線ランプリフレクタであって、
    前記長手方向のストリップが、
    (a)湾曲した反射面と、
    (b)冷却ガスを紫外線ランプに方向付けるための複数の貫通孔と、前記複数の貫通孔は前記長手方向のストリップの中心軸に沿って一列に整列され、かつ、前記複数の貫通孔は内周面を定義する縁部を有し、前記内周面は各内周面の中心に向かって約10°〜約45°の範囲の角度が付けられており、
    を有する、リフレクタ。
  29. 石英を含む請求項1または28に記載のリフレクタ。
  30. 前記貫通孔が、第1の孔および第2の孔を含み、前記第1の孔の第1の直径が前記第2の孔の第2の直径より大きい請求項28に記載のリフレクタ。
  31. 請求項28のリフレクタを備え、細長い紫外線ランプをさらに備える紫外線ランプモジュール。
  32. (1)基板支持体と、
    (2)請求項31の紫外線ランプモジュールと
    を備える、基板処理チャンバ。
  33. 中央の位置に請求項28のリフレクタを備え、前記中央に位置決めされたリフレクタのどちらかの側に位置決めされた、第1の側面リフレクタおよび第2の側面リフレクタをさらに備える、一次リフレクタ組立体。
  34. 請求項33の一次リフレクタ組立体を備え、二次リフレクタをさらに備えるリフレクタ組立体であって、
    前記二次リフレクタが上側区域および下側区域を備え、前記上側区域および前記下側区域のそれぞれが、(i)端部を有する相対する長手方向の面、および(ii)前記長手方向の面の端部の間に延在する相対する横断面を含む、リフレクタ組立体。
  35. 基板を紫外線放射で処置する方法であって、
    (a)基板を処理ゾーンに設置すること、
    (b)紫外線ランプにエネルギーを与えて、紫外線放射を発生させること、
    (c)前記発生した紫外線放射を前記基板に向けて反射するため湾曲した反射面と、長手方向のストリップの中心軸に沿って一列に整列された複数の貫通孔との両方を有する前記長手方向のストリップを設けること、前記複数の貫通孔は内周面を定義する縁部を有し、前記内周面は各内周面の中心に向かって約10°〜約45°の範囲の角度が付けられており、および
    (d)冷却ガスの複数の流れを角度の付いた前記縁部を有する前記複数の貫通孔へと方向付けて、前記冷却ガスを前記紫外線ランプへと注入させること
    を含む方法。
  36. (a)冷却ガスの複数の流れを、異なる直径を有する貫通孔を通して注入すること、および
    (b)窒素を含む冷却ガスを注入すること
    の少なくとも1つを含む請求項35に記載の方法。
JP2011532341A 2008-10-21 2009-10-20 冷却ガス用の孔を有する紫外線リフレクタおよび方法 Expired - Fee Related JP5401551B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/255,609 2008-10-21
US12/255,609 US7964858B2 (en) 2008-10-21 2008-10-21 Ultraviolet reflector with coolant gas holes and method
PCT/US2009/061391 WO2010048237A2 (en) 2008-10-21 2009-10-20 Ultraviolet reflector with coolant gas holes and method

Publications (3)

Publication Number Publication Date
JP2012506622A JP2012506622A (ja) 2012-03-15
JP2012506622A5 JP2012506622A5 (ja) 2012-12-06
JP5401551B2 true JP5401551B2 (ja) 2014-01-29

Family

ID=42107912

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011532341A Expired - Fee Related JP5401551B2 (ja) 2008-10-21 2009-10-20 冷却ガス用の孔を有する紫外線リフレクタおよび方法

Country Status (6)

Country Link
US (2) US7964858B2 (ja)
JP (1) JP5401551B2 (ja)
KR (1) KR101244243B1 (ja)
CN (3) CN103400627A (ja)
TW (1) TWI374452B (ja)
WO (1) WO2010048237A2 (ja)

Families Citing this family (299)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100242299A1 (en) * 2003-01-09 2010-09-30 Con-Trol-Cure, Inc. Uv curing system and process
JP5423205B2 (ja) * 2008-08-29 2014-02-19 東京エレクトロン株式会社 成膜装置
JP5445044B2 (ja) * 2008-11-14 2014-03-19 東京エレクトロン株式会社 成膜装置
WO2010077132A1 (en) 2008-12-31 2010-07-08 Draka Comteq B.V. Uvled apparatus for curing glass-fiber coatings
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8617347B2 (en) * 2009-08-06 2013-12-31 Applied Materials, Inc. Vacuum processing chambers incorporating a moveable flow equalizer
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5257328B2 (ja) * 2009-11-04 2013-08-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5310512B2 (ja) * 2009-12-02 2013-10-09 東京エレクトロン株式会社 基板処理装置
JP5553588B2 (ja) * 2009-12-10 2014-07-16 東京エレクトロン株式会社 成膜装置
JP5909039B2 (ja) * 2010-04-06 2016-04-26 株式会社小森コーポレーション 巻紙印刷機
DK2388239T3 (da) 2010-05-20 2017-04-24 Draka Comteq Bv Hærdningsapparat, der anvender vinklede UV-LED'er
US8871311B2 (en) 2010-06-03 2014-10-28 Draka Comteq, B.V. Curing method employing UV sources that emit differing ranges of UV radiation
KR101864111B1 (ko) * 2010-07-16 2018-06-05 노드슨 코포레이션 자외선 광을 발생시키기 위한 램프 시스템들 및 방법들
JP5868405B2 (ja) * 2010-07-30 2016-02-24 ケーエルエー−テンカー コーポレイション 製造された基板を検査するための傾斜照明器
DK2418183T3 (en) 2010-08-10 2018-11-12 Draka Comteq Bv Method of curing coated glass fibers which provides increased UVLED intensity
US8309421B2 (en) 2010-11-24 2012-11-13 Applied Materials, Inc. Dual-bulb lamphead control methodology
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101290570B1 (ko) * 2012-03-06 2013-07-31 삼성코닝정밀소재 주식회사 고주파 가열 장치
KR101488659B1 (ko) 2012-03-06 2015-02-02 코닝정밀소재 주식회사 고주파 가열 장치
WO2014030085A1 (en) * 2012-08-23 2014-02-27 Koninklijke Philips N.V. Lighting device with a led and an improved reflective collimator
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6171483B2 (ja) * 2013-03-29 2017-08-02 岩崎電気株式会社 照射装置
JP6186799B2 (ja) * 2013-03-28 2017-08-30 岩崎電気株式会社 照射器
WO2014170093A2 (en) * 2013-04-17 2014-10-23 Asml Netherlands B.V. Radiation collector, radiation source and lithographic apparatus
US9132448B2 (en) * 2013-10-23 2015-09-15 Miltec Corporation Apparatus for radiant energy curing of a coating
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9433973B1 (en) * 2015-06-15 2016-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. UV curing apparatus
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
DE102015212969B4 (de) * 2015-07-10 2019-04-18 Koenig & Bauer Ag UV-Bestrahlungsvorrichtung
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US20170358446A1 (en) * 2016-06-13 2017-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer processing apparatus and wafer processing method using the same
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10446420B2 (en) * 2016-08-19 2019-10-15 Applied Materials, Inc. Upper cone for epitaxy chamber
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
CN108091588B (zh) * 2016-11-21 2019-05-31 北京北方华创微电子装备有限公司 一种退火工艺方法、工艺腔室及退火设备
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
CN108662444B (zh) * 2017-03-30 2021-01-05 深圳市优绿源科技有限公司 紫外光led光源装置
CN106896543B (zh) * 2017-04-14 2019-09-13 武汉华星光电技术有限公司 一种紫外线固化装置
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102328781B1 (ko) * 2018-03-23 2021-11-22 한양대학교 산학협력단 리플렉터 및 이를 포함하는 광소결 장치
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) * 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
CN108848578A (zh) * 2018-08-01 2018-11-20 绵阳力洋英伦科技有限公司 一种闭合双抛物球面薄板高速加热装置及反射面处理方法
KR102179827B1 (ko) * 2018-08-06 2020-11-17 곽주현 경화 장치
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN112703588A (zh) * 2018-09-24 2021-04-23 应用材料公司 用于清洁和表面处理的原子氧和臭氧装置
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
CN114340778A (zh) * 2019-06-24 2022-04-12 不列颠哥伦比亚大学 用于流体受控辐照的多反射器式光反应器
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
JP7304768B2 (ja) * 2019-08-16 2023-07-07 株式会社Screenホールディングス 熱処理装置および熱処理装置の洗浄方法
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
JP7248954B2 (ja) * 2019-08-29 2023-03-30 岩崎電気株式会社 低圧水銀ランプユニット
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
DE102021000458A1 (de) 2020-03-20 2021-12-30 Kastriot Merlaku Luftsterilisator
DE102020003124A1 (de) 2020-03-20 2021-09-23 Kastriot Merlaku Virenschutz-Vorrichtung für eine Luftdurchströmungs- / Belüftungs-Vorrichtung oder Lüftungs-Anlage oder Klima-Anlage
CN111532024B (zh) * 2020-03-26 2021-12-28 东莞市汇创智能装备有限公司 Uv固化机及其uv灯箱
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TWI756761B (zh) * 2020-04-06 2022-03-01 香港商正揚科技有限公司 紫外光固化裝置
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11744913B2 (en) * 2020-11-05 2023-09-05 Bolb Inc. Fluid conduit disinfector
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4048490A (en) 1976-06-11 1977-09-13 Union Carbide Corporation Apparatus for delivering relatively cold UV to a substrate
JPH02189805A (ja) * 1989-01-17 1990-07-25 Ushio Inc マイクロ波励起型無電極発光装置
US5959306A (en) 1996-02-08 1999-09-28 Bright Solutions, Inc. Portable light source and system for use in leak detection
US6098637A (en) 1998-03-03 2000-08-08 Applied Materials, Inc. In situ cleaning of the surface inside a vacuum processing chamber
US6118130A (en) * 1998-11-18 2000-09-12 Fusion Uv Systems, Inc. Extendable focal length lamp
US6630682B2 (en) 2000-03-13 2003-10-07 Victor J. Shanley Combination UV inspection light and flashlight
US7265062B2 (en) 2000-04-04 2007-09-04 Applied Materials, Inc. Ionic additives for extreme low dielectric constant chemical formulations
JP4777582B2 (ja) * 2000-04-07 2011-09-21 ノードソン コーポレーション 向上したランプの冷却を伴っているマイクロ波励起紫外線ランプシステム
US6759664B2 (en) 2000-12-20 2004-07-06 Alcatel Ultraviolet curing system and bulb
US7091137B2 (en) 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US20030192577A1 (en) 2002-04-11 2003-10-16 Applied Materials, Inc. Method and apparatus for wafer cleaning
KR20030096733A (ko) * 2002-06-17 2003-12-31 삼성전자주식회사 자외선 조사 장치
US20050095859A1 (en) 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US20050250346A1 (en) 2004-05-06 2005-11-10 Applied Materials, Inc. Process and apparatus for post deposition treatment of low k dielectric materials
US7077547B2 (en) 2004-07-29 2006-07-18 Nordson Corporation Shuttered lamp assembly and method of cooling the lamp assembly
US20060105106A1 (en) 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
JP2006147782A (ja) 2004-11-18 2006-06-08 Toshiba Ceramics Co Ltd 半導体基板用マイクロ波加熱セラミックスヒータ
DE202005002740U1 (de) * 2005-02-19 2005-06-02 Visplay International Ag Vorrichtung zum Aufhängen von Artikeln oder zur Halterung einer Ablage und Aufbau damit
US20060251827A1 (en) 2005-05-09 2006-11-09 Applied Materials, Inc. Tandem uv chamber for curing dielectric materials
US7777198B2 (en) 2005-05-09 2010-08-17 Applied Materials, Inc. Apparatus and method for exposing a substrate to a rotating irradiance pattern of UV radiation
US20060286774A1 (en) 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7601652B2 (en) 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
JP4811000B2 (ja) * 2005-12-07 2011-11-09 ウシオ電機株式会社 光照射装置
US7692171B2 (en) 2006-03-17 2010-04-06 Andrzei Kaszuba Apparatus and method for exposing a substrate to UV radiation using asymmetric reflectors
US7589336B2 (en) 2006-03-17 2009-09-15 Applied Materials, Inc. Apparatus and method for exposing a substrate to UV radiation while monitoring deterioration of the UV source and reflectors
US7566891B2 (en) 2006-03-17 2009-07-28 Applied Materials, Inc. Apparatus and method for treating a substrate with UV radiation using primary and secondary reflectors
JP4896555B2 (ja) 2006-03-29 2012-03-14 株式会社東芝 半導体製造装置及び半導体装置の製造方法
CN101415865B (zh) 2006-04-07 2015-10-07 应用材料公司 用于外延膜层形成的集束型设备
US7978964B2 (en) 2006-04-27 2011-07-12 Applied Materials, Inc. Substrate processing chamber with dielectric barrier discharge lamp assembly
JP5026846B2 (ja) * 2006-04-28 2012-09-19 東海ゴム工業株式会社 アルミ系金属品付きゴム部材およびその製法
US7547633B2 (en) 2006-05-01 2009-06-16 Applied Materials, Inc. UV assisted thermal processing
US20070256635A1 (en) 2006-05-02 2007-11-08 Applied Materials, Inc. A Delaware Corporation UV activation of NH3 for III-N deposition
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
WO2008005773A2 (en) 2006-07-03 2008-01-10 Applied Materials, Inc. Cluster tool for advanced front-end processing
JP5459944B2 (ja) * 2006-11-13 2014-04-02 大日本スクリーン製造株式会社 表面形状測定装置および応力測定装置、並びに、表面形状測定方法および応力測定方法
JP5063995B2 (ja) 2006-11-22 2012-10-31 大日本スクリーン製造株式会社 熱処理装置
JP4621699B2 (ja) * 2007-02-13 2011-01-26 株式会社東芝 使用済燃料貯蔵ラック

Also Published As

Publication number Publication date
TW201029017A (en) 2010-08-01
US7964858B2 (en) 2011-06-21
US20100096564A1 (en) 2010-04-22
KR101244243B1 (ko) 2013-03-19
CN103337274A (zh) 2013-10-02
TWI374452B (en) 2012-10-11
KR20110077008A (ko) 2011-07-06
JP2012506622A (ja) 2012-03-15
CN102187441B (zh) 2013-09-11
CN102187441A (zh) 2011-09-14
US20110248183A1 (en) 2011-10-13
WO2010048237A3 (en) 2010-07-15
US8338809B2 (en) 2012-12-25
CN103400627A (zh) 2013-11-20
WO2010048237A2 (en) 2010-04-29

Similar Documents

Publication Publication Date Title
JP5401551B2 (ja) 冷却ガス用の孔を有する紫外線リフレクタおよび方法
JP2012506622A5 (ja)
US8597011B2 (en) Apparatus and method for exposing a substrate to UV radiation using a reflector having both elliptical and parabolic reflective sections
US8203126B2 (en) Apparatus and method for exposing a substrate to a rotating irradiance pattern of UV radiation
US7692171B2 (en) Apparatus and method for exposing a substrate to UV radiation using asymmetric reflectors
US7566891B2 (en) Apparatus and method for treating a substrate with UV radiation using primary and secondary reflectors
KR101018965B1 (ko) 처리 챔버의 고효율 uv 클리닝
US8951348B1 (en) Single-chamber sequential curing of semiconductor wafers
US20100096569A1 (en) Ultraviolet-transmitting microwave reflector comprising a micromesh screen
US20060249078A1 (en) High efficiency uv curing system
JP5572623B2 (ja) 基板処理チャンバを洗浄する方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121019

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20121019

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20121019

A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20121108

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121120

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130130

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130206

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130422

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130521

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130816

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130823

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130906

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20131008

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20131028

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees