TWI313890B - - Google Patents

Download PDF

Info

Publication number
TWI313890B
TWI313890B TW093135613A TW93135613A TWI313890B TW I313890 B TWI313890 B TW I313890B TW 093135613 A TW093135613 A TW 093135613A TW 93135613 A TW93135613 A TW 93135613A TW I313890 B TWI313890 B TW I313890B
Authority
TW
Taiwan
Prior art keywords
reaction chamber
substrate
gas
exhaust
flow rate
Prior art date
Application number
TW093135613A
Other languages
English (en)
Other versions
TW200527513A (en
Inventor
Kasahara Osamu
Maeda Kiyohiko
Yoneda Akihiko
Original Assignee
Hitachi Int Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Int Electric Inc filed Critical Hitachi Int Electric Inc
Publication of TW200527513A publication Critical patent/TW200527513A/zh
Application granted granted Critical
Publication of TWI313890B publication Critical patent/TWI313890B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/022Avoiding or removing foreign or contaminating particles, debris or deposits on sample or tube
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/935Gas flow control

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

1313890 九、發明說明: 【發明所屬之技術領域】 本發明有關於半導體裝置之製造方法及基板處理裝 特別有關於用來排除反應室内之粒子之裝置。 【先前技術】 用來實施半導體裝置(device)之製程之一步驟之基 理裝置是縱型C V D裝置,在反應室内(以下亦稱為爐f 對多片之晶圓施加成膜等之處理。在先前技術之縱型 裝置中,在半導體製造過程,特別是在反應室開放時 自爐内之粒子產生源之粒子進行飛散,粒子容易附著 圓表面。粒子產生源是被吸附在反應室壁或配管壁等 應產生物。由於在爐内重複進行成膜,在反應室壁或 壁等吸附反應產生物之膜,當該膜進行剝離時,如圖 示,成為粒子9 2。例如,當重複進行氮化妙膜(S i Ν ί 成膜時,在高溫區域5 0 0 °C以上,S i 3 Ν 4膜9 0沈積在瘦 在低溫區域1 5 0 °C以下,沈積氯化銨膜(Ν Η 4 C 1膜)9 1, 進行剝離時成為粒子9 2。 在成膜步驟時,在圖 8中,例如首先使容易吸附 膜9 0之場所,位於内部反應管1 0 4 (構成在外周設有 器102之2重管構造之石英製反應管(以下亦稱為爐體 之内壁和石英製晶舟117之表面。其次容易附著NIh 9 1之場所包含有排氣口 1 1 1近旁之内部反應管1 0 4之 外壁,連接供給管1 3 2,排氣管1 1 2之金屬製(例如不 製)之歧管106之内壁,導入反應氣體之供給管132 312XP/發明說明書(補件)/94-03/9313 5613 置, 板處 弓), CVD ,來 在晶 之反 配管 8所 I )之 面, 當其 S i 3Ν4 加熱 )1 03 :1膜 下部 銹鋼 之内 5 1313890 壁,連接到歧管1 0 6之排氣口 1 1 1之排氣管1 1 2之内壁, 和密封爐口部開口 1 1 6之密封蓋1 1 9之内壁等。 在爐内重複地成膜1在該%構件之南溫區域沉積 S i 3 Ν ί 膜9 0,在低溫區域沉積N Η 4 C 1膜9 1。沉積在反應室1 0 1内 之S i 3 Ν 4膜9 0,或沉積在反應室低溫區域,歧管,以及配 管之N Η 4 C 1膜9 1當進行剝離時,成為粒子9 2。另外,在 圖面中SiN(Si3N4膜)90或NIL· C1膜91,粒子9 2為著說明 之方便被強調地描繪。 由於某種原因使被吸附和沉積在壁面之膜,從壁面剝離 時成為粒子9 2,下面使用圖9用來說明該粒子之產生之機 構。 圖9表示裝填有晶圓100之晶舟117在白色箭頭之方向 上升(晶舟上升),將晶圓1 0 0裝入到反應室1 0 1之步驟(晶 圓裝載步驟)。為著進行晶舟上升,當使爐口部開口 116 開放時,會發生從爐口部開口 1 1 6朝向外部散熱,使爐體 降溫。 另外,因為將室溫之晶圓1 0 0和晶舟1 1 7裝入到反應室 1 0 1内,所以被加熱之反應管1 0 3之壁面溫度發生下降。 因此,附著在反應管壁面等之SiN膜90,由於與反應管103 之熱膨脹不同,所以受到應力從壁面剝離,產生粒子9 2。 另外,在使保持有處理後之晶圓1 0 0之晶舟1 1 7進行下 降(晶舟下降),從反應室1 0 1内將晶圓1 0 0抽出(晶圓卸載) 之步驟,利用同樣之機構產生粒子。亦即,當將晶圓 100 和晶舟1 1 7從反應室1 0 1内抽出時,由於晶舟之高溫部, 6 3 UXP/發明說明書(補件)/94-03/931356 ] 3 1313890 特 壁 生 案 沖 放 參 晶 内 改 理 包 氣 以 在 使反應管壁面,歧管在管軸方向更進一步的前進,所以 別是被吸附在成為低溫區域之反應管下部壁面或歧管内 面之Ν Η 4 C 1膜9 1,因為受到應力從壁面剝離,所以會產 粒子92。 因此,為防止上述之粒子污染晶圓,在先前技術中提 之方法是在晶圓裝載/晶圓卸載時,對反應室内進行反向 洗和低速排氣(例如,參照專利文獻 1 ),或在反應室開 之狀態將惰性氣體導入到反應室和進行低速排氣(例如, 照專利文獻2 )。 專利文獻1 :日本專利特開平1 0 - 3 2 6 7 5 2號公報 專利文獻2 :曰本專利特開平8 - 3 1 7 4 3號公報 【發明内容】 (發明所欲解決之問題) 但是,如專利文獻1、2所記載之方式,在晶圓裝載/ 圓卸載時,或反應室開放時,利用低速排氣進行反應室 之排氣,因為形成用以除去粒子之有效氣流,所以具有 善之餘地。 本發明之目的是提供半導體裝置之製造方法及基板處 裝置,可以從反應室内有效地排除粒子。 (解決問題之手段) 第1發明是一種半導體裝置之製造方法,其特徵在於 含如下步驟:將至少1片之基板裝入到反應室内;將反應 體導入到上述反應室内,進行上述反應室内之排氣,藉 處理上述基板;及將處理後之基板從上述反應室抽出; 312ΧΡ/發明說明書(補件)/94-03/9313 5613 1313890 裝入上述基板之步驟或/和抽出基板之步驟,利用排氣流量 大於處理上述基板之步驟之排氣流量,進行上述反應室内 之排氣。 在裝入基板之步驟或/和抽出基板之步驟,反應室壁發生 溫度變化,由於附著在反應室壁之沉積膜與反應室壁之熱 膨脹不同,以應力使沉積膜從反應室壁剝離,成為粒子。 在利用排氣流量大於處理基板之步驟之排氣流量,進行上 述反應室内之排氣時,因為可以形成用來有效除去粒子之 氣流,所以可以將粒子有效的排出到反應室外。因此,可 以減少粒子之附著在基板。 第2發明是半導體裝置之製造方法,其特徵是在第1發 明中在裝入上述基板之步驟或/和抽出基板之步驟,一面將 惰性氣體導入到上述反應室内,而一面利用排氣流量大於 處理上述基板之步驟之排氣流量,進行上述反應室内之排 氣。 將惰性氣體導入反應室内,在利用排氣流量大於處理基 板之步驟之排氣流量,進行上述反應室内之排氣時,因為 可以形成用來有效除去粒子之氣流,所以可以將粒子有效 的排出到反應室外。因此,可以減少粒子之附著在基板。 在此種情況,所使用之惰性氣體在粒子之大小為0 . 5 // m 以上之情況時,可以使用比N2分子大之Ar等之其他氣體, 但是在粒子大小為0. 1 ~ 0 . 5 # m程度之情況時,最好使用最 普通使用之N 2。 第3發明是一種半導體裝置之製造方法,其特徵在於包 8 312XP/發明說明書(補件)/94-03/93135613 1313890 含如下步驟:將至少1片之基板裝入到反應室内;將 體導入到上述反應室内,從與真空泵相通之第1排 行上述反應室内之排氣,藉以處理上述基板;及將 之基板從上述反應室抽出;在裝入上述基板之步驟¥ 出基板之步驟,利用排氣流量大於上述第1排氣管 排氣管,進行上述反應室内之排氣。 當利用排氣流量大於第1排氣管之第2排氣管, 應室内之排氣時,因為可以形成用來除去粒子之 流,所以可以將粒子有效的排出到反應室外。因此 減少粒子之附著在基板。 第2排氣管可以成為不是真空排氣而是大氣壓排 與真空排氣比較時,大氣壓排氣可以更有效的排出 第4發明是半導體裝置之製造方法,其特徵是在 明中,在裝入上述基板之步驟或/和抽出基板之步驟 將惰性氣體導入到上述反應室内,而一面利用排氣 於上述第1排氣管之第2排氣管,進行上述反應室 氣。 當將惰性氣體導入到反應室内和利用排氣流量比 氣管大之第2排氣管進行反應室内之排氣時,因為 成用來除去粒子之有效氣流,所以可以將粒子有效 到反應室外。因此,可以減少粒子之附著在基板。 第5發明是半導體裝置之製造方法,其特徵是在 明中,更具有以惰性氣體對基板被抽出後之反應室 沖洗的步驟,在從抽出上述基板之步驟,到對上述 312XP/發明說明書(補件)/94-03/93135613 反應氣 氣管進 處理後 L /和抽 之第2 進行反 有效氣 ,可以 氣。當 粒子。 第3發 ,一面 流量大 内之排 第1排 可以形 的排出 第3發 内進行 反應室 9 1313890 内進行沖洗之步驟結束為止之期間,一面將惰性氣體連續 地導入到上述反應室内,而一面從排氣流量大於上述第 1 排氣管之第2排氣管,進行上述反應室内之排氣。 在從抽出基板之步驟到對上述反應室内進行沖洗之步驟 結束為止之期間,將惰性氣體連續地導入到上述反應室 内,和利用排氣流量大於上述第1排氣管之第2排氣管, 進行上述反應室内之排氣時,可以將粒子更有效的排出到 反應室外。 第6發明是半導體裝置之製造方法,其特徵是在第3發 明中,使上述第2排氣管形成與建築物附帶設備之排氣設 備相通。因為第2排氣管使用組入到建築物附帶設備之大 排氣流量之排氣設備進行排氣,所以可以確實而且容易地 獲得排氣流量比第1排氣管大之排氣。 第7發明是半導體裝置之製造方法,其特徵是在第3發 明中,在處理上述基板之步驟,於基板上沉積氮化矽膜。 當在處理基板之步驟,在基板上沉積氮化矽膜時,對於沉 積在處理室内之剝離之氮化矽膜或氯化銨膜所構成之粒 子,可以將其有效地排出到反應室外。 第8發明是半導體裝置之製造方法,其特徵是在第4發 明中,使上述惰性氣體之流量為反應氣體流量之1 0 0倍以 上。惰性氣體之流量由經驗值得知最好為反應氣體之流量 之1 0 0倍以上。 第9發明是半導體裝置之製造方法,其特徵是在第4發 明中,使上述惰性氣體之流量為1 0 0 L / m i η以上。惰性氣體 10 312ΧΡ/發明說明書(補件)/94-03/93135613 1313890 之流量為著要抵抗粒子之重力,順利地將粒子運出到反應 室外,最好為100L/min以上。 第1 0發明是半導體裝置之製造方法,其特徵是在第4 發明中,使上述惰性氣體之流量為 1 0 0 L / m i η ~ 2 0 0 L / m i η。 惰性氣體之流量為著將粒子順利地搬運到反應室外,和不 會奪走反應室内之熱之理由,所以更好為 1 0 0 L / m i η ~ 2 0 0 L / m i η。特另1J是當惰性氣體之流量之上限成為 1 5 0 L / m i η時,可以抑制設備費變為高價格,可以不會過度 奪走反應室内之熱。 第1 1發明是半導體裝置之製造方法,其特徵是在第4 發明中,使上述第2排氣管之排氣流量大於上述惰性氣體 之供給流量。另外,因為第2排氣管之排氣流量大於惰性 氣體之流量時,大氣被吸入到反應室内,所以當不希望在 基板形成氧化膜之情況,第2排氣管之排氣流量可以成為 與惰性氣體之流量大致同等之排氣流量。但是,當亦可以 在基板形成氧化膜之情況,為著可以更有效的將粒子排出 到反應室外,所以最好使第2排氣管之排氣流量大於惰性 氣體之流量。 第1 2發明是半導體裝置之製造方法,其特徵是在第4 發明中,使上述惰性氣體被加熱,然後被導入到上述反應 室内。當惰性氣體被加熱,然後被導入到反應室内時,在 基板裝.入步驟或/和基板抽出步驟,因為可以抑制反應室内 之溫度變化,所以可以抑制由於反應室溫度之降低所造成 之沉積膜破裂之發生,可以更進一層的抑制粒子之發生。 11 312ΧΡ/發明說明書(補件)/94-03/93135613 1313890 特別是在使上述惰性氣體之加熱溫度成為反應室内 溫度之程度時,因為在基板裝入步驟或/和基板抽出步驟亦 可以抑制反應室内溫度之變化,所以可以更進一層的抑制 粒子之發生。 第 1 3發明是一種基板處理裝置,其特徵為具有:反應 室,其進行至少1片之基板之處理;至少1個之氣體供給 管,其將氣體導入到上述反應室内;第1排氣管,其利用 真空泵進行上述反應室内之排氣;第2排氣管,其排氣流 量大於進行上述反應室内之排氣的上述第1排氣管;及控 制器,其在將基板裝入到上述反應室内時或/和將基板從上 述反應室抽出時,控制成從上述第2排氣管進行上述反應 室内之排氣。 假如具備有控制器,在將基板裝入到反應室内時或/和 從上述反應室抽出基板時,進行反應室内之排氣是利用排 氣流量比上述第1排氣管大之第2排氣管進行排氣,可以 很容易實施在反應室内發生之粒子之排出。 第1 4發明是基板處理裝置,其特徵是在第1 3發明中, 使上述控制器在將基板裝入到上述反應室内時或/和從上 述反應室抽出基板時,控制成一面從上述氣體供給管將惰 性氣體導入到上述反應室内,而一面從上述第2排氣管進 行上述反應室内之排氣。 假如具備有控制器,在將基板裝入到反應室内時或/和 從上述反應室抽出基板時,從氣體供給管將惰性氣體導入 到反應室内,和利用第2排氣管進行排氣,可以很容易的 12 312XP/發明說明書(補件)/94-03/93135613 1313890 實施在反應室内產生之粒子之排出。 基板處理裝置例如在使用有保持夾具(晶舟等之基 持具)用來將基板裝入到反應室之情況,反應室開放時 地是在:基板裝入(基板裝載)步驟,用來將被保持具保 基板裝入到反應室;和基板抽出(基板卸載)步驟,用 反應室抽出被保持具保持之處理過之基板。依照本 時,從氣體供給管將惰性氣體導入到反應室内和利用 排氣管進行排氣之期間,被限定在基板裝入時或/和基 出時,當與在反應室開放時之持續全部期間從氣體供 將惰性氣體導入到反應室内和利用第2排氣管進行排 情況比較時,因為第2排氣管之排熱期間可以更進一 縮短,所以可以謀求構成排氣管之構件之保護。另外 氣體供給管將惰性氣體導入到反應室内和利用第2排 進行排氣之期間,被限定在基板裝入步驟時或/和基板 步驟時,可以減少惰性氣體之消耗量。 第1 5發明是基板處理裝置其特徵是在第1 3發明中 上述控制器更在從抽出上述基板起,到抽出基板棱進 反應室沖洗結束為止之期間,控制成一面連續從上述 供給管將惰性氣體導入到上述反應室内,而一面從上 2排氣管進行上述反應室内之排氣。 利用控制器控制成從抽出基板時起,到反應室沖洗 為止之期間,連續地將惰性氣體導入到反應室内和利 2排氣管進行反應室内之排氣,可以更有效地將粒子 到反應室外。 312XP/發明說明書(補件)/94-03/93135613 板保 主要 持之 來從 發明 第2 板抽 給管 氣之 步地 ,從 氣管 抽出 ,使 行之 氣體 述第 結束 用第 排出 13 1313890 第1 6發明是基板處理裝置,其特徵是在第1 3發明 中,使上述第2排氣管形成與建築物附帶設備之排氣設備 相通。 當使第2排氣管與建築物之附帶設備相通時,因為可以 使用大排氣流量之排氣設備進行排出,所以可以確實而且 容易地獲得排氣量比第1排氣管大之排氣。 第1 7發明是基板處理裝置,其特徵是在第1 3發明中, 更具有使上述反應室内以水平姿勢裝填基板成為多段保持 具的。因為從大排氣流量之第2排氣管進行反應室内之排 氣,所以即使是收容有保持具用來將基板裝填成為多段之 大容積反應室,亦可以有效的排出粒子,因此不論保持具 有多少段,均可以減少粒子之附著在基板。 第1 8發明是基板處理裝置,其特徵是在第1 3發明中, 使上述反應室由外部反應管和内部反應管構成。 更將内部反應管内產生之粒子排出到反應室外時,需要 抵抗重力使粒子上升,所以不容易將粒子排出,但是依照 本發明時,因為利用大排氣量之第2排氣管進行排氣,所 以即使是由外部反應管和内部反應管構成之反應室,亦可 以很容易將粒子排出。 第1 9發明是基板處理裝置,其特徵是在第1 3發明中, 使上述反應室連接有使上述基板預先待機之預備室。因為 在反應室連接有預備室,所以在反應室開放時,反應室不 對大氣開放亦可以進行基板之裝入、抽出。特別是在具備 有將惰性氣體導入到預備室之功能之情況,即使不併用氣 14 312XP/發明說明書(補件)/94-03/93135613 1313890 體供給管,或使用氣體供給管,亦可以從預備室將惰性氣 體導入到反應室内。上述預備室例如可以使用加載互鎖真 空室或N2沖洗箱。 第2 0發明是基板處理裝置,其特徵是在第1 4發明中, 使上述氣體供給管具有反應氣體用之管和惰性氣體用之 管,上述惰性氣體從上述惰性氣體用之管被導入。因為從 惰性氣體用之管導入惰性氣體,所以附著在反應氣體用之 管之沉積膜,不會由於惰性氣體之導入被施加應力。因此, 可以抑制附著在反應氣體用之管之沉積膜發生破裂。 (發明效果) 依照本發明時,在裝入基板之步驟或/和抽出基板之步 驟,因.為利用排氣流量大於處理基板之步驟之排氣流量, 進行反應室内之排氣,所以可以從反應室將粒子有效地排 出,可以減少粒子之附著在基板。 另外,依照本發明時,因為具備有控制器,在將基板裝 入到反應室内時或/和從上述反應室抽出基板時,進行反應 室内之排氣是利用排氣流量大於第1排氣管之第2排氣管 進行排氣,所以可以將粒子從反應室有效地排出,可以減 少粒子之附著在基板。 【實施方式】 下面說明本發明之半導體裝置之製造方法,及用以實施 該製造方法之基板處理裝置。另外,在基板處理裝置為縱 型裝置時,使晶圓加料後之晶舟進行晶舟上升,裝入爐内 之動作之晶舟裝載,相當於晶圓裝載(基板裝入)。另外, 15 312XP/發明說明書(補件)/94-03/9313 5613 1313890 使晶圓之加料後之晶舟進行晶舟下降,從爐内將 動作之晶舟卸載,相當於晶圓卸載(基板抽出)。 說明中,因為是針對縱型CVD裝置進行說明,所 載和晶舟裝載之用語以同義使用。另外晶圓卸載 載之用語亦以同義使用。 本發明人檢查在半導體製造步驟之晶圓裝載步 卸載步驟,反應管之壁面溫度(爐溫度)之變化程 使用圖1 0對此進行說明。圖1 0表示隨著晶舟上 裝載步驟和隨著晶舟下降之晶圓卸載步驟所產生 之變化。圖中之U、CU、CL、L分別表示爐之上部 部,中央下部,和下部之溫度推移曲線。成膜溫 在7 5 0 °C ,因為在爐内形成有溫度梯度,所以U、 和L部之溫度成為不同,隨著朝向下方使溫度變 舟上升時,因為將常溫之晶舟11 7裝入到爐内, 入時序起,在稍後之時刻,在爐内暫時地產生大 低。另外,在晶舟下降時,因為從爐内抽出高 1 1 7,所以在抽出之過程,除了 U部外,在溫度比 C U、C L、L部,接受來自高溫之晶舟1 1 7之幅射 地使該等部份之溫度進行上升。 利用圖1 0所一併記載之表,在使反應室開放之 和晶圓卸載,時,U部之最高溫度和最低溫度之 1 2 . 0 °C為止,與此相對的,在C U、C L,和L部, 部朝向L部,溫度差分別成為5 3 . 9 °C、8 7 . 8 °C、 變大。反應管壁面在晶圓裝載和晶圓卸載時,因 312XP/發明說明書(補件)/94-03/9313 5613 其抽出之 在下面之 以晶圓裝 和晶舟卸 驟和晶圓 度。下面 升之晶圓 之爐溫度 ,中央上 度被設定 CU、CL, 低。在晶 所以從裝 的溫度降 溫之晶舟 U部低之 熱,相反 晶圓裝載 溫度差至 隨著從CU 9 0 . 8 t:地 為接受此 16 1313890 種大的溫度變化,所以施加在壁面之膜之熱應力增加,發 生膜破裂,膜從壁面剝離。當膜剝離時,成為粒子地飛散, 附著在反應室1 0 1内之晶圓上,變成污染該晶圓。在成膜 步驟時,由於粒子之逆流或擴散,亦會附著在晶圓表面, 本發明人發現在晶圓裝載和晶圓卸載時,由於附著造成之 污染最多。 另外,本發明人發現主要之粒子產生源是在晶圓裝載時 為附著在内部反應管之膜,在晶圓卸載時為附著在晶舟之 膜。 另外,用以獲得圖1 0之爐溫特性時之成膜條件如下所 示。
膜種 S i N 成膜氣體 SiH2Cl2 30-100 seem Ν Η 3 300〜900 seem
成膜溫度 680〜800 °C 壓力 10〜1 OOPa 裝載在晶舟之晶圓片數 200片以下 晶圓直徑 Φ 2 0 0 m m以上 本發明人根據上述之發現完成本發明。下面說明本發明 之實施形態。 基板處理裝置被構建成具備有處理爐,具有用來處理基 板之反應室,將裝填有基板之基板保持構件,收容在處理 爐藉以對基板進行處理。該處理爐之實例以圖1表示。 圖1是第1實施形態之減壓CVD處理爐之剖面圖。外部 17 312XP/發明說明書(補件)/94-03/93135613 1313890 反應管2 0 5成為圓筒狀之形態,例如由石英(S i 0 2 )等之耐 熱性材料構成,上端被閉塞,在下端具有開口 。内部反應 管 204在上端和下端之兩端具有開口 ,成為圓筒狀之形 態,被同軸地配置在外部反應管2 0 5内。在外部反應管2 0 5 和内部反應管2 0 4之間之空間,形成作為氣體通路之筒狀 空間。從内部反應管2 0 4之上部開口上升之氣體,通過該 筒狀空間2 1 0,從排氣管2 6 0被排氣。 在外部反應管2 0 5之外周,同軸地配置有由電阻加熱器 等構成之加熱裝置2 0 2。加熱裝置2 0 2被控制器1 2 0控制, 用來使外部反應管2 0 5内之溫度成為指定之處理溫度。利 用上述之内部反應管2 0 4,外部反應管2 0 5,和後面所述之 歧管.2 0 9構成反應室2 0 1,用來收納和處理被後面所述之 晶舟2 1 7支持之作為基板之晶圓2 0 0。 在外部反應管2 0 5内部反應管2 0 4之下端,接合有例如 由不銹鋼等構成之歧管2 0 9,外部反應管2 0 5和内部反應 管2 0 4被該歧管2 0 9保持。在外部反應管2 0 5之下端部和 歧管2 0 9之上部開口端部分別設有環狀之突緣,在該等突 緣間配置有氣密構件(以下稱為0環2 2 0 ),用來將兩者之 間氣密式的密封。 在歧管2 0 9之下端開口部(以下稱為爐口開口部)設有環 狀之突緣,例如由不銹鋼等製成之圓盤狀之蓋體(以下稱為 密封蓋2 1 9 ),經由氣密構件(以下稱為0環2 2 0 a ),可自由 裝卸的安裝在該突緣,成為可以氣密地密封。另外,在歧 管2 0 9之爐口開口部設有爐口擋門2 1 8,在從反應室2 0 1 18 312XP/發明說明書(補件)/94-03/93135613 1313890 抽出晶舟2 1 7之狀態,可以代替密封蓋2 1 9使爐口開 自由地開閉。爐口擋門21 8在晶圓裝載時開放,在晶 載結束後閉合。 在密封蓋2 1 9連結有旋轉手段2 1 1,用來使基板保 件(以下稱為晶舟217)和被保持在晶舟217上之晶圓 進行旋轉。另外,密封蓋2 1 9連結在升降手段2 1 3, 使晶舟2 1 7升降。利用控制器1 2 0控制旋轉手段2 1 1 轉軸212,晶舟217之旋轉速度成為指定之速度,和 升降手段213使晶舟217之升降速度成為指定之速度 在歧管209之下部設有氣體導入管240。該氣體導 2 4 0具有氣體供給管2 3 2。利用氣體供給管2 3 2將處理 氣體(反應氣體)或惰性氣體,導入到内部反應管 204 部反應管2 0 5内。氣體供給管2 3 2連結到氣體之流量 手段(以下稱為質量流控制器(M F C ) 2 3 1 ),M F C 2 3 1連接 制器1 2 0,控制導入之氣體之流量使其成為指定之量 在設有氣體導入管2 4 0之歧管2 0 9之下部之相反側 部,設置上述之排氣管260,排氣管260主要的由第 氣管2 6 1和第2排氣管2 6 2構成。第2排氣管2 6 2在 是被設置成從第1排氣管2 6 1分支之形式。 第1排氣管261形成與排氣裝置(以下稱為真空泵 相通,用來對從氣體導入管2 4 0被導入到反應室2 0 1 反應氣體進行排氣。第2排氣管262形成與大容量之 設備相通,用來對從氣體導入管2 4 0被導入到反應室 内之惰性氣體進行大量之排氣。另外,從氣體導入管 312ΧΡ/發明說明書(補件)/94-03/9313 5613 口部 圓卸 持構 200 用來 使旋 控制 〇 入管 用之 ,外 控制 到控 0 之上 1排 此處 2 4 6 ) 内之 排氣 201 240 19 1313890 被導入到反應室2 0 1内之惰性氣體,使用例如N 2氣體 氣體、Ar氣體等。 從第2排氣管2 6 2排氣之排氣流量,被設定成大於 第1排氣管2 61排氣之排氣流量。因此,第2排氣管 例如連接到建築物之附帶設備之排氣設備等。另外, 用第2排氣管2 6 2排氣時,從氣體導入管2 4 0被導入 應室 2 0 1内之惰性氣體之流量,成為反應氣體之流 2 0〜1 0 0倍以上。 上述第1排氣管261之構成包含有:主排氣管(main 管)263,用來進行主排氣處理;壓力調整管 264,從 氣管2 6 3側導入惰性氣體,用來調整反應室2 0 1内之肩 低速排氣管 2 6 5,用來進行低速排氣處理;過加壓防 251,用來使壓力不會超過大氣壓(不會過加壓)。在此 在反應室閉合時利用壓力調整管2 6 4實行壓力調整處 在晶圓裝載處理後之真空排氣處理(真空抽出處理)之 時,利用低速排氣管2 6 5實行低速排氣處理。在晶圓 後,在進行反應室内之沖洗之後,使反應室2 0 1内回 氣時,利用過加壓防止管2 5 1實行過加壓防止處理。 上述第1排氣管2 6 1具有主排氣配管1 A。該主排氣 1 A之一端連接到歧管2 0 9。主排氣配管1 A之另外一端 上游側朝向下游側順序的連接到構成真空泵2 4 6之渦 子泵246A,和驅動栗246B。在該主排氣配管1A插入 排氣閥1 B。 上述壓力調整管264具有壓力調整配管2A。該壓力 312XP/發明說明書(補件)/94-03/93135613 、He 利用 262 當利 到反 量之 排氣 主排 !力; 止管 處是 理 。 開始 處理 到大 配管 ,從 輪分 有主 調整 20 1313890 配管 2 A之一端部連接到主排氣配管1 A。其連接位置 定在主排氣閥1 B和真空泵2 4 6之間。另一端部與惰性 源,例如N2氣體源相通。在該壓力調整配管2A插入 電閥2C,經由分隔閥2B控制I氣體之流量。 上述低速排氣管2 6 5具有低速排氣配管3 A。該低速 配管3 A之一端部,在上游側連接到主排氣配管1 A, 端部在主排氣閥1 B之下游側連接到主排氣配管1 A。 速排氣配管3 A設有分隔閥3 B。 上述過加壓防止管2 5 1具有壓力檢測器2 5 0和過加 止配管5 A。該壓力檢測器2 5 0和過加壓防止配管5 A 端部,在主排氣閥1 B之上游側連接到主排氣配管1 A 加壓防止配管 5A之另一端部,經由分隔閥 2 5 2被止 253閉合。 經由真空泵2 4 6,主排氣配管1 A控制成將在外部反 2 0 5和内部反應管2 0 4之間之筒狀空間2 1 0流動之氣 出,使外部反應管2 0 5内成為指定壓力之減壓環境。 制之進行是利用控制器1 2 0操作主排氣閥1 B,分隔閥 壓電闊2 C ’和分隔閥3 B。 上述第2排氣管2 6 2由用來進行大量排氣處理之一 氣管之高流量通氣管(HighFlowVentLine,以下稱為 管)2 6 6構成。HFV管與利用真空泵抽成真空之真空排 不同地,成為在大氣壓下進行排氣之排氣管。H F V管 具有副排氣配管4Α。該副排氣配管4Α之一端部連接 排氣配管1 Α。其連接位置被設定在主排氣閥1 Β之上i? 312XP/發明說明書(補件)/94-03/931356 ] 3 被設 氣體 有壓 排氣 另一 在低 壓防 之一 〇 過 回閥 應管 體排 該控 2B, 般排 HFV 氣管 266 到主 卜側0 21 1313890 在該副排氣配管4 A設有分隔閥4 B。利用控制器1 2 0控制 分隔閥4 Β之操作,藉以在反應室2 0 1内形成從氣體供給管 2 3 2朝向副排氣管4 Α之大氣流。 利用上述之控制器1 2 0,控制將惰性氣體從氣體導入管 2 4 0導入到反應室2 0 1内,以H F V管2 6 6大量排氣惰性氣 體,可以使其期間成為反應室2 0 1開放之全部期間,但是 在此處只限於將晶圓2 0 0裝入到反應室2 0 1内之晶圓裝載 步驟,和將處理後之晶圓2 0 0從反應室2 0 1内抽出之晶圓 卸載步驟期間。另外,控制器1 2 0之功能是在該期間進行 (1 )使大流量之惰性氣體流動,(2)利用HFV管2 6 6進行惰 性氣體之排氣,(3 )控制惰性氣體之流量。 下面綜合地說明HFV管266之特徵。與真空泵246相通 之主排氣管2 6 3,被設置成從主排氣管2 6 3分支之低速排 氣管265,過加壓防止管251成為不同之排氣管。HFV管 2 6 6被設置成從主排氣管2 6 3分支,成為與建築物附帶設 備之排氣設備相通。設定成排氣流量大於主排氣管 263, 低速排氣管2 6 5,過加壓防止管2 5 1,可以以大氣壓使大流 量之氣體流動。HFV管266之内徑小於主排氣管263之内 徑,大於低速排氣管2 6 5,過加壓防止管2 5 1之内徑。 下面說明利用圖1所示處理爐進行減壓CVD處理方法之 一實例,首先利用升降手段使晶舟 2 1 7進行下降(晶舟下 降)。用來使晶舟2 1 7不能從爐中抽出之爐口擋門2 1 8成為 閉合,用來阻塞反應室2 01之爐口開口部。利用圖中未顯 示搬運手段在晶舟2 1 7裝填多片之晶圓2 0 0 (晶圓加料)。 22 312ΧΡ/發明說明書(補件)/94-03/9313 5613 1313890 其次,利用加熱裝置2 0 2對反應室2 0 1内進行加熱,使反 應室2 0 1内之溫度成為指定之處理溫度。利用氣體供給管 3 2 3將惰性氣體供給到反應室2 0 1内,以惰性氣體預先充 填到反應室2 0 1内。在使爐口擋門21 8開放後,利用升降 手段使加料有晶圓2 0 0之晶舟2 1 7進行上升(晶舟上升), 將晶圓2 0 0裝入到反應室2 0 1内(晶圓裝載),用來將反應 室2 0 1之内部溫度維持在指定之處理溫度。利用低速排氣 管2 6 5,在反應室2 0 1内進行低速排氣後,利用第1排氣 管2 6 1將反應室2 0 1内排氣成為指定之真空狀態,然後利 用旋轉手段2 1 1使旋轉軸2 1 2,晶舟2 1 7和被裝填在晶舟 2 1 7上之晶圓2 0 0進行旋轉。 在使晶圓旋轉之狀態,從氣體供給管 2 3 2導入反應氣 體。被導入之反應氣體在反應室201内上升,對多片之晶 圓2 0 0均等的導入,經由第1排氣管2 61被排氣,藉以進 行指定時間之減壓C V D處理。 當完成減壓C V D處理時,轉移到下一個晶圓2 0 0之減壓 CVD處理,以惰性氣體替換反應室2 0 1内之氣體,和使壓 力成為常壓,然後,利用升降手段使晶舟2 1 7進行下降(晶 舟下降),從反應室2 0 1中抽出晶舟2 1 7和處理過之晶圓 2 0 0 (晶圓卸載)。使晶舟 2 1 7不能從爐中抽出之爐口擋門 21 8進行閉合,用來阻塞反應室2 0 1之爐口開口部。從反 應室2 0 1抽出之晶舟2 1 7上之處理過之晶圓2 0 0,被冷卻 (cooling)成為可搬運之溫度後,被圖中未顯示之搬運裝置 回收(晶圓排料)。將未處理之晶圓 2 0 0裝填在空的晶舟 312XP/發明說明書(補件)/94-03/93135613 23 1313890 2 1 7 (晶圓加料),再度的以與上述同樣之方式,使 2 1 8開放,使晶舟2 1 7上升和裝入到反應室2 0 1户 升,晶舟裝載),施加減壓CVD處理。 但是,在上述之減壓CVD處理步驟之晶圓裝載 載時,會產生上述之現象。亦即,在反應室開放 室内之溫度發生下降,和在晶圓裝載時,當將室 2 0 0和晶舟2 1 7插入到爐内時,在反應管壁面等 度下降。因此,附著在反應管壁面等之沉積膜, 反應管等之熱膨脹率之不同,被應力剝離,成為 行飛散。飛散之粒子附著在晶圓 2 0 0。另外,即 卸載時,亦與晶圓裝載時同樣的,由於爐體之溫 以應力將膜剝離,產生粒子,附著在晶圓2 0 0。 因此,在第1實施形態,在晶圓裝載和晶圓卸 著防止飛散之粒子附著在晶圓 2 0 0,所以使大流 氣體例如N2氣體,從氣體導入側朝向排氣側,流 在晶圓裝載時,從氣體供給管2 3 2 (氣體導入/ 將被M F C 2 3 1控制之太量之N 2氣體,導入到内部反 内。被導入之Ν2氣體在内部反應管204内進行上 在上方形成於内部反應管204和外部反應管205 狀空間 21 0,朝向從主排氣配管 1 Α分支之副 4 A ( H F V管2 6 6 )排出。在卸載時亦同樣地,將大: 體導入到内部反應管 2 0 4内,然後被排出向副 4Α。利用此種方式,在爐内形成從反應氣體之導 排氣側之大流量之氣流,該氣流和在晶圓裝載時 312XP/發明說明書(補件)/94-03/93135613 爐口擋門 3 (晶舟上 和晶圓卸 時,反應 溫之晶圓 會發生溫 會由於與 粒子的進 使在晶圓 度變化, 載時,為 量之惰性 ,到爐内。 f 240) > .應管2 0 4 升,通過 之間之筒 排氣配管 量之N 2氣 排氣配管 入側朝向 及晶圓卸 24 1313890 載時在爐内產生之粒子,一起被排出到爐外。 在此種情況,H F V管2 6 6之排氣流量,最好大於對基板 之減壓 C V D 處理時之主排氣管 2 6 3 之排氣流量,和從 H F V 2 6 6之排氣不是真空排氣,最好是在大於真空狀態之爐 内壓力(亦即對基板之減壓C V D處理時之壓力)之壓力下進 行排氣,較好為 4 0 0 P a以上,更好為大氣壓排氣(1 0 1, 3 2 5 P a )。亦即,當在利用渦輪分子泵2 4 6 A等之真空泵2 4 6 之減壓下進行排氣時,N2分子稀疏地存在於氣體流中,因 為 N 2分子之平均自由行程變大,所以即使例如 N 2氣體之 流動變快,要使粒子成為分子流,有效地排出會有困難。 利用熱進行布朗運動之粒子不衝撞N 2分子,進行重力落下 之機率很高。針對此點,在大氣壓排氣時,氣體流速例如 慢至 1 0 c m /分之程度,N 2分子緻密地存在於氣體流中,因 為容易與粒子衝撞,所以粒子之排出變為容易。如同將N2 氣體之風從導入側朝向排氣側吹到爐内,粒子與該風一起 被吹飛到爐外。 依照此種方式,在大於基板處理時之壓力之壓力下進行 排氣,可以將粒子有效的排出到爐外,利用此種方式可以 抑制由於累積膜厚之增加使粒子增加,在晶圓裝載時和晶 圓卸載時,可以大幅的減少粒子對晶圓表面之附著。另外, 使爐口部開口成為開放,在使大氣流入到爐内之晶圓裝 載,晶圓卸載時,因為導入N2氣體之惰性氣體,用來在爐 内產生惰性氣體之流動,所以可以使爐内成為惰性氣體環 境,可以防止晶圓2 0 0表面之氧化。 25 312XP/發明說明書(補件)/94-03/9313 5 613 1313890 圖 2是說明圖,用來說明在對晶圓進行 S i N成膜之情 況,當晶圓裝載,晶圓卸載時,於利用H F V管進行排氣之 情況(有HFV),和當晶圓裝載/晶圓卸載時,未利用HFV管 進行排氣之情況(無 H F V ),其粒子個數之變化方式。粒子 之計數數目,在未利用H F V管進行排氣之情況為超過3 5 0 個/晶圓,在利用HFV管2 6 6進行排氣之情況,激減至接近 1 0個。依照此種方式,要排出在爐内由於膜破裂而發生之 粒子時,可以利用H F V管,以4 0 0 P a以上之壓力進行排氣, 例如進行大氣排氣非常有效。 另外,利用該圖2所示之H F V管進行排氣之情況和未進 行之情況之比較實驗之成膜條件(有H F V管,無共用)和晶 圓裝載/晶圓卸載時之惰性氣體導入/排氣條件(只有 H F V 管)如下所述。 (1 )成膜條件(有HFV管,無共用) 膜種 S i Ν 反應氣體 SiLCh 30~1 OOsccra 反應氣體 NH3 300~900sccm
爐内溫度(成膜溫度) 7 5 0 °C 爐内壓力 10~100Pa (2 )晶圓裝載/晶圓卸載時之惰性氣體導入·排氣條件(只有 HFV 管) N2氣體導入量 20~ 2 0 0 L/min N2氣體排氣流量 與導入量大致相同 排氣壓力 40mmH2〇以上400Pa以上) 26 312XP/發明說明書(補件)/94-03/93135613 1313890 成為計數對象之粒子直徑 φ 0 . 1 3 // m以上 依照上述方式之第1實施形態時,在排氣側追加第2排 氣管 262,因為粒子在大於基板處理時之壓力之真空壓力 之壓力下被排出,所以可以充分的抑制粒子附著在晶圓 上。同時,在晶圓裝載時或/和晶圓卸載時,可以使爐内成 為N2氣體银境’所以可以有效的防止晶圓表面之氧化。因 此,在先前技術之縱型CVD裝置,成膜S i N膜時會成為問 題之粒子之增加或晶圓表面之氧化,可以有效的抑制。 在上述之第 1實施形態中,在爐内流動之惰性氣體(N 2 氣體)之流量越多越好,但是從經驗值看,較好是反應氣體 之流量之2 0倍以上,更好為1 0 0倍以上。另外,在爐内流 動之惰性氣體之流量,為著抵抗粒子之重力,順利地被運 出到反應室 2 0 1外,所以較好為 2 0 L / m i η 以上,更好為 100L/min以上。這時之排氣壓力如上述之方式,可以成為 40mmH2〇以上(400Pa以上),例如成為大氣壓。另.外,在爐 内流動之惰性氣體之流量,由於要將粒子順利的運出反應 室外,和不會過度奪走被加熱之反應室内之熱之理由,所 以較好為20〜200L/min,更好為100〜200L/min。另外,在 爐内流動之惰性氣體之流量較好為1 0 0〜1 5 0 L / m i η。當在爐 内流動之惰性氣體之流量之上限為1 5 0 L / m i η時,可以抑制 設備費成為高價格,另外亦可以抑制由於常溫之惰性氣體 之導入使反應室内溫度成為過低。在該等之情況,使反應 室2 0 1之容積成為例如3 0 L〜1 2 0 L。 另外,所使用之惰性氣體,在成膜之膜種為 S i Ν,粒子 27 3 ] 2XP/發明說明書(補件)/94-03/93135613 1313890 為例如0 . 1 // m ~ 0 · 5 // m之程度之情況時,最好是使用最普 通常用之N2,但是在成膜之膜種不同等,粒'子之大小為0.5 // m以上之程度之情況時,最好使用分子比N 2大之H e氣 體或Ar氣體等之其他之氣體。 另外,第2排氣管2 6 2之排氣流量亦可以大於從氣體導 入管2 4 0被導入之惰性氣體之流量。依照此種方式,因為 當第2排氣管2 6 2之排氣流量大於惰性氣體之流量時,大 氣被吸入到反應室2 0 1内,所以會有晶圓2 0 0被氧化之問 題。因此,在晶圓被氧化為不好之情況,可以使第2排氣 管2 6 2之排氣流量,成為與惰性氣體之流量大致同等之排 氣流量,或比惰性氣體之流量稍小之排氣流量。但是在晶 圓2 0 0被氧化亦可以之情況,第2排氣管2 6 2之排氣流量 最好大於惰性氣體之流量。因為可以使粒子和大氣一起被 有效的排出到反應室 201 外。最好之排氣量範圍為 20L/min~200L/min ° 另外,如圖6所示,第2排氣管2 6 2最好與以大氣壓排 氣之建築物附帶設備之排氣設備2 7 0相通。一般在半導體 製造工廠,在建築物附帶設備設有大排氣流量之排氣設備 270。另外,在半導體製造工廠並排設置多台之處理爐。因 此,該多台之處理爐之全部之第2排氣管2 6 2,連接到排 氣設備2 7 0。第2排氣管2 6 2因為可以使用被組入在建築 物附帶設備之大排氣流量之排氣設備,所以當與第1排氣 管2 6 1比較時,可以更確實而且容易的進行大排氣流量之 排氣。另外,在與建築物附帶設備2 7 0相通之排氣管2 6 2, 28 312XP/發明說明書(補件)/94-03/93135613 1313890 要確保特定之排氣流量時,亦可以依照需要插入吹風機 2 6 9 ° 另外,在上述之實施形態中,形成反應室開放時只限於 晶圓裝載時和晶圓卸載時,從氣體導入管2 4 0側朝向排氣 管2 6 0、2 6 2側,使N 2氣體大量的流入到爐内。亦即,在 反應室開放時之全部期間,繼續從氣體導入管2 4 0將惰性 氣體導入到反應室2 0 1内,利用第2排氣管2 6 2進行排氣, 當與此種情況比較時,上述之實施形態可以使第2排氣管 2 6 2之排熱之期間更進一步的縮短,所以可以保護構成排 氣管之0環等之構件。另外,N2氣體之消耗量可以減少。 但是,假如沒有此種限制時,亦可以在反應室開放時之全 部期間流動。 另外,在上述之第1實施形態中,所使用之處理爐具有 晶舟2 1 7,在反應室2 0 1内以水平姿勢將晶圓2 0 0裝填成 為多段,可以使用晶圓裝載/晶圓卸載時之利用H F V管之排 氣。亦即,利用排氣流量比第1排氣管2 61大之第2排氣 管2 6 2,進行反應室2 0 1内之排氣,所以在收容將晶圓2 0 0 裝填成多段之晶舟217之大容積之反應室,因為可以有效 地排出粒子,所以不論晶舟21 7為多少段,均可以減少粒 子對基板之附著。但是,本發明並不只限於此種方式,亦 可以使用在單片式之基板處理裝置之處理爐,在反應室内 以1片或2 ~ 3片之程度保持晶圓。在此種情況,反應室之 容積不會如其程度的變大。從收容1片之反應室到收容多 片之基板之反應室之容積範圍為如上述方式之30L-120L。 29 312ΧΡ/發明說明書(補件)/94-03/93135613 1313890 另外,在實施形態中,所適用之處理爐由二重反應管構 成,在反應室 2 0 1内具有外部反應管 2 0 5和内部反應管 2 0 4。在由二重反應管構成之處理爐之情況,當從内部反應 管2 0 4之下部供給惰性氣體時,惰性氣體在内部反應管2 0 4 内進行上升,通過形成在外部反應管2 0 5和内部反應管2 0 4 之間之筒狀空間2 1 0被排氣。因此,要將在内部反應管2 0 4 内產生之粒子排出到爐外時,需要使粒子朝向重力之相反 方向上升,超越内部反應管 2 0 4,所以粒子不易排出。但 是依照本發明之實施形態時,因為利用大流量之惰性氣體 進行大氣Μ沖洗,可搬運異物之分子,原子之數目變多, 搬運異物之能量變大,所以即使在難以排出粒子之二重管 構造之處理爐之情況,亦可以很容易排出粒子。 另外,對於利用HFV管排氣時之惰性氣體之供給,在上 述之實施形態中,所說明之方法是在晶舟裝載/晶舟卸載 時,利用氣體導入管2 4 0將Ν 2供給到反應室2 0 1内,和利 用H F V管2 6 6進行反應室2 0 1内之排氣,但是亦可以構建 成在晶舟裝載/晶舟卸載時,假如使Ν 2或清潔之空氣等之 氣體流到移載區域(晶舟抽出位置),則即使不利用氣體導 入管2 4 0將Ν 2供給到反應室2 0 1内,只要利用H F V管2 6 6 進行反應室2 0 1内之排氣亦可以獲得同樣之效果。 例如,如圖3所示,使加載互鎖真空室或Ν 2沖洗單元等 之預備室2 7 1連接到反應室2 0 1。預備室2 7 1具備有Ν 2供 給管272,在Ν2供給管272,經由MFC274和分隔閥273, 連接有L氣體供給源2 7 5,被MFC2 74控制流量之Ν2氣體, 30 312ΧΡ/發明說明書(補件)/94-03/93135613 1313890 從N 2供給管2 7 2被導入到預備室2 7 1内,從排氣管 排氣。 因此’在晶舟裝載/晶舟卸載時’假如使N 2流到 2 7 1,利用H F V管2 6 6進行反應室2 0 1内之排氣時, 利用氣體導入管2 4 0將Ν 2供給到反應室2 0 1内,因 室2 7 1内之Ν 2流入到反應室2 0 1内,所以可以獲得 效果。在此種情況,亦可以並行的進行來自氣體導入 和預備室2 71之惰性氣體之導入。在此種情況,惰 之流量之總計大致為2 0 L / m i η ~ 2 0 0 L / m i η。 另外,假如在移載區域(晶舟抽出位置)設置清潔 位等,在晶舟裝載/卸載時使清潔空氣流到移載區域 HF V管2 6 6進行反應室2 0 1内之排氣時,即使不利 導入管2 4 0將Ν 2供給到反應室2 0 1内,因為供給到 域(晶舟抽出位置)之清潔空氣流到反應室2 0 1内, 以獲得同樣之效果。 另外,當使預備室2 7 1連接到反應室2 0 1時,可 的防止S i晶圓之氧化。亦即,在實施形態中,在晶 步驟或/和晶圓卸載步驟,因為使大流量之 N 2氣體 爐内,所以從爐口部開口將大氣捲入,會有晶圓表 化之問題。例如,在前步驟當在S i晶圓成膜作為底 W S i膜之情況,S i晶圓容易被氧化。在此種情況, 室2 0 1連接作為預備室2 7 1之加載互鎖真空室或N2 元室,在N 2氣體之環境進行晶圓裝載,可以防止在 開口之大氣捲入,可以有效的防止晶圓表面之氧化 312XP/發明說明書(補件)/94-03/93135613 2 7 6被 預備室 即使不 為預備 同樣之 管240 性氣體 空氣單 ,利用 用氣體 移載區 所以可 以有效 圓裝載 流入到 面被氧 層膜之 在處理 沖洗單 爐口部 ,例如 31 1313890 防止在前步驟被處理過之W S i膜(鎢矽化物膜)之自然氧化 之增加。 另外,在實施形態中,所說明之情況是氣體導入管2 4 0 由被反應氣體用之管和惰性氣體用之管共用之一個之氣體 供給管構成。亦即,如圖4所示,使氣體導入管240在上 游側分支成為設有專用之SiH2Cl2管241a、N2管242a,管243a, 在下游側成為1根之共用之管。另外,在S i Η 2 C12管2 41 a,經由 MFC241C,分隔閥241b連接有SiH2Cl2氣體供給源241d。在NHs 管243a,經由MFC243c,分隔閥243b連接有NH3氣體供給源243d。 另外,如圖5所示,亦可以使反應氣體用之管和惰性氣 體用之管分離,以2個以上之氣體供給管構成,從惰性氣 體用之管導入惰性氣體。在此種情況,如圖5所示,亦可 以個別獨立的設置SiH2Cl2管241,N2管242,NH3管243。 依照此種方式,當從惰性氣體用之專用管導入惰性氣體N2 時,在被吸附於反應氣體用之管之配管内壁之NIUC1膜, 不會發生破裂,不會有粒子飛散。 如以上所說明之方式,依照第1實施形態時,在對反應 室進行晶圓裝載時或/和晶圓卸載時,因為使用H F V管在爐 内形成大流量之Ν2氣體之流動,用來進行爐内沖洗,藉以 排出在爐内產生之粒子,所以可以減少粒子之附著在晶 圓。另外,將惰性氣體之導入流量和排氣流量設定成大致 相等,可以有效的防止對反應室之晶圓裝載時或/和晶圓卸 載時之晶圓表面之氧化。 本實施形態之特別有效之步驟是晶舟裝載步驟優於晶 32 312ΧΡ/發明說明書(補件)/94-03/93135613 1313890 舟卸載步驟。反應爐内之溫度變動在晶舟裝載時大於在晶 舟卸載時(參照圖1 0)。例如以圖1 〇之c L區來看時,晶舟 卸載時之爐内溫度變動幅度為1 0 °c程度,與此相對的,晶 舟裝載時之爐内溫度變動幅度為8 0 °C程度,成為晶舟卸載 時之8倍程度。因此,當與晶舟卸載時比較,晶舟裝載時 之附著在壁面之膜被施加之熱應力較大,容易發生膜破 裂。亦即,在晶舟裝載時較容易發生膜之剝離,容易發生 粒子。實施形態對於此種爐内溫度之大變化,容易發生粒 子之晶舟裝載步驟特別有效。 另外,本實施形態成為特別有效之反應管和晶舟之材質 如下所述。因為S i C和S i N之熱膨脹率接近,所以即使爐 内溫度進行變動,在S i C和S i N之間亦不會產生很大之應 力差。因此,在使反應管或晶舟成為S i C製之情況時,即 使爐内溫度進行變動,亦不容易發生粒子。與此相對的, 因為S i 0 2 (石英)和S i N之熱膨脹率之差很大,所以當爐内 溫度進行變動時,S i 0 2和S i N之間之應力差變大。因此, 在使反應管或晶舟成為S i 0 2製之情況,當爐内溫度進行變 動時,容易產生粒子。依照此種方式,與容易因為溫度變 動而發生粒子之構件進行成膜之組合,亦即使用石英製之 反應管或晶舟進行S i N膜之成膜之情況,本發明特別有效。 圖7是第2實施形態之減壓CVD處理爐之剖面圖。其與 第1實施形態之不同部份是在氣體導入管2 4 0設有加熱機 構2 3 3。另外,在與使用圖1所說明之部份相同之部份附 加相同之符號,而其說明則加以省略。 33 312XP/發明說明書(補件)/94-03/93135613 1313890 加熱機構2 3 3可以由加熱器或熱交換器等構成,例如用 來對氣體供給管2 3 2進行加熱,藉以對在内部流動之氣體 加熱。控制器1 2 0除了第1實施形態之(1 )〜(3 )之控制功能 外,更追加有(4 )對N 2氣體進行加熱控制之功能。另外, (4 )之功能亦可以經由設置與控制器 1 2 0不同之其他之控 制器用來進行控制。 如圖7所示,在晶舟上升,進行晶圓裝載時,使爐口擔 門2 1 8開放,將被預備加熱到爐内溫度或其溫度左右之N 2 氣體,如黑色箭頭所示地以大流量導入到爐内,使從内部 反應管2 0 4之上部開口上升之N 2氣體,通過筒狀空間2 1 0 被H F V管2 6 6抽出,用來對爐内進行大氣壓沖洗。另外, 在晶舟下降,進行晶圓卸載時亦同樣的,將被預備加熱到 爐内溫度或其溫度左右之Ν2氣體,如黑色箭頭所示地以大 流量導入到爐内,利用H F V管2 6 6進行排氣,用來對爐内 進行大氣壓沖洗。 依照此種方式,當以Ν 2氣體在爐内進行沖洗時,即使將 Ν2氣體預備加熱到爐内溫度或其溫度左右,在將惰性氣體 導入到爐内時,亦可以減小爐内之溫度下降。因此,可以 將爐内保持在閒置時之反應室温度,在晶圓裝載時即使使 爐口擋門2 1 8開放,或在晶圓卸載時密封蓋2 1 9使爐口部 開口開放,亦可以防止反應管壁面等之溫度下降。 另外,第 2實施形態之晶圓裝載/晶圓卸載時之沖洗條 件之實例如下所示。另外,成膜條件與第1實施形態相同。 Νζ氣體導入量 20L/min〜150L/min 34 312XP/發明說明書(補件)/94-03/93135613 1313890 n2氣體排氣流量 與導入量相同 排氣壓力 4 0 0 P a以上 N 2氣體預備加熱溫度 6 0 0 °C以下 依照上述方式之本發明之第1、第2實施形 圓裝載或/和晶圓卸載時,亦即只有在反應爐開放 H F V管2 6 6形成大流量之N 2氣體流動,用來使爐 壓狀態進行沖洗,可以將在爐内產生之粒子 92 出。另外,在成膜後將晶舟2 1 7卸載,使爐口擋 合,對被保持在從反應爐抽出之晶舟2 1 7之晶圓 冷卻(c ο ο 1 i n g )處理。例如,在氮化膜其成膜溫度 程度,但是在清潔處理中,爐内溫度保持在晶舟 溫度(待用溫度)6 0 0 °C ~ 7 8 0 °C 。 但是,在下面說明之第3實施形態,在爐内對 進行成膜處理之後,將晶舟2 1 7卸載,使爐口擋 合,然後,在晶圓 2 0 0之冷卻(c ο ο 1 i n g )中,使 N 2在爐内流動,可以用來更有效的排出粒子9 2。 在晶圓2 0 0之冷卻中,使爐之溫度,例如以2 0 °C / 之降溫率,急激下降到 4 0 0 °C程度(強制冷卻), 加大溫度下降,和使大流量之 N 2在爐内流動(以 強制冷卻沖洗),亦即在晶圓2 0 0之冷卻中,使未 圓2 0 0之狀態之爐内溫度急激下降,因此對沉積 壁面之S i N膜9 0施加熱應力,發生強制龜裂之膜 然後使大流量N 2在爐内流動,使用H F V管2 6 6進 依照此種方式使爐内以大氣狀態進行沖洗,可以 312ΧΡ/發明說明書(補件)/94-03/93135613 態’在晶 時,使用 内以大氣 充分的排 門218閉 2 0 0進行 為 7 8 0 °C 裝載時之 晶圓200 門218閉 大流量之 實質上, < ra i η以上 對爐内施 下亦稱為 收容有晶 在反應管 之剝離。 行排氣。 有效的排 35 1313890 出粒子9 2。另外,經由控制加熱手段2 0 2之溫度,強制沉 積在反應管壁面之S i Ν膜剝離,利用大流量Ν 2之流動用來 進行排氣,但是因為這時之爐口擋門2 1 8保持閉合,所以 粒子9 2進行飛散,亦不會有附著在被晶舟2 1 7保持之晶圓 2 0 0上之問題。 因此,在第1、第2實施形態,經由更附加第3實施形 態,可以有效的進行粒子9 2之排出。 將惰性氣體導入到爐内,對於利用H F V管進行排氣之期 間1在基板處理則隶好是在晶舟裝載時。另外^在基板處 理後最好是在第1、第2實施形態所說明之晶舟卸載時。 另外,從該晶舟卸載時起,到第3實施形態所說明之強制 冷卻沖洗步驟結束為止之期間,最好是連續的流動。 另外,對於排氣流量,晶舟裝載/晶舟卸載時,或強制 冷卻沖洗時之利用H F V管之排氣流量,大於晶圓處理時之 利用真空排氣管之排氣流量。另外,晶舟裝載/晶舟卸載時 或強制冷卻沖洗時之利用H F V管之排氣流量是從晶舟裝載 至晶舟卸載為止之全部處理步驟中最大者。 【圖式簡單說明】 圖1是構成第1實施形態之晶圓處理時之基板處理裝置 之處理爐之說明圖。 圖2是第1實施形態之利用H F V管進行排氣之情況時(有 H F V ),和不利用H F V管進行排氣之情況時(無H F V ),粒子 個數之變化之比較。 圖3表示在第1實施形態之處理爐連接有預備室之情況 36 312ΧΡ/發明說明書(補件)/94-03/93135613 1313890 時 之 晶 圓 裝 載 時 之 變 化 例 0 圖 4 是 第 1 實 施 形 態 之 供 給 系 之 詳 細 說 明 圖 0 圖 5 表 示 第 1 實 施 形 態 之 惰 性 氣 體 導 入 管 和 反 應 氣 體 導 入 管 個 別 獨 立 地 設 置 之 情 況 時 之 變 化 例 0 圖 6 是 說 明 圖 1 用 來 表 示 第 1 實 施 形 態 之 第 2 排 氣 管 > 與 建 築 物 附 帶 -οι. 5又 備 之 排 氣 設 備 相 通 之 情 況 〇 圖 7 是 第 2 實 施 形 態 之 晶 圓 裝 載 時 之 構 成 基 板 處 理 裝 置 之 處 理 爐 之 說 明 圖 0 圖 8 是 先 前 技 術 例 之 縱 型 CVD 裝 置 之 粒 子 產 生 源 之 說 明 圖 0 圖 9 用 來 說 明 先 前 技 術 例 之 利 用 晶 舟 上 升 進 行 晶 圓 裝 載 時 5 用 來 產 生 粒 子 之 機 構 〇 圖 1 1 3是表示先前技術例之晶舟之上升/ 下 降 之 爐 溫 度 之 推 移 之 說 明 圖 〇 [ 主 要 元 件 符 號 說 明 ] 1 A 主 排 氣 配 管 1B 主 排 氣 閥 2A 壓 力 調 整 配 管 2B 、 3B 4B 分 隔 閥 2C 壓 電 閥 3A 低 速 排 氣 配 管 4A 副 排 氣 配 管 5A 過 加 壓 防 止 配 管 - 90 Si N 膜 9 1 氯 化 敍 膜 92 粒 子 37 312XP/發明說明書(補件)/94-03/93135613 1313890 117、 21 7 晶 舟 120 控 制 器 200 晶 圓 20 1 反 應 室 (處理 爐) 202 加 熱 裝 置 204 内 部 反 應 管 205 外 部 反 應 管 209 歧 管 2 10 筒 狀 空 間 2 11 旋 轉 手 段 2 12 旋 轉 幸由 2 13 升 降 手 段 2 18 爐 口 擋 門 2 19 密 封 蓋 2 2 0 ' 2 2 0 a 0 環 23 1 質 量 流 控 制 器(MFC) 232 氣 體 供 給 管 233 加 敎 4 «»' 機 構 240 氣 體 導 入 管 241、 241a Si Ha C 1 2管 24 1b ' 252 分 隔 閥 24 1c MFC 24 1 d Si H2c 1 2 氣 體. 供給源 2 4 2 ' 2 4 2a n2 管 2 4 3、 24 3 a NH 3管 2 4 3 b 分 隔 閥 2 4 3 c MFC 2 4 3 d NH 3氣體供 .給 源 246 真 空 泵 38 312XP/發明說明書(補件)/94-03/93】35613 1313890 2 4 6 A 渦 輪 分 子 泵 2 4 6 B 驅 動 泵 250 壓 力 檢 測 器 25 1 過 加 壓 防 止 管 253 止 回 閥 260 排 氣 管 26 1 第 1 排 氣 管 262 第 2 排 氣 管 263 主 排 氣 管 264 壓 力 調 整 管 265 低 速 排 氣 管 266 HFV 管 269 吹 風 機 270 排 氣 設 備 2 7 1 預 備 室 272 n2 供 給 管 2 73 分 隔 閥 2 74 MFC 27 5 n2 氣 體 供 給 源 276 排 氣 管 39 312XP/發明說明書(補件)/94-03/93〗35613

Claims (1)

1313890 JUL 0 4 2001 替换本 十、申請專利範圍: 1. 一種半導體裝置之製造方法,其特徵在於包含如下步 驟: 將至少1片之基板裝入到反應室内; 將反應氣體導入到上述反應室内,進行上述反應室内之 排氣,藉以處理上述基板;及 將處理後之基板從上述反應室抽出; 在裝入上述基板之步驟或/和抽出基板之步驟,利用排 氣流量大於處理上述基板之步驟之排氣流量,進行上述反 應室内之排氣。 2. 如申請專利範圍第1項之半導體裝置之製造方法,其 中在裝入上述基板之步驟或/和抽出基板之步驟,一面將惰 性氣體導入到上述反應室内,而一面利用排氣流量大於處 理上述基板之步驟之排氣流量,進行上述反應室内之排氣。 3. —種半導體裝置之製造方法,其特徵在於包含如下步 驟: 將至少1片之基板裝入到反應室内; 將反應氣體導入到上述反應室内,從與真空泵相通之第 1排氣管進行上述反應室内之排氣,藉以處理上述基板; 及 將處理後之基板從上述反應室抽出; 在裝入上述基板之步驟或/和抽出基板之步驟,利用排 氣流量被設定成為大於上述第1排氣管之第2排氣管,以 排氣流量大於處理上述基板之步驟中之排氣流量進行上述 40 326\||檔\93\93135613\93135613(替換)-1 1313890 反應室内之排氣。 4. 如申請專利範圍第3項之半導體裝置之製造方法,其 中在裝入上述基板之步驟或/和抽出基板之步驟,一面惰性 氣體導入到上述反應室内,而一面利用第2排氣管,以排 氣流量大於處理上述基板之步驟中之排氣流量進行上述反 應室内之排氣。 5. 如申請專利範圍第3項之半導體裝置之製造方法,其 更具有以惰性氣體對基板被抽出後之反應室内進行沖洗的 步驟,在從抽出上述基板之步驟,到對上述反應室内進行 沖洗之步驟結束為止之期間,一面將惰性氣體連續地導入 到上述反應室内,而一面從上述第2排氣管,進行上述反 應室内之排氣。 6. 如申請專利範圍第3項之半導體裝置之製造方法,其 中上述第 2排氣管形成與建築物附帶設備之排氣設備相 通。 7. 如申請專利範圍第3項之半導體裝置之製造方法,其 中構成上述反應室之反應管為石英製,在處理上述基板之 步驟,於基板上沉積氮化矽膜。 8. 如申請專利範圍第3項之半導體裝置之製造方法,其 中在裝入上述基板之步驟或/和抽出基板之步驟,於大氣壓 下對上述反應室内進行排氣。 9. 如申請專利範圍第4項之半導體裝置之製造方法,其 中上述惰性氣體之流量為2 0 ~ 2 0 0 L / m i η。 1 0 ·如申請專利範圍第4項之半導體裝置之製造方法, 41 326\總檔\93\93135613\93135613(替換)-1 1313890 其中,利用上述第2排氣管所進行之排氣流量係大於上述 惰性氣體之供給流量。 11.如申請專利範圍第 4項之半導體裝置之製造方法, 其中上述惰性氣體被加熱,然後被導入到上述反應室内。 1 2 .如申請專利範圍第4項之半導體裝置之製造方法, 其中利用上述第2排氣管所進行之排氣流量略與上述惰性 氣體之供給流量同等。 13. —種基板處理裝置,其特徵為具有: 反應室,其進行至少1片基板之處理; 至少1個之氣體供給管,其將氣體導入到上述反應室内; 第1排氣管,其利用真空泵進行上述反應室内之排氣; 第2排氣管,其排氣流量被設定成為大於進行上述反應 室内之排氣的上述第1排氣管;及 控制器,其在將基板裝入到上述反應室内時或/和將基 板從上述反應室抽出時,控制成從上述第2排氣管以排氣 流量大於處理基板時之排氣流量進行上述反應室内之排 氣。 14. 如上述申請專利範圍第13項之基板處理裝置,其中 上述控制器在將基板裝入到上述反應室内時或/和從上述 反應室抽出基板時,控制成一面從上述氣體供給管將惰性 氣體導入到上述反應室内,而一面利用上述第2排氣管以 排氣流量大於處理基板時之排氣流量進行上述反應室内之 排氣。 1 5.如申請專利範圍第1 3項之基板處理裝置,其中上述 42 326聰檔\93\93135613\93135613(替換)-1 1313890 控制器更在從抽出上述基板起,到抽出基板後進行之 室沖洗結束為止之期間,控制成一面連續從上述氣體 管將惰性氣體導入到上述反應室内,而一面從上述第 氣管進行上述反應室内之排氣。 1 6 .如申請專利範圍第1 3項之基板處理裝置,其中 第2排氣管形成與建築物附帶設備之排氣設備相通。 1 7.如申請專利範圍第1 3項之基板處理裝置,其更 在上述反應室内以水平姿勢支持基板成為多段的保持 1 8.如申請專利範圍第1 3項之基板處理裝置,其中 述反應室連接有使上述基板預先待機之預備室。 1 9.如申請專利範圍第1 3項之基板處理裝置,其中 控制器在將基板裝入到上述反應室内時或/和將基板 述反應室抽出時,控制成於大氣壓下對上述反應室内 排氣。 2 0.如申請專利範圍第1 4項之基板處理裝置,其中 氣體供給管具有反應氣體用之管和惰性氣體用之管, 惰性氣體從上述惰性氣體用之管被導入。 2 1 .如申請專利範圍第1 4項之基板處理裝置,其中 控制器控制成:在將基板裝入到上述反應室内時或/和 板從上述反應室抽出時之利用上述第2排氣管所進行 氣流量係大於上述惰性氣體之供給流量。 2 2.如申請專利範圍第1 4項之基板處理裝置,其中 控制器控制成:在將基板裝入到上述反應室内時或/和 板從上述反應室抽出時之利用上述第2排氣管所進行 326聰檔\93\93135613\93135613(替換)-1 反應 供給 2排 上述 具有 具。 在上 上述 從上 進行 上述 上述 上述 將基 之排 上述 將基 之排 43 1313890 氣流量係略同等於上述惰性氣體之供給流量。 23.如申請專利範圍第1項之半導體裝置之製造方法, 其中在裝入上述基板之步驟或/和抽出基板之步驟,於大氣 壓下對上述反應室内進行排氣。 44 326\總檔\93\93135613\93135613(替換)-1
TW093135613A 2003-11-20 2004-11-19 Method for manufacturing semiconductor device and substrate processing apparatus TW200527513A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2003391194 2003-11-20

Publications (2)

Publication Number Publication Date
TW200527513A TW200527513A (en) 2005-08-16
TWI313890B true TWI313890B (zh) 2009-08-21

Family

ID=34616368

Family Applications (1)

Application Number Title Priority Date Filing Date
TW093135613A TW200527513A (en) 2003-11-20 2004-11-19 Method for manufacturing semiconductor device and substrate processing apparatus

Country Status (6)

Country Link
US (1) US7494941B2 (zh)
JP (2) JP4320323B2 (zh)
KR (1) KR100802990B1 (zh)
CN (1) CN1868042A (zh)
TW (1) TW200527513A (zh)
WO (1) WO2005050725A1 (zh)

Families Citing this family (341)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101429649B (zh) * 2003-09-19 2012-06-13 株式会社日立国际电气 半导体装置的制造方法及衬底处理装置
JP5028957B2 (ja) * 2005-12-28 2012-09-19 東京エレクトロン株式会社 成膜方法及び成膜装置並びに記憶媒体
JP4797068B2 (ja) * 2006-08-04 2011-10-19 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
JP4838083B2 (ja) * 2006-09-20 2011-12-14 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
JP4464979B2 (ja) * 2007-03-05 2010-05-19 東京エレクトロン株式会社 処理システム、処理方法、及び、プログラム
JP2009138210A (ja) * 2007-12-04 2009-06-25 Sony Corp 成膜装置および成膜方法ならびに発光装置の製造方法
JP4531833B2 (ja) * 2007-12-05 2010-08-25 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びクリーニング方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US7985188B2 (en) 2009-05-13 2011-07-26 Cv Holdings Llc Vessel, coating, inspection and processing apparatus
PL2251453T3 (pl) 2009-05-13 2014-05-30 Sio2 Medical Products Inc Uchwyt na pojemnik
US9458536B2 (en) 2009-07-02 2016-10-04 Sio2 Medical Products, Inc. PECVD coating methods for capped syringes, cartridges and other articles
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2011066106A (ja) * 2009-09-16 2011-03-31 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US11624115B2 (en) 2010-05-12 2023-04-11 Sio2 Medical Products, Inc. Syringe with PECVD lubrication
US9878101B2 (en) 2010-11-12 2018-01-30 Sio2 Medical Products, Inc. Cyclic olefin polymer vessels and vessel coating methods
US9272095B2 (en) 2011-04-01 2016-03-01 Sio2 Medical Products, Inc. Vessels, contact surfaces, and coating and inspection apparatus and methods
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP2013074133A (ja) * 2011-09-28 2013-04-22 Hitachi Kokusai Electric Inc 設備管理システム
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US11116695B2 (en) 2011-11-11 2021-09-14 Sio2 Medical Products, Inc. Blood sample collection tube
AU2012318242A1 (en) 2011-11-11 2013-05-30 Sio2 Medical Products, Inc. Passivation, pH protective or lubricity coating for pharmaceutical package, coating process and apparatus
CN103137412B (zh) * 2011-11-30 2016-09-28 中国科学院微电子研究所 模块化离子注入机控制系统
CN103377902B (zh) * 2012-04-28 2016-05-04 无锡华润上华科技有限公司 热氧化晶圆生成氧化层的方法
EP2846755A1 (en) 2012-05-09 2015-03-18 SiO2 Medical Products, Inc. Saccharide protective coating for pharmaceutical package
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6509734B2 (ja) 2012-11-01 2019-05-08 エスアイオーツー・メディカル・プロダクツ・インコーポレイテッド 皮膜検査方法
US9903782B2 (en) 2012-11-16 2018-02-27 Sio2 Medical Products, Inc. Method and apparatus for detecting rapid barrier coating integrity characteristics
CN105705676B (zh) 2012-11-30 2018-09-07 Sio2医药产品公司 控制在医用注射器、药筒等上的pecvd沉积的均匀性
US9764093B2 (en) 2012-11-30 2017-09-19 Sio2 Medical Products, Inc. Controlling the uniformity of PECVD deposition
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
EP2961858B1 (en) 2013-03-01 2022-09-07 Si02 Medical Products, Inc. Coated syringe.
WO2014164928A1 (en) 2013-03-11 2014-10-09 Sio2 Medical Products, Inc. Coated packaging
US9937099B2 (en) 2013-03-11 2018-04-10 Sio2 Medical Products, Inc. Trilayer coated pharmaceutical packaging with low oxygen transmission rate
EP2971227B1 (en) 2013-03-15 2017-11-15 Si02 Medical Products, Inc. Coating method.
FI125222B (en) * 2013-03-22 2015-07-15 Beneq Oy Apparatus for processing two or more substrates in a batch process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6213487B2 (ja) 2014-03-24 2017-10-18 東京エレクトロン株式会社 縦型熱処理装置の運転方法、記憶媒体及び縦型熱処理装置
EP3693493A1 (en) 2014-03-28 2020-08-12 SiO2 Medical Products, Inc. Antistatic coatings for plastic vessels
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10375901B2 (en) 2014-12-09 2019-08-13 Mtd Products Inc Blower/vacuum
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
EP3337915B1 (en) 2015-08-18 2021-11-03 SiO2 Medical Products, Inc. Pharmaceutical and other packaging with low oxygen transmission rate
CN106467980B (zh) * 2015-08-21 2019-01-29 东莞市中镓半导体科技有限公司 一种大型垂直式hvpe反应室的装配辅助装置
JP6391171B2 (ja) * 2015-09-07 2018-09-19 東芝メモリ株式会社 半導体製造システムおよびその運転方法
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP6559618B2 (ja) * 2016-06-23 2019-08-14 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) * 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
JP6911705B2 (ja) * 2017-10-27 2021-07-28 東京エレクトロン株式会社 成膜装置及び成膜装置の運転方法
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
JP6485536B1 (ja) * 2017-12-28 2019-03-20 株式会社Sumco エピタキシャルウェーハの製造装置及び製造方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US20190330740A1 (en) * 2018-04-30 2019-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR102707956B1 (ko) 2018-09-11 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
KR20210010817A (ko) 2019-07-19 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TWI846953B (zh) 2019-10-08 2024-07-01 荷蘭商Asm Ip私人控股有限公司 基板處理裝置
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TW202147543A (zh) 2020-05-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 半導體處理系統
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202212620A (zh) 2020-06-02 2022-04-01 荷蘭商Asm Ip私人控股有限公司 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR102707957B1 (ko) 2020-07-08 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
KR20220045900A (ko) 2020-10-06 2022-04-13 에이에스엠 아이피 홀딩 비.브이. 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP2024041539A (ja) 2022-09-14 2024-03-27 株式会社Kokusai Electric 基板処理装置、クリーニング方法、半導体装置の製造方法及びプログラム

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0252424A (ja) 1988-08-17 1990-02-22 Mitsubishi Electric Corp 半導体製造装置
JP3856397B2 (ja) 1994-07-08 2006-12-13 株式会社日立国際電気 半導体製造装置のウェーハ処理方法及び半導体製造装置
EP0797241A3 (en) * 1996-03-08 2002-05-15 Kokusai Electric Co., Ltd. Substrate processing apparatus
JP3270730B2 (ja) 1997-03-21 2002-04-02 株式会社日立国際電気 基板処理装置及び基板処理方法
JPH11319545A (ja) * 1997-12-15 1999-11-24 Canon Inc プラズマ処理方法及び基体の処理方法
JP3037280B2 (ja) * 1998-09-24 2000-04-24 九州日本電気株式会社 半導体の製造方法及び製造装置
TW430866B (en) * 1998-11-26 2001-04-21 Tokyo Electron Ltd Thermal treatment apparatus
US6383300B1 (en) * 1998-11-27 2002-05-07 Tokyo Electron Ltd. Heat treatment apparatus and cleaning method of the same
JP2000269303A (ja) * 1999-03-18 2000-09-29 Kokusai Electric Co Ltd 半導体製造装置
JP3818480B2 (ja) * 1999-04-21 2006-09-06 株式会社日立国際電気 半導体素子の製造方法及びその装置
JP2001077099A (ja) 1999-09-01 2001-03-23 Hitachi Kokusai Electric Inc 基板処理方法
JP3676983B2 (ja) * 2000-03-29 2005-07-27 株式会社日立国際電気 半導体製造方法、基板処理方法、及び半導体製造装置
JP3619119B2 (ja) * 2000-05-15 2005-02-09 キヤノン株式会社 真空処理方法
JP4633269B2 (ja) 2001-01-15 2011-02-16 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
KR20020080954A (ko) * 2001-04-18 2002-10-26 주성엔지니어링(주) 냉벽 화학기상증착 방법 및 장치
JP2003100731A (ja) * 2001-09-27 2003-04-04 Hitachi Kokusai Electric Inc 半導体装置の製造方法

Also Published As

Publication number Publication date
JPWO2005050725A1 (ja) 2007-12-06
KR100802990B1 (ko) 2008-02-14
TW200527513A (en) 2005-08-16
US20070032045A1 (en) 2007-02-08
JP2009044191A (ja) 2009-02-26
CN1868042A (zh) 2006-11-22
WO2005050725A1 (ja) 2005-06-02
JP4832494B2 (ja) 2011-12-07
JP4320323B2 (ja) 2009-08-26
KR20060070578A (ko) 2006-06-23
US7494941B2 (en) 2009-02-24

Similar Documents

Publication Publication Date Title
TWI313890B (zh)
TWI360179B (en) Method for manufacturing a semiconductor device, a
JP6270575B2 (ja) 反応管、基板処理装置及び半導体装置の製造方法
JP4961381B2 (ja) 基板処理装置、基板処理方法及び半導体装置の製造方法
TWI415190B (zh) 半導體裝置之製造方法及基板處理裝置
JP4361932B2 (ja) 基板処理装置および半導体装置の製造方法
JP4797068B2 (ja) 基板処理装置及び半導体装置の製造方法
JP2004281853A (ja) 基板処理装置
TW201101393A (en) A method of manufacturing a semiconductor device and substrate processing apparatus
JP2011066106A (ja) 半導体装置の製造方法及び基板処理装置
JP5344663B2 (ja) 基板処理装置、半導体装置の製造方法および基板処理方法
US20030175426A1 (en) Heat treatment apparatus and method for processing substrates
JP2007027425A (ja) 基板処理装置
JP5848788B2 (ja) 基板処理装置、半導体製造方法、基板処理方法
JP4364670B2 (ja) Ald装置
JP2011222656A (ja) 基板処理装置
JP2003100731A (ja) 半導体装置の製造方法
JP2009200298A (ja) 基板処理装置
JP2007227804A (ja) 半導体装置の製造方法
JP2004241566A (ja) 基板処理装置
TWI276481B (en) Method of decreasing particle in a furnace and method of operating the furnace
WO2004057656A1 (ja) 基板処理装置および半導体装置の製造方法
WO2004073056A1 (ja) 基板処理装置のクリーニング方法及び基板処理装置
JP2005109002A (ja) 基板処理装置
JP2006041200A (ja) 成膜方法及び成膜装置