TW521304B - Method and apparatus for treating low k dielectric layers to reduce diffusion - Google Patents

Method and apparatus for treating low k dielectric layers to reduce diffusion Download PDF

Info

Publication number
TW521304B
TW521304B TW090117266A TW90117266A TW521304B TW 521304 B TW521304 B TW 521304B TW 090117266 A TW090117266 A TW 090117266A TW 90117266 A TW90117266 A TW 90117266A TW 521304 B TW521304 B TW 521304B
Authority
TW
Taiwan
Prior art keywords
layer
patent application
scope
item
plasma
Prior art date
Application number
TW090117266A
Other languages
English (en)
Inventor
Kegang Huang
Judy L Huang
Ping Xu
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of TW521304B publication Critical patent/TW521304B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/931Silicon carbide semiconductor

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Description

521304 A7 B7 經 濟 部 智 慧 財 產 局 員 工 消 費 合 作 社 印 製 五、發明說明( 發明領域: 本發明所揭露者係關於一種在底材上積體電路的製 程及降低氧氣擴散進入介電層之方法。 發明背景: 過去十年間’眾所皆知的是積體電路的設計與製造 獲得持續且得預測的進展。能夠成功地獲得改善的其中 一個關键便是積體電路元件中能提供傳導途徑的多層内 連線技術。導體或半導體底材的微小尺寸特性,如水平 線及垂直接觸孔、介電孔(vias)或内連線(interc〇nnects), 在大型積體電路及超大型積體電路技術中,已增加了改 善半導體元件電流密度的重要性。 為了再進一步改善積體電路中半導體元件的電流密 度,必須利用具低電阻率及低介電常數的材料(此處之定 義為在介電層中其介電常數約低於3 〇,在蝕刻中止層及 阻障層中約低於5.0)作為絕緣層來降低相鄰内連線^之 電容稱合。習知的介電材料在内連線間具相當的電容搞 合性,如此會導致串音(cross talk)現象且/或電阻_電容遲 延’亦即需要花費時間以消除降低半導體 積能量。 的系 然而’習知的低介電常數介電材料是能被 需要-阻障層來避免材料間之内層擴散至該低介 介電材料。習知阻障層材料的介電常數高於7兒吊數 如氮化矽。而當習知阻障層材料盥 ·以上, '、低介電常數材料相接 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐 ____________--------訂---------< (請先閱讀背面之注意事項再填寫本頁) 521304 A7 經濟部智慧財產局員工消費合作社印制衣 五、發明說明( 合時,該絕緣體堆疊會降低該低介兩〜 果,並通常會產生一介電常數無法、/ '數材料之改善效 在利用傳統的低介電常數介^低於,6』的堆疊。 另一個困難便是積體電路中鋼使用見枓當作絕緣層時, 阻率(1·7μΩ<ιη)及高傳導性使它2的增加。銅的低電 、 為内連線材料的選擇 冬一。不過,銅很容易地便會擴散 、 會改變鄰接層的電子元件特徵 十 且 ΑΑ你、# 例如形成一越過介電層 的傳導途徑,因而降低整個電路 一从^ ^ ^ 叩Τ信賴度並甚至造成 70件的故障°銅的這種㈣特性’特別是對於該新的且 低介電常數、易滲透及擴散的薄膜,增加了具抗擴散性 低介電常數阻障層的需要。 碳化碎層已被認為是作 > 彳#人 疋忭為低介電常數阻障材料的潛 在材料。尤其是如美國專利專利申請冑〇9/165,248,標 題為「作為阻障層及中止層之碳化石夕之況積」之申請案, 1 998年1〇 $ 1日中清,為本發明申請案之受讓人所擁 有並在此併入以兹參考,且本發明並無不一致之内容, 碳化石夕在該案中便被使用為一具低介電常數之阻障層。 然而,低介私$數之碳化矽層通常是可滲透且能讓 氧擴散入該介電層,特別是能擴散入謗介電層之表面。 氧能在該碳化矽介層中與矽材料反應形成氧化物,一般 相信該氧化物會增加導體材料(例如:鋼)擴散入碳化矽 的特性及降低該阻障層的整體效果。氧擴散入碳化矽層 的h況可發生於在沉積過程中碳化矽與氧的接觸或是當 該碳化矽層在處理室與核團工具(cluster t〇〇ls)的轉換之 第5頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 1 ^1 ϋ -ϋ ϋ Βϋ i_i 1— ·ϋ * ϋ ^1 ^1 ϋ I ^1 —.1 I —·1 ϋ· 1§ ϋ 11 ϋ ϋ I #▲ (請先閱讀背面之注意事項再填寫本頁) A7
521304 五、發明說明() 間接觸到氧化的環境。 此外,碳化矽層吸附氧之後將影響該碳化矽層與鄰 接材料之键結或附著性,特別是銅等傳導材料,炎jL會 ^成材料的層韌離。另外,在碳化矽層中所形成之氧化 物通常具有較相鄰的碳化矽材料為低的密度。形成於破 化矽層 < 表面及上層部分的低密度氧化物會導致該層變 (J如凸起或隆丘),在同形(conformally)填充高深寬 比物件時’特別不希望該變形行為發生,且這種形變會 在Ik後的材料沉積時形成空孔及其他沉積缺陷。 因此’便需要一能夠減少低介電常數介電層中氧擴 政之製%。在理想的情況中,該製程能夠減少阻障層中 氧的3里’例如在銅的金屬化製程中碳化矽層的使用。 發明概述: 本發明之各態樣係關於一種產生低介電常數介電層 (例如·蛟化矽)的方法,該介電層具有對氧的抗擴散性 及低氧含I,在銅的金屬化過程中可被利用作保護層及/ / 章層本發明其中之一怨樣為一種在處理室中處理 底材之方法,包括先於處理室中在一底材上沉積一低介 電常數介電層,輸入一處理氣體至該處理室中,產生該 處理氣體之電漿,並且將該低介電常數介電層曝露於該 處理氣體之中。 本發明之另一態樣為一種處理底材之方法,包括在 處理室中於該底材上沉積一碳化矽層,輸入一選自惰性 第6頁 本紙張反度適用中國國家標準(CNS)A4規格(210 x 297公釐) -----------^裝--------訂_| c請先閲讀背面之江意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 521304 經濟部智慧財產局員工消費合作社印製 氣體、氮化氣體及其 處理室中,並將碳化 良該碳化矽層之表面 該惰性氣體可能包含 氣體可能包含氨、氮 意組合物。 本發明之另一態 數阻障層之方法,包 層,並在該低介電常 護層由一包含矽與氮 化物。 A7 發明說明( 上述任意組合族群之處理氣體至該 矽層曝露於該處理氣體電槳中來改 以在該碳化梦層上形成—保護層。 氮、氣及其任意組合物,而該氮化 、氮與氫之混合物、氧化氮及其任 樣為—種在底材上形成一低介電常 括在甩材上沉積一低介電常數介電 數介電層上沉積一薄保護層。該保 的材料所構成,如氮化矽或矽氧氮 圖式簡單說明: 本發明之上述及其令3 、、 匕目的、特徵及優點可由下 細說明並配合圖示之說明 ^ J向更侍以彰顯,其中上述 述的本發明之特定描述可、 斤概 丁參考詳細說明中的特余眘 施例,這些特定實施例則以 貫 丨厂订 < 圖式配合說明。 不過在此當聲明的是, 订 < 圖不僅用以說明太筱 明之典型實施例,而並非用 本^ m ^ 艮疋本發明範圍,本菸明 之軌圍貫則包含其餘等效之實施例。 第1圖所示者為本發明所利 L 已商業使用的化學翕 相沉和(C V D )電漿處理室 、 主刹面圖; 第2圖所示者為一受惰性氣 * 私水處理的碳化矽層原子 /辰度尤X-ray光電子 ^ (X-ray Photoelectron 本紙張尺度_中關家標準(CNSM4規格
Hi n 1^1 ϋ fi · ϋ— K n ϋ .^1 ϋ i^i 一。, n I ϋ I ϋ n ϋ I 1— i (請先閱讀背面之注意事項再填寫本頁) 521304 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明()
Spectroscopy, XPS)圖; 第3圖所示者為一受氮化氣體電漿處理的碳化矽層原子 濃度之X-ray光電子光譜圖; 第4圖所示者為一受電漿處理的碳化矽底材之剖面圖; 第5圖所示者為一具保護層之碳化矽層原子濃度之x_ray 光電子光譜圖; 第6圖所示者為受碳化矽層覆蓋的底材之剖面圖。 圖號對照說明: I n i·— emmmmm ammmm ϋ 1 · ϋ ·ϋ ϋ tmt ϋ ϋ ϋ ϋ- 1 ·_1 ϋ ·ϋ I #! (請先閱讀背面之注意事項再填寫本頁) 1 0化學氣相沉積處理室 11氣體分佈板 12晶座 13支撐柱 1 4抬升馬達 15處理室 16底材 17絕緣環 1 9氣體混合系統 24 管道 2 5 RF電源供應器 32真空幫浦系統 3 4系統控制器 3 6控制線 3 8記憶體 100金屬化堆疊 1 3 0咬底材 1 3 2氧化物層 1 3 4第一低介電常數碳化 矽阻障層 1 3 6抗擴散表面 1 3 8 銅層 1 4 0第二低介電常數碳化 矽阻障層 200金屬化堆疊 230矽底材 232氧化物層 第8頁 本紙張尺度綱巾關家標準(CNS)A4規格 521304 A7 ---- B7 — _ 一 五、發明說明() 234 第一低介電常數碳化矽層 236氮化矽層 238銅層 240第二低介電常數碳化矽層 242氧化物層 發明詳細說明: 本發明係關於利用一製程設備’如位於加州聖塔克 拉拉的應用材料公司所生產的Centura®平台,來實行之 電漿處理及化學氣相沉積製程。該設備以包含一具有化 學氣相沉積處理室(CVD chamber)的整合平台為佳,如由 應用材料公司所生產的DxZTM化學氣相處理室或 ProduCerTM化學氣相處理室。以下對該處理室的描述僅 疋為了說明’而不應推斷或解釋為對本發明範圍之限制。 第1圖為可利用前述之製程產生並維持電漿的化學 氣相沉積處理室之剖面圖。處理室1 0包括一氣體分佈板 11,該氣體分佈板位於底材支撐板或晶座12之上並定義 了處理底材16的處理範圍。晶座12能間接受熱並位於 一支撐柱13上,如此晶座12便可以在一較低負荷或無 負荷的位置及一較高或接受處理的位置之間由抬升馬達 14來控制其移動。當該晶座12位於處理位置時,一絕 緣環1 7環繞該晶座i 2及其上之底材i 6的周圍。製種氣 體由氣體分佈板11上之入口輸入至該處理室1〇,並且 均勻地分佈於該處理區域及底材表面。該製程氣體利用 真空幫浦系統32由管道24排出。 第9頁 本紙張尺度適用中關家標準(CNS)A4規格(21G X 297公餐"^ ' -- (請先閱讀背面之注意事項再填寫本頁) 裝 訂· 經濟部智慧財產局員工消費合作社印製 521304 A7
五、發明說明() 經濟部智慧財產局員工消費合作社印製 一熱加強製程或電漿加強製程可完成於處理室丨〇, 孩電漿加強製程以用來作為本發明後沉積層處理為佳。 在電漿處理的過程中,可在鄰近底材處形成一受控制的 電漿藉由RF電源供應器25及接地的晶座12向該氣體分 佈板11供應RF能量。一 RF電源供應器25能提供該氣 體分佈板1 1單一或混合頻率的RF來增強任何輸入至處 理罜1 5的反應物種的分解。一混合頻率之rf功率供應 一般提供約13.56MHz的高RF頻率(RF1)及約35〇kHz的 低RF頻率(RF2)。 典型的處理室内部,包括氣體分佈板丨丨、晶座12 及各種其他的處理室裝置皆是由鋁或電鍍鋁所製成。一 化學氣相沉積處理室典型的例子如美國專利案第 5,000,113號,標題「一熱CVD/PECVD處理室及二氧化 矽的熱CVD之利用及其多階平坦化製程」,由王等人獲 传專利’並轉濃給應用材料公司,在此併入以兹參考, 本發明並無不一致之内容。 邊抬升馬達1 4將晶座1 2在加工位置及一較低的底 材負荷位置間升起及下降。一系統控制器34由控制線36 控制該馬達、該氣體混合系統1 9及該RF電源供應器25。 該處理室包含由系統控制器3 4所控制的類比式配件,如 質流控制器(MFCs)及標準或脈衝式rf產生器,而該系 統控制器所執行的系統控制軟體儲存在記憶體3 8中,其 貫施例一硬式磁碟儲存裝置為佳。馬達及光學感應器皆 利用來移動及決定該可移動機械組件的位置,如真空幫 第10頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ---------II^_W ^--------訂-— (請先閱讀背面之注意事項再填寫本頁) 五、發明說明() 浦32之節流閥與晶座12之定位馬達。 該系統控制器34控制該化學氣相沉積處理室之活動 並包括一硬式磁碟儲存裝置、一軟式磁碟儲存裝置及一 卡式架。該卡式架包含一單板計算機(single board eomputer,SBC)、類比式及數位式輸入/輸出板、介面板 及步進馬達控制器板。該系統控制器符合了定義電路板、 卡式房以及連接器尺寸及種類的Versa Modular Europeans(VME)標準。該標準亦規範了 16位元資料匯流 排與24位元定址匯流排之架構。儲存於該硬式磁碟儲存 裝置3 8中的電腦程式控制了系統控制器34之運轉。該 電腦程式係處理一特定製程之時點、氣體之混合、Rf功 率、晶座位置及其他參數。 該設備1 0之特定實施例在此描述本發明,但並不庶 推斷或解釋為對本發明範圍之限制。 典型製程 經濟部智慧財產局員工消費合作社印製 本發明之各態樣將在此描述以作為上述製程之較佳 參考。本發明各態樣與製造低介電常數介電層(例如· 0 化矽)之方法有關,該介電層能減少氧擴散及維持低氧本 量,在銅的金屬化過程當中並可被使用為保護層且/或阻 障層。該介電層可同步或不同步地與低氧含量形成,藉 著將該低介電常數介電層曝露於惰性氣體電装當中來辦 加該介電層之密度並形成一保護層表面,將低介電常數 介電層曝露於氮化電漿當中來形成一氮化物保護層表面 第11頁
經濟部智慧財產局員工消費合作社印製 於咸;丨電層上,或县获六士、 % ^ JS ΛΑ 一 θ邊低介電常數介電層上沉積一 薄保-層的方法來降低氧擴散入該層内。 本發明中一保護表面 ^ * 今、翁* 廣心地疋義為能減少水分擴 政、虱虱及其他有害狀況 ^ H 、例如·孩表面或該材料層曝露 於周k ^境或製程污染物 仅二祓@ 、 A T )义一材料或一材料層。該 保遠層那能減少導體金屬 I j Μ •銅)之擴散。在同一個 處理至中可同步完成二 ^ ^ ^ , Μ 4夕個製程或在同一製程系統 内不中斷真空狀態或不與 、狂T的5染物環境接觸。 、T施例巾/儿積一低介電常數介電層並利用本 土明I電漿製程於該低介電常數介電層上形成一保護表 面:,電漿製程能利用來處理一低介電常數介電層(例 士瓦化矽)以作為一低介電常數的保護層或阻障層。 由% t矽構成的低介電常數介電層係由供應一流 動率約介於ΐθ至1000標準立方公分(standard centimeters,seem)之含矽碳源材料至一電漿處理室沉積 形成忒έ矽之奴源材料由一或多個有機矽燒前驅物所 構成,例如三甲基矽烷、二甲基矽烷、甲基矽烷及其紐 合物。此外’包含分離矽及包含碳之製程氣體(例如:石夕 燒及甲燒)亦分別地輸入該處理室來幫助碳化矽的沉積。 一惰性氣體(例如:氦、氬及其組合物)亦以約 5 0 seen 至5 000sccm間之流率輸入至該處理室中。維持該處理室 壓力於約100 milliTorr至15 Torr間。在沉積過程中, 維持該底材表面之溫度於約1〇〇°c至450°c間。該氣體分 佈板11放置於距底材約200 mils至600 mils。 第12頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 521304 A7 --------B7 五、發明說明() 供應該處理室10 一單頻13·56ΜΗζ之RF電源以產 生一功率獪度約介於〇 3 watts/cm2及3.2 watt/cm2間或 於200 mm底材上功率介於1〇〇 waUs至i〇〇〇 waUs之電 漿。提供該處理室以產生電漿以約介於〇 9 waUs/cm2至 2.3 Watts/Cm2間之功率密度或200mm底材上約介於 300watts至700watts之功率為佳。 一沉積碳化矽材料之典型製程方法包括以約sccm 至500 seem之流率輸入一含三甲基矽烷之製程氣體至一 處理室,以約1〇〇 “⑽至2000 sccm之流率輸入一氬之 惰性氣體至孩處理室,維持該處理室之氣壓於約3 至10 Torr間,保持一底材表面溫度於約2〇〇它至4⑻。c 間,並提供孩處理室約300watts至7〇〇waUs來產生該製 程氣體之電槳。該氣體分佈板n放置於距底材約3〇〇mUs 至 600 mils 〇 由上述之製程所沉積的低介電常數碳化矽層為氫化 之碳化石夕層,其介電常數約於5·5 ^下,並可作為阻障 層。此外,觀察上述製程參數進行沉積所獲得之低介電 常數碳化矽層,其中該層之組成為:石夕:碳之莫耳分率 約為1 : 1,且該層之氫原子濃度至少約為30%至45%之 馬1。在製造半導體元件時,該低介電常數碳切層可使 用作保護層、阻障層、餘刻中止層及反反應塗佈(anti_ reactive coatings) 〇 沉積低介電常數碳化梦層的製程已更完整地在美國 專利未定案,第09/165,248號,1 998年1〇月i日申請、 第13| 本紙張尺度適用中國國家標準(CNSM4規格(21G X 297公髮y ---------1 I —Awl --------訂---------* (請先閱讀背面之注意事項再填寫本頁) 521304
、發明說明( 美國專利未定案,第09/21 9,945號,1 998年口 'i z 月 2 3 申請,以及美國專利未定案,第〇9/27〇,〇39 日 1 El L 死 ’ 1999 年 Γ4先閱讀背面之注意事項再填寫本頁) 月Μ日申請,在此併入以茲參考,本發明並無不— 又内容。本發明之實施例在此所描述的碳化矽芦^ 致 、,僅為描述本發明,該特定實施例不應限制本發明^〜 圍。本發明亦考慮了其他沉積碳化矽層之製程及材料^軏 惰性氣體電漿製程 本發明之一實施例,係將前述之沉積的低介電常數 碳化矽層曝露在一惰性氣體中,來增加該碳化矽層之密 度以形成一保護表面並降低擴散至該層之氧。將一底材 放入處理室中,並選擇氧、氬及其上述任意組合物族群 之惰性氣體,以約200至2000sccm之流率輸入至該處理 室中。在進行電漿處理製程時,維持處理室之壓力於約 1至12Torr,並維持底材的溫度於約1〇〇t:至45〇t:之間。 在進行電漿處理製程時,維持該底材溫度於約3 5 〇。〇為 較佳。 經濟部智慧財產局員工消費合作社印製 提供該處理室約0.08至6.4watts/cm2間之RF功率 密度,或提供200mm底材約25至2000watts的RF功率 來產生該惰性氣體的電漿。供應該處理室來產生電漿以 約0.3至3.2watts/cm2的功率密度,或2〇〇mm底材約100 至1 000watt的功率為佳。該電漿之處理時間為約3〇至120 秒鐘。以電漿來處理沉積層與沉積該低介電常數碳化矽 層可同步執行。 第u頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公餐) 經濟部智慧財產局員工消費合作社印製 521304 A7 ---—---- —_B7__ 五、發明說明() 一儿積碳化矽層之處理製程係以約400seem之流率 將氛輸入至含有2〇〇mm底材的處理室中。在該處理製程 中’將達到並維持約8τ〇ΓΓ的處理室氣壓及約35〇°c的底 材/皿度。亥處理室接受約1 · 2至1 · 6 watts/cm 2的RF功率 被度或約400至500 watts的RF功率以產生電漿,並處 理該碳化矽層約5 〇秒鐘。 沉積碳化梦層一較佳的處理製程係以約l3〇〇sccm的 流率將氦輸入含有200mm底材的處理室中,維持該處理 室壓力與底材溫度於約8.7Torr及35(TC,供應該處理室 約250watts以產生電漿,並維持該電漿約5〇秒。 该碳化石夕沉積處理室或相同整合系統之一不同處理 室可同步執行該電漿處理,亦即不需中斷製程間之真空 狀態。目别已觀祭到依本發明之惰性氣體電漿處理方法 所產生的碳化矽層,其氧含量約低於6%原子濃度。 第2圖所示者為根據本發明所揭露的惰性氣體電漿 製程所處理的5〇oA厚的碳化矽層之原子濃度(at〇mic concentration,atomic %)與濺擊時間之x_ray光電子光譜 (X-ray Photoelectron Spectropy,XPS)圖。該圖描述除氫 以外的碳化矽層元素,隨著該層深度不同之原子濃度。 該XPS之資料係以約20至25A的速率移除材料後,該 層每經濺擊一分鐘後所得之資料。第2圖的X轴,即藏 鍍時間’係该層不同深度之元素原子濃度讀數。第2圖 的Y軸係依據該層濺擊步驟時間的元素原子濃度,其中 碳表示為C’碎表示為Si,氧表示為〇,氮表示為n。 第15頁 本紙張尺度適財關家群(CNS)A4規格x 297公餐) "一 * 裝--------訂---------齊. (請先閱讀背面之注意事項再填寫本頁) 521304
經濟部智慧財產局員工消費合作社印製 五、發明說明() 曰則巳覜祭到接受該 石夕層中氧的平均濃度為約4%至6%,較習知未經處理的 礙化層之氧之預期濃度約10%至15%為低。 一般相信該惰性電體之電漿物種藉由移除材料(例 如·薄膜上的妓與氫)、移除該材料的微孔與移除或降低 其空孔或其他缺陷來加強該層之表面密度。將一碳化矽 材料(、、勺90A至100A厚)與一碳化矽塊料下層之材料(約 〇A至420A厚)比較,前者表面密度約1·以至 1.59g/cm3,而後者之整體密度為約2 〇8至a」乜“Μ。 -般更認為增強表面之密度提供了一防止氧氣擴散 入Μ層之保護表面,與未經處理過的碳化矽層相比較, 該表面對氧氣擴散及材料氧化更具抵抗的效果,也加強 了孩層與連接層的黏著性。一 a 一 舨也相仏,在半導體製造 密度表面對其他材料⑽ 抵抗性。 辦狀文八 氮化氣體電漿製程 《月 < 另f施例’係將一低介電常數碳化 曝露於氮化氣體電漿中,以 产 在邊石反化矽層上形成一舍 氮的表面。該富含氮的碳切層表面包括氮化♦、: 亂化物、矽氧氮化物、或碳矽 反 甘&尸/ 乳鼠化物’在本發明Φ 1览化表面。該氮化氣體係選自氣、氮、 及其上述任意組合之族群。由於氨於乳化- 即高於25〇。(:後氨易解^ 、易解離性 風“離為電漿形態的特性,因此氨, 第16| --------I--裝--------訂--------- (請先閱讀背面之注意事項再填寫本頁) 521304
經濟部智慧財產局員工消費合作社印制衣 五、發明說明() 般便用來產生氮化表面。 本發明所描述的氮化表面能藉由以下的製程形成於 %化梦層上。在處理室中放置一底材並以約i 〇〇至 3OOsccm的流率輸入氮化氣體至該處理室中。維持該處 理室的壓力於約100 milliTorr至25 milliTorr間。維持 孩處理室的壓力於i Torr至12 T〇rr間為較佳,5 至 1 〇 Torr為更佳的狀態。在電漿處理的過程中,維持該底 材溫度於約1 〇 〇。〇至5 0 0。(:之間。 才疋供該處理室約〇·〇8至6.4 watts/cm2的RF功率密 度,或200mm底材約25至2000watts的RF功率來產生 私漿°提供約0.3至3.2 watts/cm2的RF功率密度或2〇〇mm 底材約100至l〇〇〇watts的功率為較佳。將該碳化矽層曝 露於該氮化電漿中約10至120秒鐘來產生一約5〇A至 400A厚的氮化表面。该碳化梦沉積之同一處理室或相同 整合系統之一不同處理室可同步執行該電漿處理。 一典型的沉積低介電常數介電層之氮化電漿處理製 程方法包括對含有200mm碳化矽底材的處理室以約2〇〇 至600sCCm的流率提供氨,於氮化過程中維持該處理室 壓力於約8至9Torr並維持該底材的溫度於約3〇〇£>c至4〇〇 °C,提供該處理室約1.2至1.6 watts/cm2之Rf功率密度 或約400至500watts的RF功率以產生電槳,並維持該 電漿約5至60秒鐘來修正該碳化矽表面以產生一氮化表 面。該電漿能在一個或多個處理循環中產生。而另一個 方法則是將碳化矽層曝露在該電漿製程中約2〇至6〇秒 第17頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ------------Φ·裝--------訂i (請先閱讀背面之注意事項再填寫本頁) 521304 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明( 鐘 孩氮化氣體更可由一惰性氣體所組成(例如:氬或 氦)’來f助處理該層之表面,亦可協助穩定該氣流及電 装反應。惰性氣體可以約低於2000seem的速率輸入至該 處理罜中來與氮化製程相接合。該氮化氣體亦可由一反 應氣體(例如:氫)所組成,來協助清除氮化製程中該層 的污染物。 该石反化砂沉積處理室或相同整合系統之一不同處理 i可同步執行該電漿處理,亦即不需中斷製程間之真空 狀態。目前已觀察到本發明揭露的氮化氣體電漿處理方 法可產生氧含量低於6%原子濃度的碳化矽層。 弟3圖所示者為一根據本發明所揭露的惰性氣體電 漿製程所處理的500A厚碳化矽層之原子濃度(at〇mic concentration,atomic %)與濺擊時間之x-ray光電子光譜 (X-ray Ph〇t〇electron Spectropy,xPS)圖。該碳化矽層經 過流率約40〇sccm氨的處理,處理室壓力維持在約, 提供200mm的底材約350wattsRF功率以產生電聚,並 維持該底材溫度於約35(rC。第3圖之Y軸顯示該碳化 層之平均氧濃度為4% ’低於未經處理過的碳化珍層之預 期10%至15%之氧濃度。 當氮氣電漿被使用於一電漿處理方法之實施例當 中,以在碳化矽層上形成一氮化表面時,今 T 邊虱化氣體覆 於該層上並且一不同的反應(例如:熱反應)會在該層及 該氮化氣體間促進該氮化反應。 第18頁 I ϋ I βϋ ϋ· 41— ϋ ·1 *1 ·1 ϋ ime 1§ · mmmtm n I— 1 If «^1 ϋ I (請先閲讀背面之注意事項再填寫本頁) 521304 A7 B7 五、 經 濟 部 智 慧 財 產 局 員 工 消 費 合 作 社 印 製 發明說明( 本發明的另一實施例,係將該沉積低介電常數碳化 矽層曝露在該氮化氣體之中,並利用一熱加強製程方法 讓氧從該碳化碎層逸出並在該層上形成一氮化表面。一 熱製程至少包括以約1 00至3 OOOsccm的流率輸入該氮化 氣體至處理室中’維持該處理室壓力於約5至25 Torr間, 在處理過程中維持該底材溫度於約3 5 0 °C至5 0 0。(:間。此 外,亦可在該退火過程中維持該處理室壓力於約8Torr 至lOTorr °在該退火過程中維持該底材溫度於約45〇°C 為佳。 當氮被混入該碳化矽層之中,該氮化表面被認為能 在該碳化矽層上形成一由碳化矽、碳矽氮化物、矽氧氮 化物或碳矽氧氮化物所構成的薄氮化保護層表面。該氮 化表面與未經處理過的碳化矽層相較更具對氧擴散入碳 化矽層的阻抗能力。一般也認為該氮化保護層表面並不 會顯著地增加該碳化矽層的介電常數。更進一步來說, 對該層的表面進行氮化處理將使該非結晶的碳化矽層產 生氮的键結,因此當消除相鄰層間的缺陷時,便能增強 界面間的鍵結及黏著性。更進一步地說,目前已觀^到 孩氮化表面比起含有氧化矽的其他層,對其他材料(例 如:水或銅)的擴散具有更好的阻抗能力,因此在鋼的全 屬化製程當中增強了碳化矽層的阻障特性。 ▲ 弟4 B I員示在一利用本發明所揭冑的惰#氣體或氮 化氣體電漿方法所處理之銅的金屬化堆疊100中,將低 介電常數碳化矽層作為阻障層。該阻障層134係利用上 第19頁 本紙張尺度適財關家標準(CNS)A4規格⑵G x 297公^ --------I--裝--------訂--------- (請先閱讀背面之注意事項再填寫本頁) 521304 A7 經濟部智慧財產局員工消費合作社印製 五、發明說明( 述的低介電常數碳化矽沉積製葙 領I私所產生。該金屬化堆疊 1 〇 〇首先係在矽底材1 3 0上沉穑 ,,r 9 /儿積一約5〇〇〇A的氧化物層 132。約800A的第一低介電當 •私吊數石反化矽阻障層134隨後 沉積於該氧化物層132上。接戈 … 接著,利用本發明的惰性氣 體或氮化氣體電漿製程處理該第一低介電常數碳切阻 障層⑴以產生一抗擴散表面136。接下來,於該第一 低介電常數碳化梦阻障| 134的抗擴散表面136上沉積 一約测A厚的銅層138。在該铜層138上沉積_約8〇〇入 厚的第二低介電常數碳化矽阻障層14〇,並且在該第二 低介電常數碳化矽阻障I 14〇上沉積一約1〇〇〇入厚的氧 化物層 142。另一種方式盔 丄 禋万式為,亦可在該銅層之前沉積一 金屬氮化物阻障層(例如:氮化鈇(TiN)或氮化麵(TaN))來 進—步限制該銅層138對該碳化珍層134的層間擴散。 以上的金屬化堆疊100僅是為了供舉例說明,不應被分 析或解釋為限制本發明的範園。舉例來說,—雙镶嵌結 構製造過程之一特徵區中,該氮化矽層140可作為一蝕 刻阻障層或一反反應塗佈。 氮化矽保護層 本發明 < 另一實施例係以一由矽及氮構成之薄保護 層覆蓋於一低介電常數碳化矽層上,來降低進入該層之 氧的擴散。琢保護層由氮化矽、碳矽氮化物、矽氧氮化 物或碳矽氧氮化物所構成。 在一碳化碎層上沉積一保護層的方法包括以约至 第20頁 lx 297公釐) — — — — — — I — — if I ·1111111 一« — — — — — — I— ^^^^1 ri I I f.. (請先閱讀背面之注意事項再填寫本頁) 521304 經濟部智慧財產局員工消費合作社印製 A7 ______B7 _ 五、發明說明() 100 0seem的流率輸入一含石夕的氣體以及以約50至 2000seem的流率輸入一含氮的氣體至處理室中。該含梦 的氣體係選自矽烷、甲基矽烷、三甲基矽烷、其替代衍 生物及其上述任意組合之族群。該含氮的氣體係選自氨、 氮、一氧化一氮、及其上述任組合之族群。該氮化氣體 含有氧(例如:一氧化二氮)來沉積一矽氧氮化物之保護 層。 維持該底材溫度於約100至5 50。(:。維持該處理室 壓力於約1至25Torr。一較佳的實施例係在沉積的過程 中,維持該處理室壓力於4至5Torr。提供約0.3至 3.2watts/cm2的RF功率密度或提供200mm底材約1〇〇至 lOOOwatts之RF功率至該處理室以產生該製程氣體之電 漿。 沉積該氮化矽層約25至500A厚。以沉積該保護層 約50至200人厚為較佳。該氮化矽層被預期能沉積任何 厚度,端視該製程對碳化矽層及保護層的應用。 該保護層沉積處理室或相同整合系統之一不同的處 理室可同步在碳化梦層上沉積一保護層’亦即不需中斷 製程間的真空狀態。該保護層之沉積與隨後的低介電碳 化矽層之沉積同步為佳,以避免該碳化矽層曝露在可能 產生污染及氧化作用的空氣之中。該氮化矽層及珍氧氮 化物層的碳含量低於5%原子濃度。當該氮化矽層及梦氧 氣化物層以上述的方法沉積後,其他能沉積由沙及氮組 成的保護層的方法及材料便能被預期得到。 第21頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -------------裝--------訂--------- (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 521304 A7 B7 五、發明說明() 第5圖顯示在一矽底材上所沉積並由如前段所述之 包含矽及氮的保護層所覆蓋的約500A厚的碳化矽層,其 原子濃度相對於濺擊時間的(X-ray Photoelectron Spectropy,XPS)圖。該保護層的沉積包括以約li5sccm 的速率輸入三甲基梦燒及以約1500sccm的速率輸入氨至 該處理室中,維持處理室壓力於約4至5Torr,提供約 3 50watts的RF功率至一 200mm底材,當三甲基矽烷與 氨反應時’維持該底材溫度於約3 5 〇。 Μ保護層沉積約400A厚。該保護層能沉積較400A 還薄的厚度以對一 SiN/SiC堆疊之介電常數k的全面衝 擊達到最小。第5圖的γ軸顯示出具有沉積保護層之該 層的平均氧濃度介於約2至4%之間,較未經處理的碳化 石夕層 < 預期的氧濃度約1 0至1 5 %為低。 如第5圖所示,經過濺擊過程約2〇分鐘後,該氮化 梦層皆已被濺擊’該氮化珍層的組成便能夠被測量出來。 依據孩層之成m ’量測料之氧濃度並未超過該平 =值約2至4%’此結果顯示該層現場沉積可減少該二氧 二广染程度。一般認為電漿增強該薄保護層的 ^:在該碳切層上形成—抵抗層’來形成—對氧具 性且不會影響該阻障層介電常數的整合阻 草層。更進一步地說,一般 刃、 化物對導Γ材料M 〜、、、因為虱化矽及矽氧氮 t導材枓(例如:銅)具更佳 氮仆功仅冷既 机彍散性,因此孩 :保濩層可改善該碳化矽阻障層的性質。 弟6圖所示者為一低介 一 吊數石反化矽阻障層,其被 第22頁
本紙張尺度適用^^_ m〇 X 2^iT
五、發明說明( 揭露的氮切保護層所覆蓋。金屬化堆叠20l 23 2 夕底材230上沉積一約5000A厚的氧化 斤1。第一低介電常數碳切阻障層⑼隨後利用本S ::揭露的製程方法沉積在該氧化層232上約800A厚發 :製;氮化:保護層236藉由三…燒及氨之増強電 4。〇广積在該第一低介電常數碳…障層上約 00A。一約 50〇〇a 厚的 陸 ? P現後、,儿積在該碳化矽阻 曰《虱石夕層236上。沒有顯示 化物阻障層(例如:氮化咎力a 金屬虱 鈦或氮化妲)也能較該銅層為先 永’儿知以限制銅擴散人# J门擴政入錢化矽層234。接著,沉積_ 約800A厚的第二低介♦登 弟低η私吊數碳化矽層240於該銅層23丨 ,最後是一約1000人厚的氧化物層242。 二般相信本發明所揭露的電漿處理製程改善了對掮 月阻抗性、強化了該低介電常數介電層(例如··碳化珍 =鄰的材料之間的内層鍵結及黏著…般亦編 私水處理製程亦降低了合凰 - “屬化組合層間的電阻或阻相 1,也藉由增加的沉積碳切層之介電常數該消除m 染物(例如:氧)。作A你 為保&層且/或阻障層,該低氧含^ 低介電常數之材料可降低銅之内層擴散與減少該保護
^或阻障層内連線《電容藕合。當關於處理低介電常I 夕層Ik後的描述,本發明亦考慮應用已知的製程3 其他半導體製造中能渗透層及其他習知的電常^ 電層。 込之說月僅為本發明中的較佳實施例,而非用, 第23頁 ---------·裝 f請先閱讀背面之注意事項再填寫本頁) _ n ϋ· 11 ϋ n «ϋ · i ϋ -I ϋ n 1 I 1 經濟部智慧財產局員工消費合作社印製 521304 A7 B7_ 五、發明說明() 限定本發明之範圍,故利用這些實施例所進行的修改或 更動都不脫離在所附專利範圍所言明之範圍外,本發明 之範圍當以後述的專利申請範圍為基準。 -------------裝--------訂--------- 辞 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 第24頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)

Claims (1)

  1. 521304 A8 B8 C8 D8 六 經濟部智慧財產局員工消費合作社印製 申請專利範圍 1. 一種處理底材之方法,該方法至少包含: 在一處理室中沉積一低介電常數介電層在該底材 請 先 閱 讀 背 之 注 意 事 項 再 填 本 頁 上; 輸入一製程氣體至該處理室中; 在該處理室中產生該製程氣體之電漿;及 曝露該低介電常數介電層於該製程氣體電漿中。 2. 如申請專利範圍第1項所述之方法,其中上述之低介 電常數介電層具有原子濃度約為6%以下之氧含量。 3 ·如申請專利範圍第1項所述之方法,其中上述之低介 電常數介電層更包含碳化矽。 4 ·如申請專利範圍第1項所述之方法,其中上述之製程 氣體係選自氦、氬及其任意組合之族群。 5 ·如申請專利範圍第1項所述之方法,其中上述曝露該 低介電常數介電層於該電漿中之步驟係增加該低介電 常數介電層之表面密度。 6.如申請專利範圍第1項所述之方法,其中上述之製程 氣體係一選自氨、氮、氧化氮及其任意組合族群之氮 化氣體。 第25頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 521304 A8 B8 C8 D8 六 經濟部智慧財產局員工消費合作社印製 申請專利範圍 7.如申請專利範圍第6項所述之方法,其中上述之氮化 氣體於該低介電常數介電層上形成一氮化表面。 請 先 閱 讀 背 之 注 意 事 項 再 填 本 頁 8 ·如申請專利範圍第1項所述之方法,其中上述曝露該 低介電常數介電層於該電漿中之步騾更包含供應該處 理室約0.08至6.4watts/cm2電力的功率密度以產生該 電漿。 9 ·如申請專利範圍第8項所述之方法,其中上述之該低 介電常數介電層係曝露於該電漿當中約1 0至1 2 0秒 鐘。 I 0 ·如申請專利範圍第1項所述之方法,其中上述之處理 室壓力介於約lOOmilliTorr至25Torr。 II ·如申請專利範圍第1項所述之方法,其中上述處理該 底材之步驟更包括以約3000sccm或3000sccm以下的 流率輸入一含惰性氣體、氮化氣體或其任意組合之製 程氣體至該處理室中,並維持該處理室壓力於約1至 12Torr,供應該處理室約0.3至3.3watts/cm2功率密度 的電力以產生該電漿,並且維持該電漿約20至60秒 鐘。 1 2 ·如申請專利範圍第11項所述之方法,其中上述之低 第26頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 經濟部智慧財產局員工消費合作社印製 521304 A8 B8 C8 D8 六、申請專利範圍 介電常數介電層具有原子濃度約為6%以下之氧含量。 1 3 · —種處理底材的方法,該方法至少包含: 於處理室中沉積一碳化矽層在一底材上; 輸入一選自惰性氣體、氮化氣體及其上述任意組合 之族群之製程氣體至該處理室中; 在該處理室中產生該製程氣體之電漿;及 曝露該碳化矽層於該製程氣體電漿中以改良該碳化 矽層之表面,以產生一保護層表面於該碳化矽層上。 14_如申請專利範圍第13項所述之方法,其中上述之惰 性氣體係選自氦、氬及其任意組合之族群。 1 5 ·如申請專利範圍第1 3項所述之方法,其中上述之製 程氣體係一惰性氣體,且增強該碳化矽層之表面密度。 16. 如申請專利範圍第13項所述之方法,其中上述之氮 化氣體係選自氨、氮、氧化氮及其任意組合之族群。 17. 如申請專利範圍第13項所述之方法,其中上述之製 程氣體更包括一氮化氣體,並且在該低介電常數介電 層上形成一氮化表面。 18·如申請專利範圍第13項所述之方法,其中上述曝露 第27頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ---------‘---裝--- (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 521304 A8 B8 C8 D8 六、申請專利範圍 該低介電常數介電層於該電漿中之步驟更包含供應該 處理室約 0.3至 3.2watts/cm2功率密度的電力以產生 該電漿。 19·如申請專利範圍第18項所述之方法,其中上述之該 低介電常數介電層係曝露於該電漿當中約20至60秒 鐘。 20.如申請專利範圍第13項所述之方法,其中上述之處 理室壓力為約1至12Torr。 2 1 ·如申請專利範圍第1 3項所述之方法,其中上述處理 該底材之步驟更包括以約3 0 0 0 s c c m或3 0 0 0 s c c m以下 的流率輸入一含惰性氣體、氮化氣體或其任意組合之 製程氣體至該處理室中,並維持該處理室壓力於約5 至lOTorr,供應該處理室約1.2至1.6watts/cm2電力 的功率密度以產生該電漿,並且維持該電漿約20至60 秒鐘。 22.如申請專利範圍第13項所述之方法,其中上述之碳 化秒層係一阻障層、一领刻停止層、一保護層或一抗 反射塗佈層。 2 3 ·如申請專利範圍第1 3項所述之方法,其中上述之碳 第28頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ---------裝—— (請先閱讀背面之注意事項再填寫本頁) . 經濟部智慧財產局員工消費合作社印?衣 521304 A8 B8 C8 D8 六、申請專利範圍 化矽層具有原子濃度約為6%以下之氧含量。 24.—種在底材上形成一低介電常數阻障層之方法,該方 法至少包含: 在該底材上沉積一碳化矽層;及 在該碳化矽層上沉積一包含矽及氮的保護層。 2 5 ·如申請專利範圍第2 4項所述之方法,其中該保護層 係包含氮化矽或氮氧化矽。 26·如申請專利範圍第24項所述之方法,其中上述之沉 積該保護層包括: 輸入一含矽氣體及一含氮氣體至一内有該底材之處 理室中; 在該處理室中產生一電漿; 在該電漿當中讓該含矽氣體與該含氮氣體反應,以 沉積一含珍及氮之保護層。 27. 如申請專利範圍第26項所述之方法,其中上述之含 矽氣體係選自矽烷、甲基矽烷、三甲基矽烷、其替代 衍生物及其任意組合之族群。 28. 如申請專利範圍第26項所述之方法,其中上述之含 氮氣體係選自氨、氮、氧化氮及其任意組合之族群。 第29頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) 裝 · 521304 A8 B8 C8 D8 六、申請專利範圍 2 9 ·如申請專利範圍第2 6項所述之方法,其中上述之電 漿係由供應該處理室約0 · 3至3 · 2 watts/cm2功率密度 之電力所產生。 3 0 ·如申請專利範圍第2 6項所述之方法,其中上述之處 理室氣壓約為1至25Torr。 3 1.如申請專利範圍第24項所述之方法,其中上述包含 矽及氮之保護層係沉積約25至5 00A之厚度。 請 先 閱 讀 背 之 注 意 事 項 再 填 本 頁 經濟部智慧財產局員工消費合作社印刺衣 第30頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)
TW090117266A 2000-07-14 2001-09-25 Method and apparatus for treating low k dielectric layers to reduce diffusion TW521304B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US21840700P 2000-07-14 2000-07-14

Publications (1)

Publication Number Publication Date
TW521304B true TW521304B (en) 2003-02-21

Family

ID=22814987

Family Applications (1)

Application Number Title Priority Date Filing Date
TW090117266A TW521304B (en) 2000-07-14 2001-09-25 Method and apparatus for treating low k dielectric layers to reduce diffusion

Country Status (5)

Country Link
US (1) US6794311B2 (zh)
EP (1) EP1172845A3 (zh)
JP (1) JP2002176100A (zh)
KR (1) KR100743775B1 (zh)
TW (1) TW521304B (zh)

Families Citing this family (249)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6274292B1 (en) * 1998-02-25 2001-08-14 Micron Technology, Inc. Semiconductor processing methods
US7804115B2 (en) 1998-02-25 2010-09-28 Micron Technology, Inc. Semiconductor constructions having antireflective portions
US6268282B1 (en) 1998-09-03 2001-07-31 Micron Technology, Inc. Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks
US6828683B2 (en) 1998-12-23 2004-12-07 Micron Technology, Inc. Semiconductor devices, and semiconductor processing methods
US6821571B2 (en) * 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US7067414B1 (en) * 1999-09-01 2006-06-27 Micron Technology, Inc. Low k interlevel dielectric layer fabrication methods
US6440860B1 (en) * 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
US6794311B2 (en) * 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
US6764958B1 (en) * 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6465366B1 (en) * 2000-09-12 2002-10-15 Applied Materials, Inc. Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
TW471134B (en) * 2001-02-27 2002-01-01 United Microelectronics Corp Manufacturing method for multilevel interconnects
US6472333B2 (en) 2001-03-28 2002-10-29 Applied Materials, Inc. Silicon carbide cap layers for low dielectric constant silicon oxide layers
US6750119B2 (en) * 2001-04-20 2004-06-15 International Business Machines Corporation Epitaxial and polycrystalline growth of Si1-x-yGexCy and Si1-yCy alloy layers on Si by UHV-CVD
US6495447B1 (en) * 2001-06-26 2002-12-17 Advanced Micro Devices, Inc. Use of hydrogen doping for protection of low-k dielectric layers
US6656837B2 (en) * 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
US6936309B2 (en) * 2002-04-02 2005-08-30 Applied Materials, Inc. Hardness improvement of silicon carboxy films
US6664202B2 (en) * 2002-04-18 2003-12-16 Applied Materials Inc. Mixed frequency high temperature nitride CVD process
US6993738B2 (en) * 2002-06-18 2006-01-31 Ip-First, Llc Method for allocating spare cells in auto-place-route blocks
JP2004103971A (ja) * 2002-09-12 2004-04-02 Hitachi High-Technologies Corp ダマシン処理方法、ダマシン処理装置および、ダマシン構造
US20040052969A1 (en) * 2002-09-16 2004-03-18 Applied Materials, Inc. Methods for operating a chemical vapor deposition chamber using a heated gas distribution plate
US6946033B2 (en) * 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
US6991959B2 (en) * 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
US6797643B2 (en) 2002-10-23 2004-09-28 Applied Materials Inc. Plasma enhanced CVD low k carbon-doped silicon oxide film deposition using VHF-RF power
DE10250889B4 (de) * 2002-10-31 2006-12-07 Advanced Micro Devices, Inc., Sunnyvale Verbesserte SiC-Barrierenschicht für eine Kupfermetallisierungsschicht mit einem Dielektrikum mit kleinem ε und Verfahren zur Herstellung derselben
US6932092B2 (en) * 2002-11-22 2005-08-23 Applied Materials, Inc. Method for cleaning plasma enhanced chemical vapor deposition chamber using very high frequency energy
US6939800B1 (en) * 2002-12-16 2005-09-06 Lsi Logic Corporation Dielectric barrier films for use as copper barrier layers in semiconductor trench and via structures
US6914014B2 (en) * 2003-01-13 2005-07-05 Applied Materials, Inc. Method for curing low dielectric constant film using direct current bias
JP4651076B2 (ja) * 2003-01-24 2011-03-16 日本エー・エス・エム株式会社 半導体基板上の絶縁膜の形成方法
US7592259B2 (en) * 2006-12-18 2009-09-22 Lam Research Corporation Methods and systems for barrier layer surface passivation
US6913992B2 (en) * 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
US7176144B1 (en) 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
US7241704B1 (en) 2003-03-31 2007-07-10 Novellus Systems, Inc. Methods for producing low stress porous low-k dielectric materials using precursors with organic functional groups
US7265061B1 (en) 2003-05-09 2007-09-04 Novellus Systems, Inc. Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties
US20050062164A1 (en) * 2003-09-23 2005-03-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for improving time dependent dielectric breakdown lifetimes
US7216320B2 (en) * 2003-11-06 2007-05-08 Clear Shape Technologies, Inc. Delta-geometry timing prediction in integrated circuit fabrication
US7078336B2 (en) * 2003-11-19 2006-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for fabricating a copper barrier layer with low dielectric constant and leakage current
US7390537B1 (en) 2003-11-20 2008-06-24 Novellus Systems, Inc. Methods for producing low-k CDO films with low residual stress
DE10360933A1 (de) * 2003-12-23 2005-07-28 Infineon Technologies Ag Verfahren zum Herstellen einer Kapazität in einer Schicht aus Low-k-Material
KR101048002B1 (ko) * 2003-12-26 2011-07-13 매그나칩 반도체 유한회사 반도체 소자의 장벽 금속층 형성방법
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7341761B1 (en) 2004-03-11 2008-03-11 Novellus Systems, Inc. Methods for producing low-k CDO films
US7381662B1 (en) 2004-03-11 2008-06-03 Novellus Systems, Inc. Methods for improving the cracking resistance of low-k dielectric materials
US7781351B1 (en) 2004-04-07 2010-08-24 Novellus Systems, Inc. Methods for producing low-k carbon doped oxide films with low residual stress
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US20050233555A1 (en) * 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
US7622400B1 (en) * 2004-05-18 2009-11-24 Novellus Systems, Inc. Method for improving mechanical properties of low dielectric constant materials
US7288205B2 (en) * 2004-07-09 2007-10-30 Applied Materials, Inc. Hermetic low dielectric constant layer for barrier applications
US7326444B1 (en) 2004-09-14 2008-02-05 Novellus Systems, Inc. Methods for improving integration performance of low stress CDO films
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
KR100551471B1 (ko) * 2004-11-02 2006-02-14 주식회사 에이디피엔지니어링 기판 적재 장치
US7695765B1 (en) 2004-11-12 2010-04-13 Novellus Systems, Inc. Methods for producing low-stress carbon-doped oxide films with improved integration properties
US7253123B2 (en) 2005-01-10 2007-08-07 Applied Materials, Inc. Method for producing gate stack sidewall spacers
US7510982B1 (en) 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
JP2006216809A (ja) * 2005-02-04 2006-08-17 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US20060286800A1 (en) * 2005-06-15 2006-12-21 Dominguez Juan E Method for adhesion and deposition of metal films which provide a barrier and permit direct plating
US7892985B1 (en) 2005-11-15 2011-02-22 Novellus Systems, Inc. Method for porogen removal and mechanical strength enhancement of low-k carbon doped silicon oxide using low thermal budget microwave curing
US7381644B1 (en) 2005-12-23 2008-06-03 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US7923376B1 (en) 2006-03-30 2011-04-12 Novellus Systems, Inc. Method of reducing defects in PECVD TEOS films
KR100790779B1 (ko) * 2006-06-09 2008-01-02 주식회사 아이피에스 갭 필 능력을 향상시킨 절연막 증착 방법
US8080282B2 (en) * 2006-08-08 2011-12-20 Asm Japan K.K. Method for forming silicon carbide film containing oxygen
US8465991B2 (en) * 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US7851232B2 (en) * 2006-10-30 2010-12-14 Novellus Systems, Inc. UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US7906174B1 (en) 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
US7795070B2 (en) * 2007-03-30 2010-09-14 Texas Instruments Incorporated Semiconductor device including an amorphous nitrided silicon adhesion layer and method of manufacture therefor
US8242028B1 (en) 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
US7622162B1 (en) 2007-06-07 2009-11-24 Novellus Systems, Inc. UV treatment of STI films for increasing tensile stress
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
US20090158999A1 (en) * 2007-12-24 2009-06-25 Qimonda Ag Manufacturing method for an integrated circuit comprising a multi-layer stack, corresponding integrated circuit and multi-layer mask
US20100186811A1 (en) * 2008-08-26 2010-07-29 Sixtron Advanced Materials, Inc. Silicon Carbonitride Antireflective Coating
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
US8247332B2 (en) 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
JP5656010B2 (ja) * 2009-12-04 2015-01-21 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated ハードマスク膜を形成する方法およびハードマスク膜を成膜する装置
US8349746B2 (en) * 2010-02-23 2013-01-08 Applied Materials, Inc. Microelectronic structure including a low k dielectric and a method of controlling carbon distribution in the structure
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
KR20120083142A (ko) * 2011-01-17 2012-07-25 삼성전자주식회사 반도체 장치 및 반도체 장치의 형성 방법
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
GB201110117D0 (en) * 2011-06-16 2011-07-27 Fujifilm Mfg Europe Bv method and device for manufacturing a barrie layer on a flexible substrate
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US8884268B2 (en) * 2012-07-16 2014-11-11 Taiwan Semiconductor Manufacturing Co., Ltd. Diffusion barrier layer for group III nitride on silicon substrate
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9887277B2 (en) 2015-01-23 2018-02-06 Applied Materials, Inc. Plasma treatment on metal-oxide TFT
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10109476B2 (en) * 2016-07-01 2018-10-23 Lam Research Corporation Substrate processing method for depositing a barrier layer to prevent photoresist poisoning
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
JP2019106538A (ja) * 2017-12-07 2019-06-27 マイクロマテリアルズ エルエルシー 制御可能な金属およびバリアライナー凹部のための方法
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11508617B2 (en) 2019-10-24 2022-11-22 Applied Materials, Inc. Method of forming interconnect for semiconductor device
US11257677B2 (en) * 2020-01-24 2022-02-22 Applied Materials, Inc. Methods and devices for subtractive self-alignment

Family Cites Families (149)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1147014A (en) 1967-01-27 1969-04-02 Westinghouse Electric Corp Improvements in diffusion masking
US4028155A (en) 1974-02-28 1977-06-07 Lfe Corporation Process and material for manufacturing thin film integrated circuits
US4484809B1 (en) 1977-12-05 1995-04-18 Plasma Physics Corp Glow discharge method and apparatus and photoreceptor devices made therewith
JPS55158275A (en) 1979-05-28 1980-12-09 Hitachi Ltd Corrosion preventing method for al and al alloy
US4262631A (en) 1979-10-01 1981-04-21 Kubacki Ronald M Thin film deposition apparatus using an RF glow discharge
US4510178A (en) 1981-06-30 1985-04-09 Motorola, Inc. Thin film resistor material and method
JPS59128281A (ja) 1982-12-29 1984-07-24 信越化学工業株式会社 炭化けい素被覆物の製造方法
US4420386A (en) 1983-04-22 1983-12-13 White Engineering Corporation Method for pure ion plating using magnetic fields
DE3574997D1 (de) 1984-03-03 1990-02-01 Stc Plc Pulsierendes plasmaverfahren.
JPH07111957B2 (ja) 1984-03-28 1995-11-29 圭弘 浜川 半導体の製法
US4759947A (en) 1984-10-08 1988-07-26 Canon Kabushiki Kaisha Method for forming deposition film using Si compound and active species from carbon and halogen compound
JPH0642482B2 (ja) 1984-11-15 1994-06-01 株式会社東芝 半導体装置の製造方法
JPS61210179A (ja) 1985-03-13 1986-09-18 Shin Etsu Chem Co Ltd ミクロト−ム用コ−ティング刃の製造方法
US4711698A (en) 1985-07-15 1987-12-08 Texas Instruments Incorporated Silicon oxide thin film etching process
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4872947A (en) 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US4895734A (en) 1987-03-31 1990-01-23 Hitachi Chemical Company, Ltd. Process for forming insulating film used in thin film electroluminescent device
US5248636A (en) 1987-07-16 1993-09-28 Texas Instruments Incorporated Processing method using both a remotely generated plasma and an in-situ plasma with UV irradiation
US5121706A (en) 1987-10-16 1992-06-16 The Curators Of The University Of Missouri Apparatus for applying a composite insulative coating to a substrate
US4994410A (en) 1988-04-04 1991-02-19 Motorola, Inc. Method for device metallization by forming a contact plug and interconnect using a silicide/nitride process
GB8827933D0 (en) 1988-11-30 1989-01-05 Plessey Co Plc Improvements relating to soldering processes
DE3907857C1 (en) 1989-03-10 1990-05-23 Fraunhofer-Gesellschaft Zur Foerderung Der Angewandten Forschung Ev, 8000 Muenchen, De Method for preparing a layer of amorphous silicon carbide
US5011706A (en) 1989-04-12 1991-04-30 Dow Corning Corporation Method of forming coatings containing amorphous silicon carbide
US5232872A (en) 1989-05-09 1993-08-03 Fujitsu Limited Method for manufacturing semiconductor device
EP0416400B1 (en) 1989-08-25 1996-02-07 Applied Materials, Inc. Cleaning method for semiconductor wafer processing apparatus
JPH03105974A (ja) 1989-09-19 1991-05-02 Kobe Steel Ltd 多結晶ダイヤ薄膜合成によるシヨツトキー・ダイオードの製作法
US5043299B1 (en) 1989-12-01 1997-02-25 Applied Materials Inc Process for selective deposition of tungsten on semiconductor wafer
US4980196A (en) 1990-02-14 1990-12-25 E. I. Du Pont De Nemours And Company Method of coating steel substrate using low temperature plasma processes and priming
EP0449117A3 (en) 1990-03-23 1992-05-06 Matsushita Electric Industrial Co., Ltd. Organic polymer and preparation and use thereof
US5401613A (en) 1990-12-13 1995-03-28 Brewer Science Method of manufacturing microelectronic devices having multifunctional photolithographic layers
US5232871A (en) 1990-12-27 1993-08-03 Intel Corporation Method for forming a titanium nitride barrier layer
JP3000717B2 (ja) 1991-04-26 2000-01-17 ソニー株式会社 ドライエッチング方法
KR100255703B1 (ko) 1991-06-27 2000-05-01 조셉 제이. 스위니 전자기 rf연결부를 사용하는 플라즈마 처리기 및 방법
JPH05308107A (ja) 1991-07-01 1993-11-19 Sumitomo Electric Ind Ltd 半導体装置及びその製作方法
US5238866A (en) 1991-09-11 1993-08-24 GmbH & Co. Ingenieurburo Berlin Biotronik Mess- und Therapiegerate Plasma enhanced chemical vapor deposition process for producing an amorphous semiconductive surface coating
US5472829A (en) 1991-12-30 1995-12-05 Sony Corporation Method of forming a resist pattern by using an anti-reflective layer
US5472827A (en) 1991-12-30 1995-12-05 Sony Corporation Method of forming a resist pattern using an anti-reflective layer
US5242538A (en) 1992-01-29 1993-09-07 Applied Materials, Inc. Reactive ion etch process including hydrogen radicals
EP0603391B1 (en) 1992-05-15 1997-07-23 Shin-Etsu Quartz Products Co., Ltd. Vertical heat treatment apparatus and heat insulating material
US6004705A (en) 1992-07-07 1999-12-21 Toray Industries, Inc. Photosensitive ceramics green sheet
JP2885616B2 (ja) 1992-07-31 1999-04-26 株式会社東芝 半導体装置およびその製造方法
JPH0689880A (ja) 1992-09-08 1994-03-29 Tokyo Electron Ltd エッチング装置
JP2734915B2 (ja) 1992-11-18 1998-04-02 株式会社デンソー 半導体のドライエッチング方法
JPH07105441B2 (ja) 1992-11-30 1995-11-13 日本電気株式会社 半導体装置の製造方法
US5409543A (en) 1992-12-22 1995-04-25 Sandia Corporation Dry soldering with hot filament produced atomic hydrogen
KR970001883B1 (ko) 1992-12-30 1997-02-18 삼성전자 주식회사 반도체장치 및 그 제조방법
JPH0763105B2 (ja) 1993-02-12 1995-07-05 日本電気株式会社 印刷配線板の製造方法
TW347149U (en) 1993-02-26 1998-12-01 Dow Corning Integrated circuits protected from the environment by ceramic and barrier metal layers
US5360491A (en) 1993-04-07 1994-11-01 The United States Of America As Represented By The United States Department Of Energy β-silicon carbide protective coating and method for fabricating same
US5627105A (en) 1993-04-08 1997-05-06 Varian Associates, Inc. Plasma etch process and TiSix layers made using the process
JPH07142416A (ja) 1993-06-21 1995-06-02 Applied Materials Inc 改良された界面を有する層のプラズマ化学蒸着法
US5465680A (en) 1993-07-01 1995-11-14 Dow Corning Corporation Method of forming crystalline silicon carbide coatings
US5468978A (en) 1993-07-07 1995-11-21 Dowben; Peter A. Forming B1-x Cx semiconductor devices by chemical vapor deposition
FR2707673B1 (fr) 1993-07-16 1995-08-18 Trefimetaux Procédé de métallisation de substrats non-conducteurs.
KR0141659B1 (ko) 1993-07-19 1998-07-15 가나이 쓰토무 이물제거 방법 및 장치
KR970007116B1 (ko) * 1993-08-31 1997-05-02 삼성전자 주식회사 반도체장치의 절연층 형성방법 및 그 형성장치
KR950009260B1 (ko) * 1993-09-02 1995-08-18 주식회사코오롱 에어백용 포지의 제조방법
US5427621A (en) 1993-10-29 1995-06-27 Applied Materials, Inc. Method for removing particulate contaminants by magnetic field spiking
EP0652585A1 (de) 1993-11-02 1995-05-10 Leybold Aktiengesellschaft Verfahren und Vorrichtung zum Ätzen von dünnen Schichten, vorzugsweise von Indium-Zinn-Oxid-Schichten
JPH07202186A (ja) 1993-12-28 1995-08-04 Sony Corp 半導体装置の製造方法
US5451263A (en) 1994-02-03 1995-09-19 Harris Corporation Plasma cleaning method for improved ink brand permanency on IC packages with metallic parts
IT1271636B (it) 1994-05-04 1997-06-04 Alcatel Italia Metodo per la preparazione e la passivazione degli specchi terminali di laser a semiconduttore ad alta potenza di emissione e relativo dispositivo
JPH07307332A (ja) * 1994-05-10 1995-11-21 Nippon Telegr & Teleph Corp <Ntt> 表面清浄化法および薄膜形成法
JPH10500451A (ja) 1994-05-20 1998-01-13 ヴィディア ゲゼルシャフト ミット ベシュレンクテル ハフツング 工具、この工具の製法及び製造装置及びこの工具の使用
KR0144956B1 (ko) 1994-06-10 1998-08-17 김광호 반도체 장치의 배선 구조 및 그 형성방법
JP3326974B2 (ja) 1994-07-28 2002-09-24 ソニー株式会社 多層配線の形成方法および半導体装置の製造方法
US5736002A (en) 1994-08-22 1998-04-07 Sharp Microelectronics Technology, Inc. Methods and equipment for anisotropic, patterned conversion of copper into selectively removable compounds and for removal of same
EP0698590B1 (en) 1994-08-23 2003-10-22 AT&T Corp. Metallization of ceramics through application of an adherent reducible layer
JP2809113B2 (ja) 1994-09-29 1998-10-08 日本電気株式会社 半導体装置の製造方法
US5565084A (en) 1994-10-11 1996-10-15 Qnix Computer Co., Ltd. Electropolishing methods for etching substrate in self alignment
US5607542A (en) 1994-11-01 1997-03-04 Applied Materials Inc. Inductively enhanced reactive ion etching
JPH08186085A (ja) 1994-12-28 1996-07-16 Nec Corp 半導体装置の製造方法
US5818071A (en) * 1995-02-02 1998-10-06 Dow Corning Corporation Silicon carbide metal diffusion barrier layer
JP3521587B2 (ja) 1995-02-07 2004-04-19 セイコーエプソン株式会社 基板周縁の不要物除去方法及び装置並びにそれを用いた塗布方法
US5545592A (en) 1995-02-24 1996-08-13 Advanced Micro Devices, Inc. Nitrogen treatment for metal-silicide contact
US5710067A (en) 1995-06-07 1998-01-20 Advanced Micro Devices, Inc. Silicon oxime film
US5623160A (en) 1995-09-14 1997-04-22 Liberkowski; Janusz B. Signal-routing or interconnect substrate, structure and apparatus
US5789776A (en) 1995-09-22 1998-08-04 Nvx Corporation Single poly memory cell and array
JP3979687B2 (ja) * 1995-10-26 2007-09-19 アプライド マテリアルズ インコーポレイテッド ハロゲンをドープした酸化珪素膜の膜安定性を改良する方法
US5877087A (en) 1995-11-21 1999-03-02 Applied Materials, Inc. Low temperature integrated metallization process and apparatus
BE1009839A3 (fr) 1995-12-20 1997-10-07 Cockerill Rech & Dev Procede et dispositif pour le nettoyage d'un substrat metallique.
JPH09237785A (ja) 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
US5652166A (en) 1996-01-11 1997-07-29 United Microelectronics Corporation Process for fabricating dual-gate CMOS having in-situ nitrogen-doped polysilicon by rapid thermal chemical vapor deposition
JP3530667B2 (ja) 1996-01-19 2004-05-24 キヤノン株式会社 電子写真感光体およびその製造方法
US5849135A (en) 1996-03-12 1998-12-15 The Regents Of The University Of California Particulate contamination removal from wafers using plasmas and mechanical agitation
US5660682A (en) 1996-03-14 1997-08-26 Lsi Logic Corporation Plasma clean with hydrogen gas
US5741626A (en) 1996-04-15 1998-04-21 Motorola, Inc. Method for forming a dielectric tantalum nitride layer as an anti-reflective coating (ARC)
US5843847A (en) 1996-04-29 1998-12-01 Applied Materials, Inc. Method for etching dielectric layers with high selectivity and low microloading
US5821603A (en) 1996-05-29 1998-10-13 Microunity Systems Engineering, Inc. Method for depositing double nitride layer in semiconductor processing
US5780163A (en) 1996-06-05 1998-07-14 Dow Corning Corporation Multilayer coating for microelectronic devices
FR2751131B1 (fr) 1996-07-09 2001-11-09 Lg Electronics Inc Procede de fabrication d'un dispositif d'affichage a matrice active a cristal liquide et structure du dispositif d'affichage selon ce procede
US5869396A (en) 1996-07-15 1999-02-09 Chartered Semiconductor Manufacturing Ltd. Method for forming a polycide gate electrode
US5790365A (en) 1996-07-31 1998-08-04 Applied Materials, Inc. Method and apparatus for releasing a workpiece from and electrostatic chuck
US5801098A (en) 1996-09-03 1998-09-01 Motorola, Inc. Method of decreasing resistivity in an electrically conductive layer
US5970378A (en) 1996-09-03 1999-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-step plasma treatment process for forming low resistance titanium nitride layer
US5730792A (en) 1996-10-04 1998-03-24 Dow Corning Corporation Opaque ceramic coatings
US5711987A (en) 1996-10-04 1998-01-27 Dow Corning Corporation Electronic coatings
US5776235A (en) 1996-10-04 1998-07-07 Dow Corning Corporation Thick opaque ceramic coatings
US5827785A (en) 1996-10-24 1998-10-27 Applied Materials, Inc. Method for improving film stability of fluorosilicate glass films
US5779807A (en) 1996-10-29 1998-07-14 Applied Materials, Inc. Method and apparatus for removing particulates from semiconductor substrates in plasma processing chambers
GB2319532B (en) 1996-11-22 2001-01-31 Trikon Equip Ltd Method and apparatus for treating a semiconductor wafer
GB2319533B (en) 1996-11-22 2001-06-06 Trikon Equip Ltd Methods of forming a barrier layer
KR19980064441A (ko) 1996-12-20 1998-10-07 윌리엄비.켐플러 전도물질을 반도체 소자 표면에 선택적으로 결합시키는 방법
JP4142753B2 (ja) 1996-12-26 2008-09-03 株式会社東芝 スパッタターゲット、スパッタ装置、半導体装置およびその製造方法
DE19702124A1 (de) 1997-01-22 1998-07-23 Linde Ag Verfahren und Vorrichtung zum Reinigen, Aktivieren Benetzen und/oder Beschichten der Oberflächen von Werkstücken
US5834371A (en) 1997-01-31 1998-11-10 Tokyo Electron Limited Method and apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof
US6125859A (en) 1997-03-05 2000-10-03 Applied Materials, Inc. Method for improved cleaning of substrate processing systems
US5789316A (en) 1997-03-10 1998-08-04 Vanguard International Semiconductor Corporation Self-aligned method for forming a narrow via
US5817579A (en) 1997-04-09 1998-10-06 Vanguard International Semiconductor Corporation Two step plasma etch method for forming self aligned contact
DE19717698A1 (de) 1997-04-26 1998-10-29 Fraunhofer Ges Forschung Verfahren und Vorrichtung zur Reinigung von Aktivierung von elektrischen Leiterbahnen und Platinenoberflächen
JPH11162969A (ja) * 1997-11-28 1999-06-18 Seiko Epson Corp 半導体装置の製造方法
US6107192A (en) 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US6140226A (en) 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
FR2775986B1 (fr) 1998-03-10 2000-05-05 Air Liquide Procede et installation de traitement de surface d'une piece metallique
JPH11256325A (ja) * 1998-03-10 1999-09-21 Okuma Engineering:Kk 結晶性SiC薄膜の製造方法
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6054206A (en) 1998-06-22 2000-04-25 Novellus Systems, Inc. Chemical vapor deposition of low density silicon dioxide films
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
JP3248492B2 (ja) * 1998-08-14 2002-01-21 日本電気株式会社 半導体装置及びその製造方法
JP2000068261A (ja) * 1998-08-19 2000-03-03 Toshiba Corp 半導体装置の製造方法
JP2000150516A (ja) * 1998-09-02 2000-05-30 Tokyo Electron Ltd 半導体装置の製造方法
US6355571B1 (en) 1998-11-17 2002-03-12 Applied Materials, Inc. Method and apparatus for reducing copper oxidation and contamination in a semiconductor device
JP2002538604A (ja) * 1999-02-26 2002-11-12 トリコン ホールディングス リミティド ポリマー層の処理方法
JP3084367B1 (ja) 1999-03-17 2000-09-04 キヤノン販売株式会社 層間絶縁膜の形成方法及び半導体装置
US6251775B1 (en) 1999-04-23 2001-06-26 International Business Machines Corporation Self-aligned copper silicide formation for improved adhesion/electromigration
US6821571B2 (en) 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
DE69940114D1 (de) 1999-08-17 2009-01-29 Applied Materials Inc Oberflächenbehandlung von kohlenstoffdotierten SiO2-Filmen zur Erhöhung der Stabilität während der O2-Veraschung
US6593653B2 (en) 1999-09-30 2003-07-15 Novellus Systems, Inc. Low leakage current silicon carbonitride prepared using methane, ammonia and silane for copper diffusion barrier, etchstop and passivation applications
US6153512A (en) * 1999-10-12 2000-11-28 Taiwan Semiconductor Manufacturing Company Process to improve adhesion of HSQ to underlying materials
US6403464B1 (en) * 1999-11-03 2002-06-11 Taiwan Semiconductor Manufacturing Company Method to reduce the moisture content in an organic low dielectric constant material
US6373076B1 (en) * 1999-12-07 2002-04-16 Philips Electronics North America Corporation Passivated silicon carbide devices with low leakage current and method of fabricating
JP3348084B2 (ja) 1999-12-28 2002-11-20 キヤノン販売株式会社 成膜方法及び半導体装置
US6136680A (en) * 2000-01-21 2000-10-24 Taiwan Semiconductor Manufacturing Company Methods to improve copper-fluorinated silica glass interconnects
US6784485B1 (en) * 2000-02-11 2004-08-31 International Business Machines Corporation Diffusion barrier layer and semiconductor device containing same
US6261929B1 (en) 2000-02-24 2001-07-17 North Carolina State University Methods of forming a plurality of semiconductor layers using spaced trench arrays
US6284657B1 (en) * 2000-02-25 2001-09-04 Chartered Semiconductor Manufacturing Ltd. Non-metallic barrier formation for copper damascene type interconnects
US6720249B1 (en) * 2000-04-17 2004-04-13 International Business Machines Corporation Protective hardmask for producing interconnect structures
US6284644B1 (en) * 2000-10-10 2001-09-04 Chartered Semiconductor Manufacturing Ltd. IMD scheme by post-plasma treatment of FSG and TEOS oxide capping layer
US6794311B2 (en) * 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
US6365527B1 (en) * 2000-10-06 2002-04-02 United Microelectronics Corp. Method for depositing silicon carbide in semiconductor devices
US6335274B1 (en) * 2000-11-17 2002-01-01 Macronix International Co., Ltd. Method for forming a high-RI oxide film to reduce fluorine diffusion in HDP FSG process
US6451687B1 (en) * 2000-11-24 2002-09-17 Chartered Semiconductor Manufacturing Ltd. Intermetal dielectric layer for integrated circuits
US6528432B1 (en) 2000-12-05 2003-03-04 Advanced Micro Devices, Inc. H2-or H2/N2-plasma treatment to prevent organic ILD degradation
US6407013B1 (en) * 2001-01-16 2002-06-18 Taiwan Semiconductor Manufacturing Co., Ltd Soft plasma oxidizing plasma method for forming carbon doped silicon containing dielectric layer with enhanced adhesive properties
US6514850B2 (en) 2001-01-31 2003-02-04 Applied Materials, Inc. Interface with dielectric layer and method of making
US6537733B2 (en) 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US6506692B2 (en) 2001-05-30 2003-01-14 Intel Corporation Method of making a semiconductor device using a silicon carbide hard mask
US6936309B2 (en) 2002-04-02 2005-08-30 Applied Materials, Inc. Hardness improvement of silicon carboxy films

Also Published As

Publication number Publication date
KR20020007224A (ko) 2002-01-26
KR100743775B1 (ko) 2007-07-30
US20020016085A1 (en) 2002-02-07
EP1172845A3 (en) 2009-09-30
EP1172845A2 (en) 2002-01-16
US6794311B2 (en) 2004-09-21
JP2002176100A (ja) 2002-06-21

Similar Documents

Publication Publication Date Title
TW521304B (en) Method and apparatus for treating low k dielectric layers to reduce diffusion
US9418889B2 (en) Selective formation of dielectric barriers for metal interconnects in semiconductor devices
CN100483645C (zh) 改善低k电介质粘附性的等离子体处理方法
US6632478B2 (en) Process for forming a low dielectric constant carbon-containing film
CN100437933C (zh) 改善层间附着的方法
EP2259303B1 (en) Interfacial capping layers for interconnects
CN101388359B (zh) 改善低k电介质对导电材料粘附性的方法
TWI541938B (zh) 用於互連的含金屬及矽覆蓋層
US6638810B2 (en) Tantalum nitride CVD deposition by tantalum oxide densification
US7858510B1 (en) Interfacial layers for electromigration resistance improvement in damascene interconnects
JP5773306B2 (ja) 半導体素子構造を形成する方法および装置
US20040106278A1 (en) Method of eliminating photoresist poisoning in damascene applications
US6624091B2 (en) Methods of forming gap fill and layers formed thereby
TW557478B (en) Semiconductor device and manufacturing method thereof
TW201123305A (en) Method for improving adhesion of low resistivity tungsten/tungsten nitride layers
US6753258B1 (en) Integration scheme for dual damascene structure
WO2007020684A1 (ja) 半導体集積回路装置およびその製造方法
JP2002217189A (ja) 炭化ケイ素膜のデュアルプラズマ処理
US6764952B1 (en) Systems and methods to retard copper diffusion and improve film adhesion for a dielectric barrier on copper
KR20050091780A (ko) 저-k 유전체 재료의 크랙 한계 및 기계적 특성 개선 방법및 장치
US10600684B2 (en) Ultra-thin diffusion barriers
US20090107626A1 (en) Adhesion improvement of dielectric barrier to copper by the addition of thin interface layer
TW201001550A (en) Adhesion and electromigration improvement between dielectric and conductive layers
US7763538B2 (en) Dual plasma treatment barrier film to reduce low-k damage
US6583026B1 (en) Process for forming a low k carbon-doped silicon oxide dielectric material on an integrated circuit structure

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees