KR20020007224A - 확산을 감소시키도록 낮은 유전상수의 유전층을 처리하기위한 방법 및 장치 - Google Patents

확산을 감소시키도록 낮은 유전상수의 유전층을 처리하기위한 방법 및 장치 Download PDF

Info

Publication number
KR20020007224A
KR20020007224A KR1020010042623A KR20010042623A KR20020007224A KR 20020007224 A KR20020007224 A KR 20020007224A KR 1020010042623 A KR1020010042623 A KR 1020010042623A KR 20010042623 A KR20010042623 A KR 20010042623A KR 20020007224 A KR20020007224 A KR 20020007224A
Authority
KR
South Korea
Prior art keywords
layer
plasma
gas
dielectric constant
silicon carbide
Prior art date
Application number
KR1020010042623A
Other languages
English (en)
Other versions
KR100743775B1 (ko
Inventor
케강 후앙
주디엘. 후앙
핑 수
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR20020007224A publication Critical patent/KR20020007224A/ko
Application granted granted Critical
Publication of KR100743775B1 publication Critical patent/KR100743775B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/931Silicon carbide semiconductor

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

본 발명은 산소 확산을 방지하고 낮은 산소 함유량이 제공되는 낮은 유전상수 층을 증착시키기 위한 방법 및 장치에 관한 것이다. 낮은 유전상수 층의 밀도를 높이도록 불활성 가스의 플라즈마에 대해 낮은 유전상수 층을 노출시킴으로써, 이러한 층 상에 부동화 질소 표면을 형성하도록 니트로화 플라즈마에 대해 낮은 유전상수 층을 노출시킴으로써, 또는 내부에 산소 확산을 감소시키기 위해 낮은 유전상수 층 상에 얇은 부동화 층을 증착시킴으로써, 이러한 층이 형성된다. 이러한 낮은 유전상수 층을 증착하여 제위치에서 연속하여 처리할 수도 있다.

Description

확산을 감소시키도록 낮은 유전상수의 유전층을 처리하기 위한 방법 및 장치{METHOD AND APPARATUS FOR TREATING L0W K DIELECTRIC LAYERS TO REDUCE DIFFUSION}
본 발명은 기판 상에 집적 회로의 제조와, 유전층 안으로 산소 확산을 감소시키는 것에 관한 것이다.
지난 10년간 집적 회로 설계와 제조에 있어서 일관되며 상당히 예측가능한 개선이 이루어져 왔다. 성공적인 개선에 대한 하나의 열쇠는 집적 회로(IC) 장치에 도전성 경로를 제공하는 멀티레벨 인터커넥트 기술이다. 초대규모 집적회로(VLSI) 및 극 초대규모 집적회로(ULSI) 기술에서, 수평선 및 수직 콘택트, 비어스, 또는 인터커넥트와 같은 도전성 또는 반도전성 기판 피쳐(features)의 수축 디멘션(shrinking dimensions)은 반도체 장치의 전류 밀도를 향상시키는 중요성을 증가시켜 왔다.
집적 회로상에서 반도체 장치의 전류 밀도를 보다 향상시키기 위해, 인접 인터커넥트 사이에 전기용량 커플링을 감소시키기 위한 절연층과 같이, 낮은비저항(resistivity)과 낮은 유전상수(k) 물질(여기에서는, 유전층에 대해 약 3.0 미만, 에칭 스탑(etch stop) 및 배리어 층에 대해 약 5.5 미만의 유전상수, k를 가지는 것으로서 정의)을 가지는 도전성 물질을 이용하는 것이 필요하게 되었다. 전류 유전 물질은 비교적 인터커넥트 사이에 전기용량 커플링을 가지는데, 이러한 전기 용량 커플링은, 반도체 장치의 총 성능을 저하시키는 크로스 토크(cross talk) 및/또는 레지스턴스-커패시턴스(RC) 디래이(delay), 즉, 저장된 에너지를 분산시키는데 필요한 시간에 귀결된다.
그러나, 종래의 낮은 유전상수의 유전체 물질은 일반적으로 다공성이 있으며 낮은 유전상수의 유전체 물질 안으로 물질의 층간 확산을 방지하기 위해 배리어 층(barrier layer)을 필요로 한다. 종래의 배리어 층은 전형적으로 질화규소와 같이, 7.0이상의 유전상수를 갖는다. 종래의 배리어 층 물질이 낮은 유전상수 유전체 물질과 관련하여 이용되는 경우, 생성된 절연 스택(insulator stack)은 전형적으로 낮은 유전상수 물질 효과의 개선된 유전 상수를 최소화시키며, 종종 6.0에도 미치지 못하는 유전 상수를 가지는 스택을 생성시킨다.
절연층으로서 종래의 낮은 유전상수 유전체 물질을 이용하면서 한가지 다른 어려움은 집적 회로에서 구리의 이용이 증가된다는 것이다. 낮은 비저항(1.7μΩ-㎝)과 높은 전류 보유 용량을 가지기 때문에 구리(Cu)는 선택적인 인터커넥트 물질이 되고 있다. 그러나, 구리는 주변 물질 안으로 보다 용이하게 확산되며 인접 층의 전자 장치 특성을 변화시킬 수 있고, 예컨대 유전층에 걸쳐서 도전성 경로를 형성하여 총 회로의 신뢰성을 감소시키고 장치 고장을 일으킬 수도 있다. 다공성이며 확산에 민감한 경향이 있는 새로운 낮은 유전상수의 막(films)과 관련한 이러한 구리의 확산 특성은 낮은 유전상수 특성을 가지는 확산 방지 배리어 층에 대한 필요성을 증가시킨다.
탄화규소(SiC) 층은 낮은 유전상수 배리어 물질로서 이용하기 위한 잠재적 후보로서 인식되어 왔다. 특히, 발명의 명칭이 "배리어 층 및 에칭 스탑을 위한 탄화규소 증착"이며, 본 출원의 양수인에게 소유되어 있고, 여기에 참조로서 첨부된, 미국특허출원 제 09/165,248 호(1998년 10월 1일 출원)에는, 낮은 유전상수의 배리어 층으로서 탄화규소 층이 인식되어 있다.
그러나, 낮은 유전상수 탄화규소 층은 다공성이며, 층 안으로, 특히 층의 표면으로의 산소 확산에 대해 민감하다. 산소는 산화물을 형성하도록 탄화규소 층내의 실리콘 물질과 반응할 수도 있는데, 이러한 산화물은 구리와 같은 도전 물질이 탄화규소 안으로 확산하는 것을 증가시켜서 배리어 층의 총 효율성을 저하시키는 것으로 알려져 있다. 탄화규소 층을 증착하는 동안, 또는 층이 산화제 환경에 노출되는 경우 프로세싱 챔버와 클러스터 사이에 이송되는 동안 산소에 노출됨으로써 탄화규소 층 안으로의 산소 확산이 발생할 수 있다.
또한, 탄화규소 층내의 산소의 첨가는 인접 물질, 특히 구리와 같은 도전 물질의 접합 또는 접착에 유해한 영향을 주며, 물질의 층분열 현상(layer delamination)을 낳는다. 또한, 탄화규소 층내에 형성된 산화물은 전형적으로 인접 탄화규소 물질보다 낮은 밀도를 가진다. 층의 표면과 상부에 낮은 밀도의 산화물이 형성됨으로써 만곡부(bulges) 또는 "험프(humps)"와 같은 변형이 발생하는데,이러한 변형은, 높은 종횡비 피쳐를 균일하게 충진하기에 특히 바람직하지 않으며 연속적으로 증착된 물질내에 공극(voids) 또는 다른 증착 결함을 형성시킬 수도 있다.
따라서, 낮은 유전상수 층에서 산소 확산을 감소시키기 위한 프로세스에 대한 필요성이 있다. 이러한 프로세스는 구리 금속화에 이용된 탄화규소 층과 같은 배리어 층 내에서 산소 함유량을 감소시키는 것이 바람직하다.
본 발명의 양상들은, 산소 확산을 방지하며 낮은 산소 함유량을 가지는 탄화규소와 같은 낮은 유전상수 층을 생성시키기 위한 방법에 관한 것이며, 구리 금속화에서 부동화 및/또는 배리어 층으로서 유용하다. 본 발명의 하나의 양상에서, 본 발명의 방법은, 프로세싱 챔버 내의 기판 상에 낮은 유전상수 층을 증착시키는 단계와, 챔버 안으로 프로세싱 가스를 도입시키는 단계와, 프로세싱 가스의 플라즈마를 발생시키는 단계와, 그리고 프로세싱 가스의 플라즈마에 대해 낮은 유전상수 층을 노출시키는 단계를 포함하는, 기판을 처리하기 위해 제공되는 방법이다.
본 발명의 다른 양상에서, 본 발명의 방법은, 프로세싱 챔버 내의 기판상에 탄화규소 층을 증착시키는 단계와, 불활성 가스, 니트로화 가스 및 이들의 화합물의 그룹으로부터 선택된 프로세싱 가스를 프로세싱 챔버 안으로 도입시키는 단계와, 프로세싱 가스의 플라즈마를 발생시키는 단계와, 그리고 탄화규소 층 상에 부동화 표면을 형성시키도록 프로세싱 가스의 플라즈마에 대해 탄화규소 층을 노출시킴으로써 탄화규소 층의 표면을 변경시키는 단계를 포함하는, 기판을 처리하기위해 제공되는 방법이다. 불활성 가스는 헬륨, 아르곤, 및 이들의 화합물을 포함할 수도 있으며, 니트로화 가스는 암모니아, 질소, 질소와 수소 혼합물, 일산화질소, 및 이들의 화합물을 포함할 수도 있다.
본 발명의 다른 양상에서, 본 발명은 기판 상에 낮은 유전 상수의 배리어 층을 형성하기 위한 방법을 제공하며, 이러한 방법은, 기판 상에 낮은 유전상수 층을 증착시키는 단계와, 이후 낮은 유전상수 층 상에 얇은 부동화 층을 증착시키는 단계를 포함한다. 부동화 층은 질화규소 또는 실리콘 옥시니트라이드와 같은 물질을 함유하는 실리콘과 질소를 포함한다.
도 1은 본 발명의 프로세스가 실행될 수도 있는 상업적으로 이용가능한 하나의 CVD 플라즈마 프로세싱 챔버의 단면도이다.
도 2는 불활성 가스 플라즈마에 의해 처리된 탄화규소 층의 원자 농도의 X선 광전자 분광법(XPS) 플롯을 도시한다.
도 3은 니트로화 가스 플라즈마에 의해 처리된 탄화규소 층의 원자 농도의 X선 광전자 분광법 플롯을 도시한다.
도 4는 위에 플라즈마 처리된 탄화규소 층이 형성된 기판의 단면도이다.
도 5는 위에 부동화 층이 증착된 다른 탄화규소 층의 원자 농도의 X선 광전자 분광법 플롯을 도시한다.
도 6은 캐핑된 탄화규소 층을 구비하는 기판의 단면도이다.
* 도면의 주요 부분에 대한 부호의 설명 *
10 ; 챔버 11 ; 가스 분배 플레이트
12 ; 서셉터 13 ; 지지 스템
14 ; 리프트 모터 16 ; 기판
24 ; 포트 32 ; 진공 펌프 시스템
34 ; 시스템 제어기 100 ; 구리 금속화 스택
132,142,232,242 ; 산화물 층 136 ; 확산 방지 표면
134,234 ; 제 1 낮은 유전상수 탄화규소 배리어 층
138,238 ; 구리 층 200 ; 금속화 스택
140,240 ; 제 2 낮은 유전상수 탄화규소 배리어 층
본 발명의 상기 인용된 양상을 달성하고 상세히 이해하기 위해, 앞서 간단히 요약하여 기재된 본 발명을, 본 발명의 실시예가 도시된 첨부 도면을 참조하여 보다 구체적으로 설명한다.
그러나, 본 발명은 다른 동일 효과의 실시예에 대해서도 수용할 수 있기 때문에, 첨부 도면은 단지 본 발명의 전형적인 실시예이며 본 발명의 범위를 한정하는 것으로 고려되어선 안된다.
이제, 미국 캘리포니아 산타클라라 소재의 어플라이드 머티어리얼스, 인코포레이티드(Applied Materials, Inc.)에서 제조된 Centura? 플랫폼(platform)과 같은 프로세싱 장비를 사용하여 실행될 수 있는 플라즈마 프로세스와 화학 기상 증착에 관하여 본 발명을 설명한다. 이러한 프로세싱 장비는, DxZTMCVD 챔버 또는ProducerTMCVD 챔버와 같은 화학 기상 증착(CVD) 챔버를 구비한 일체식 플랫폼을 포함하는데, 이들 두 챔버는 어플라이드 머티어리얼스 인코포레이티드에서 제조되고 있다. 다음의 챔버에 대한 설명은 실례이며 본 발명의 범주를 한정하는 것으로서 분석되거나 해석되어서는 안된다.
도 1은 상술한 프로세스가 실행될 수도 있는, 플라즈마를 발생시켜 유지할 수 있는 CVD 챔버의 단면도이다. 챔버(10)는 기판 지지 플레이트 또는 서셉터(12) 위에 배치된 가스 분배 플레이트(11)를 포함하며, 기판을 프로세싱하기 위해 서셉터(12)와 플레이트(11) 사이에 프로세싱 영역을 형성한다. 서셉터(12)는 저항적으로(resistively) 가열될 수 있으며, 하부 로딩/오프-로딩 위치와, 상부, 또는프로세싱 위치 사이에서 리프트 모터(lift motor)(14)에 의해 제어가능하게 이동될 수 있도록 지지 스템(13)상에 장착될 수 있다. 서셉터(12)가 프로세싱 위치에 있는 경우, 서섭터(12)와 그 위에 배치된 임의의 기판(16) 둘레에 환형으로 절연체 링(insulator ring)(17)이 배치된다. 가스 분배 플레이트(11)의 입구(도시 안함)를 통하여 챔버(10) 안으로 프로세싱 가스가 도입되어 프로세싱 영역과 기판 표면에 걸쳐서 방사상으로 일정하게 분포된다. 프로세싱 가스는 진공 펌프 시스템(32)에 의해 포트(24)를 통하여 배출된다.
열 또는 플라즈마 강화 프로세스는 챔버(10) 안에서 실행될 수도 있으며, 프라즈마 강화 프로세스는 본 발명의 포스트 증착 층 처리를 위해 이용된다. 플라즈마 프로세스에서, 접지된 서셉터(12)를 구비한 RF 전력 공급원(25)으로부터 가스분배 플레이트(11)까지 RF 에너지를 인가함으로써 기판에 인접한 제어된 플라즈마를 실행할 수 있다. 챔버(15) 안으로 인도된 임의의 반응 스피시즈(reactive species)의 증착을 강화하기 위해 RF 전력 공급원(25)은 단일 주파수 RF 전력 또는 혼합 주파수 RF 전력 중 어느 하나를 가스 분배 플레이트(11)에 공급할 수 있다. 혼합 주파수 RF 전력 공급원은 전형적으로 약 13.56MHz의 높은 RF 주파수(RF1)와, 약 350kHz의 낮은 RF 주파수(RF2)로 전력을 공급한다.
전형적으로, 챔버 라이닝(chamber lining), 가스 분배 플레이트(11), 서셉터(12) 및 다른 프로세싱 챔버 하드웨어는 알루미늄 또는 양극산화(피막) 처리된 알루미늄으로 이루어진다. CVD 프로세싱 챔버의 실례는, "열 CVD/PECVD 프로세싱 챔버와, 이산화 실리콘의 열 화학 기상 증착과 연속 다단계 평탄화 프로세스를 위한 이용(A Thermal CVD/PECVD Processing chamber and Use for Thermal Chemical Vapor Deposition of Silicon Dioxide and In-situ Multi-step Planarized Process)"라는 발명의 명칭의 미국특허 제 5,000,113 호에 개시되어 있으며, 본 발명과 일관성 없는 정도로 참조로서 여기에 첨부되어 있다.
리프트 모터(14)는 프로세싱 위치와 낮은 기판 로딩 위치 사이에서 서섭테(12)를 상승시키고 하강시킨다. 이러한 리프트 모터(14), 가스 혼합 시스템(19), 및 RF 전력 공급원(25)을 시스템 제어기(34)가 제어선(36)상으로 제어한다. 프로세싱 챔버는 질량 유량 제어기(MFCs) 및 표준 또는 펄스식 RF 발전기와같은 아나로그 조립체를 포함하는데, 이러한 아나로그 조립체는 메모리(38)에 저장된 시스템 제어 소프트웨어를 실행하는 시스템 제어기(34)에 의해 제어되며, 본 발명의 바람직한 실시예서는 하드 디스크 드라이브에 해당한다. 진공 펌프(32)의 스로틀 밸브와 서셉터(12)를 위치시키기 위한 모터와 같은 이동식 기계 조립체의 위치를 이동시켜서 결정하는데 리프트 모터(14) 및 광센서가 이용된다.
시스템 제어기(34)는 CVD 프로세싱 챔버의 작동을 제어하며 하드 디스크 드라이브, 플로피 디스크 드라이브 및 카드 랙(card rack)을 포함할 수도 있다. 이러한 카드 랙은 단일 보오드 컴퓨터(single board computer; SBC)와, 아나로그 및 디지털 입력/출력 기판과, 인터페이스 기판 과, 그리고 스테퍼 모터 제어 보오드(stepper motor controller boards)를 포함한다. 시스템 제어기는 보오드, 카드 케이지(card cage) 및 커넥터 크기와 유형을 형성하는 베사 모드 유러피언(VME; Versa Modular European) 표준을 따른다. 이러한 베사 모드 유러피언은 16 비트 어드레스 버스(address bus)와 24 비트 어드레스 버스를 구비하는 버스 구조체도 형성한다. 하드 디스크 드라이브(38) 상에 저장된 컴퓨터 프로그램의 제어하에서 시스템 제어기(34)가 작동한다. 컴퓨터 프로그램은 타이밍, 가스의 혼합, RF 전력 레벨, 서셉터 위치 및 특별 프로세스의 다른 매개변수를 표시한다.
여기에 나타낸 이러한 프로세스 챔버의 특정 실시예(10)는 본 발명을 설명하기 위해 제공된 것이므로 본 발명의 범위를 한정하는 것으로 분석되거나 해석되어선 안된다.
프로세스의 실례
이제 상술한 프로세싱 시스템을 이용하여 실시된 바람직한 프로세스와 관련하여 본 발명의 양상들을 설명한다. 본 발명의 양상들은 일반적으로, 탄화규소와같은 낮은 유전상수 층을 생산하기 위한 방법에 관한 것이며, 이러한 낮은 유전상수 층은 산소 확산이 방지되며 낮은 산소 함유량을 가지고 있고, 구리 금속화에서 부동화 및/또는 배리어(barrier) 층으로서 유용할 수도 있다. 낮은 유전상수 층의 밀도를 높여서 부동화 표면을 형성하도록 불활성 가스의 플라즈마에 이러한 낮은 유전상수 층을 노출시킴으로써, 낮은 유전상수 층 상에 부동화 니트라이드 표면을 형성하도록 니트로화 플라즈마에 이러한 낮은 유전상수 층을 노출시킴으로써, 또는 낮은 유전상수 층 안으로 산소 확산을 감소시키기 위해 낮은 유전상수 층 상에 얇은 부동화 층을 증착시킴으로써, 낮은 유전상수 층이 감소된 산소 함유량을 가지면서 제위치 또는 벗어난 위치에 형성될 수도 있다.
부동화 표면(passivating surface)은 여기에서 대체로, 대기 환경 하에서 또는 프로세스 오염에 의해 상기한 표면 또는 층이 노출될 수도 있는 습기, 산소, 및 다른 악조건들을 감소시키는 물질의 층, 또는 물질로서 정의된다. 부동화 표면은 구리와 같은 도전성 금속의 확산을 감소시킬 수도 있다. 제위치(in situ)라 함은 여기에서, 진공을 파괴하지 않거나 중간 오염 환경에 물질을 노출시키지 않고 동일 챔버 또는 동일 프로세싱 시스템에서 2이상의 프로세스를 실행하는 것으로서 정의된다.
일실시예에서, 낮은 유전상수(k) 유전층이 증착된 후, 여기에 설명된 본 발명에 따른 플라즈마 프로세스에 의해 처리되어 낮은 유전상수 유전층 상에 부동화 표면을 형성한다. 낮은 유전상수 유전체 부동화 및/또는 배리어 층으로서 이용하기 위해 이러한 플라즈마 프로세스는 탄화규소와 같은 낮은 유전상수 유전층을 처리하도록 이용될 수도 있다.
탄화규소를 포함하는 낮은 유전상수 유전층은, 약 10 내지 약 1000 표준 입방 센티미터(standard cubic centimeters; sccm)의 유량으로 플라즈마 프로세싱 챔버에 공급되는 탄소 함유 실리콘 공급원 물질로부터 증착된다. 탄소 함유 실리콘 공급원 물질을 함유하는 탄소는, 트리메틸시레인(trimethylsilane), 디메틸시레인(dimethylsilane), 메틸시레인(methylsilane) 및 이들의 화합물과 같은 유기 시레인 전구체(organosilane precursor)를 포함한다. 대안으로, 시레인과 메탄과 같이, 분리된 실리콘 함유 및 탄소 함유 프로세스 가스는 탄화규소의 증착을 위해 프로세싱 챔버 안으로 인도될 수 있다.
헬륨, 아르곤, 및 이들의 화합물과 같은 불활성 가스도 약 50 내지 약 5000 표준 입방 센티미터의 유량으로 챔버에 공급된다. 챔버 압력은 약 100 밀리토르(milliTorr) 내지 약 15 토르에서 유지된다. 증착 프로세스 동안 기판 표면 온도는 약 100℃ 내지 약 450℃에서 유지된다. 가스 분배 플레이트(11)는 기판 으로부터 약 200 mils 내지 약 600 mils(천분의 1인치)만큼 이격되어 있다.
단일 13.56MHz RF 전력 공급원으로부터 챔버(10)에 전력이 공급되어, 200mm 기판에 대해 약 0.3 watts/㎠ 내지 약 3.2watts/㎠의 전력 밀도, 또는 약 100watts 내지 약 1000watts의 전력 레벨에서 플라즈마를 형성한다. 플라즈마를 발생시키도록, 200mm 기판에 대해 약 0.9 watts/㎠ 내지 약 2.3watts/㎠의 전력 밀도, 또는 약 300watts 내지 약 700watts의 전력 레벨이 프로세싱 챔버에 공급되는 것이 바람직하다.
탄화규소 물질을 증착하기 위한 하나의 예시적인 프로세싱 방법은, 트리메틸시레인을 함유하는 프로세싱 가스를 약 30 표준 입방 센티미터 내지 500 표준 입방 센티미터의 유량으로 프로세싱 챔버에 도입시키는 단계와, 아르곤의 불활성 가스를 약 100 표준 입방 센티미터 내지 2000 표준 입방 센티미터의 유량으로 프로세싱 챔버 안으로 도입시키는 단계와, 약 3 토르 내지 약 10 토르의 챔버 압력을 유지시키는 단계와, 약 200℃ 내지 약 400℃로 기판 표면 온도를 유지시키는 단계와, 그리고 약 300watts 내지 약 700watts의 전력을 챔버에 공급하여 프로세싱 가스의 플라즈마를 발생시키는 단계를 포함한다.
상술한 프로세스에 의해 증착된 낮은 유전상수(k) 카바이드 층은 배리어 층으로서 이용하기 위해 약 5.5미만의 유전상수를 가지는 수소화된 탄화규소이다. 또한, 상술한 프로세싱 방법에서 증착된 낮은 유전상수(k) 탄화규소 층은 원자 농도로 약 30% 내지 약 45%의 층을 포함하는 수소를 가지는 약 1:1 몰비의 실리콘과 탄소의 층 화합물을 가지는 것으로 관찰되었다. 반도체 장치를 형성할 때 낮은 유전상수 탄화규소 층은 부동화 층, 배리어 층, 에칭 스탑(etch stops), 및 비반사 코팅으로서 이용될 수도 있다.
공동 출원 중인 미국특허 제 09/165,248 호(1998년 10월 1일 출원), 공동 출원 중인 미국특허 제 09/219,945 호(1998년 12월 23일 출원), 및 공동 출원 중인 미국특허 제 09/270,039 호(1999년 3월 16일 출원)에는, 낮은 유전상수를 가지는 탄화규소를 증착하기 위한 프로세스가 보다 완전하게 개시되어 있으며, 이들 미국특허는 본 발명과 일관되지 않을 정도로 여기에 참조로서 첨부되어 있다. 탄화규소를 증착하기 위해 여기에 기재된 실시예들은 본 발명을 설명하기 위해 제공된 것이며, 도시된 특정 실시예는 본 발명의 범위를 한정하는데 이용되지 말아야 한다. 또한, 본 발명은 탄화규소 층을 증착하기 위해 이용되는 다른 프로세스 및 물질을 고려한다.
불활성 가스 플라즈마 프로세스
본 발명의 일실시예에서, 상기한 바와 같이 증착된 낮은 유전상수 탄화규소는 층 표면의 밀도를 높이기 위해 불활성 가스에 노출되어 부동화 표면을 형성하며 층 안에 산소 확산을 감소시킨다. 프로세싱 챔버 안에 기판이 위치한 후, 약 200 표준 입방 센티미터 내지 약 2000 표준 입방 센티미터의 유량으로 헬륨, 아르곤 및 이들의 화합물의 그룹(group)으로부터 선택된 불활성 가스가 챔버 안으로 도입된다. 플라즈마 처리 프로세스동안 약 1 토르 내지 약 12 토르의 챔버 압력이 달성되어 유지된다. 기판은 약 100℃ 내지 450℃의 온도로 유지된다. 플라즈마 처리 공정동안 기판 온도는 약 350℃로 유지되는 것이 바람직하다.
200mm 기판에 대해 약 0.08 watts/㎠ 내지 약 6.4 watts/㎠의 RF 전력 밀도, 또는 약 25 watts 내지 약 2000 watts의 RF 전력 레벨을 프로세싱 챔버에 공급함으로써 불활성 가스의 플라즈마를 발생시킨다. 200mm 기판에 대해 약 0.3 watts/㎠ 내지 약 3.2 watts/㎠의 RF 전력 밀도, 또는 약 100 watts 내지 약 1000 watts의 RF 전력 레벨을 프로세싱 챔버에 공급하여 플라즈마를 발생시키는 것이 바람직하다. 플라즈마 처리는 약 30초 내지 약 120초 동안 실행한다. 증착된 층에 대한 플라즈마 처리는 낮은 유전상수 탄화규소 층의 증착과 함께 제위치에서 실행된다.
약 400 표준 입방 센티미터의 유량으로 200mm 기판을 포함하는 챔버 안으로 헬륨을 도입시킴으로써, 증착된 탄화규소 층에 대한 하나의 처리 프로세스를 실행한다. 처리 프로세스 동안 약 8 토르의 챔버 압력과 약 350℃의 기판 온도를 달성하여 유지시킨다. 탄화규소 층을 처리하도록 약 1.2 watts/㎠ 내지 약 1.6 watts/㎠의 RF 전력 밀도, 또는 약 400 watts 내지 약 500 watts의 RF 전력을 약 50초 동안 프로세싱 챔버로 공급함으로써 플라즈마를 발생시킨다.
증착된 탄화규소 층에 대한 바람직한 처리 프로세스의 실시예는, 200mm 기판을 포함하는 챔버 안으로 약 1300 표준 입방 센티미터의 유량으로 헬륨을 도입시키는 단계와, 약 8.77 토르의 챔버 압력을 유지시키는 단계와, 약 350℃의 기판 온도를 유지시키는 단계와, 플라즈마를 발생시키도록 프로세싱 챔버에 약 250 watts를 인가하는 단계와, 그리고 약 50초 동안 플라즈마를 유지시키는 단계에 의해 실행된다.
탄화규소 증착 챔버, 또는 동일한 일체 시스템 내부의 상이한 프로세싱 챔버 내부의 제위치에서, 즉 프로세스 사이에 진공을 파괴하지 않고 플라즈마 처리를 실행될 수도 있다. 여기에 기술된 불활성 가스 플라즈마 처리는 원자 농도가 약 6% 미만의 산소 함유량을 가지는 탄화규소를 발생시킨다.
도 2는 여기에 기술된 불활성 가스 플라즈마 프로세스에 따라 처리된 500Å 두께의 탄화규소 층의 스퍼터링 시간(min)에 관하여 원자 농도(원자 %)의 X선 광전자 분광법(XPS) 플롯을 도시한다. 이러한 플롯은, 층의 깊이와 관련하여, 수소를 제외한 층의 성분의 원자 농도를 나타낸다. 분(minute)당 약 20Å 내지 25Å의 비율로 물질을 제거하는, 층에 대한 각각 1분의 스퍼터링 후에 X선 광전자 분광법(XPS) 데이타가 집계된다. 도 2의 x축, 스퍼터링 시간은 층의 여러 깊이에서 성분의 농도를 나타내며, 스퍼터링 전개 과정의 시간에 따른 층에서 탄소는 C, 실리콘은 Si, 산소는 O, 그리고 질소는 N으로 표기되어 있다.
불활성 가스 플라즈마 처리 후에, 층의 산소 농도는 약 4% 내지 약 6%로 나타나는 것으로 관찰되었으며, 이러한 결과는 비처리된 탄화규소 층에서 발생되는 것으로 공지된 10% 내지 15%의 산소 농도의 예측값 미만이다.
탄소 및 수소와 같은 물질을 막(film)으로부터 제거하고, 이러한 물질 내에 형성된 동공(pores)을 제거하며, 그리고 내부에 형성된 공극(voids) 및 다른 이와같은 적층 결함을 제거하여 감소시킴으로써, 불활성 가스 내에 형성된 플라즈마 스피시즈가 층의 표면의 밀도을 상승시킨다. 하부 벌크(underlying bulk) 탄화규소 물질(약 390Å 내지 420Å)과 비교된 탄화규소 물질(약 90Å 내지 100Å)의 표면의 밀도의 비교는, 약 1.45 g/㎤ 내지 약 1.59g/㎤의 표면 밀도 대(versus) dir 2.08 g/㎤ 내지 약 2.14 g/㎤의 버크 밀도를 나타낸다.
표면의 밀도를 상승시키기 위해 층 안에서 산소 확산을 방지하는 부동화 층을 제공하는데, 이러한 부동화 층은 비처리된 탄화규소 층과 비교하여 인접 층에 대해 향상된 접착을 가질 뿐만 아니라 산소의 확산과 층 물질의 산화에 대해 향상된 저항을 가지는 층을 발생시킬 것으로 확신한다. 또한, 보다 밀도 높은 표면은 반도체 제조에 이용된, 구리와 같은 다른 물질의 확산을 보다 방지한다.
니트로화 가스 플라즈마 프로세스
본 발명의 다른 실시예에서, 낮은 유전상수 탄화규소 층이 니트로화 가스의 플라즈마에 노출되어 있어서, 탄화규소 층 상에 질소가 많은 표면을 형성한다. 탄화규소 층의 질소가 많은 표면은 질화규소, 실리콘 카본 니트라이드, 실리콘 옥시니트라이드(silicon oxynitride), 또는 실리콘 카본 옥시니트라이드를 포함하며, 여기에서는 질화 표면으로서 언급되어 있다. 니트로화 가스는 암모니아, 질소, 일산화질소, 및 이들의 화합물의 그룹에서 선택된다. 암모니아는 높은 프로세스 온도, 즉 250℃ 이상에서 용이하게 해리되며 플라즈마의 존재시에도 용이하게 해리되므로 일반적으로 니트로화 표면을 형성하기 위해 이용된다.
여기에 기술된 니트로화 표면은 다음 프로세스에 의해 탄화규소 층 상에 형성될 수도 있다. 프로세싱 챔버 내에 기판이 위치하고, 약 100 표준 입방 센티미터 내지 3000 표준 입방 센티미터의 유량으로 프로세싱 챔버 안으로 니트로화 가스가 도입된다. 프로세싱 챔버는 약 100 밀리토르 내지 약 25 토르의 압력으로 유지된다. 챔버의 압력은 약 1 토르 내지 약 12 토르에서 유지되는 것이 바람직하며, 약 5 토르 내지 약 10 토르에서 유지되는 것이 가장 바람직하다. 플라즈마 처리 동안 기판은 약 100℃ 내지 약 500℃의 온도에서 유지된다.
200mm 기판에 대해 약 0.08 watts/㎠ 내지 약 6.4 watts/㎠의 RF 전력 밀도, 또는 약 25 watts 내지 약 2000 watts의 RF 전력 레벨을 프로세싱 챔버에 공급함으로써 플라즈마가 발생한다. 200mm 기판에 대해 약 0.3 watts/㎠ 내지 약 3.2 watts/㎠의 RF 전력 밀도, 또는 약 100 watts 내지 약 1000 watts의 RF 전력 레벨을 공급하여 플라즈마를 발생시키는 것이 바람직하다. 약 10초 내지 약 120초 동안 니트로화 플라즈마에 층을 노출시켜서 약 50Å 내지 400Å 두께의 니트로화 표면을 발생시킨다. 탄화규소 증착과 동일한 챔버 내부, 또는 동일한 일체 시스템 내부의 상이한 챔버의 제위치에서 플라즈마 처리가 실행될 수도 있다.
증착된 낮은 유전상수 유전층에 대한 하나의 예시적인 니트로화 플라즈마 처리는, 위에 탄화규소 층이 형성된 200mm 기판을 포함하는 프로세스 챔버에 약 200 표준 입방 센티미터 내지 약 600 표준 입방 센티미터의 유량에서 암모니아를 제공하는 단계와, 약 8 토르 내지 약 9 토르의 챔버 압력을 유지시키는 단계와, 니트로화 과정 동안 약 300℃ 내지 약 400℃의 온도로 기판을 유지시키는 단계와, 약 1.2 watts/㎠ 내지 약 1.6 watts/㎠의 RF 전력 밀도, 또는 약 400 watts 내지 약 500 watts의 RF 전력 레벨을 프로세싱 챔버에 공급하여 플라즈마를 발생시키는 단계와, 그리고 탄화규소 층의 표면을 변경하도록 약 5초 내지 약 60초 동안 플라즈마를 유지하여 니트로화 표면을 발생시키는 단계를 포함한다. 플라즈마는 하나 이상의 처리 사이클에서 발생될 수도 있다. 대안으로, 약 20초 내지 약 60초 동안 탄화규소 층이 플라즈마 프로세스에 노출된다.
니트로화 가스는 아르곤과 헬륨과 같은 불활성 가스를 더 포함하여, 가스 유동과 플라즈마 반응을 안정시키는 것을 조력할 뿐만 아니라 층 표면의 처리를 돕는다. 니트로화 프로세스와 관련하여 이용될 수 있는 불활성 가스는 약 2000 표준 입방 센티미터 미만의 유량에서 프로세싱 챔버 안으로 도입된다. 니트로화 가스는 수소와 같은 반응 가스를 더 포함할 수도 있는데, 이러한 반응 가스는 니트로화 프로세스 동안 층 내에 존재하는 오염물질을 제거하는 것을 조력할 수 있다.
플라즈마 처리는 탄화규소 증착 챔버 내부, 또는 동일한 일체 시스템 내부의 상이한 프로세싱 챔버의 제위치에서, 즉 프로세스 사이에 진공을 파괴하지 않고 실행될 수 있다. 여기에 기재된 니트로화 가스 플라즈마 처리는, 원자 농도가 약 6% 미만의 산소 함유량을 가지는 탄화규소 층을 발생시키는 것으로 관찰되었다.
도 3는 여기에 기술된 바와 같이 증착된, 500Å 두께의 탄화규소 층의 스퍼터링 시간(min)에 관하여 원자 농도(원자 %)의 X선 광전자 분광법(XPS) 플롯을 도시한다. 약 400 표준 입방 센티미터의 유량으로 공급되는 암모니아를 이용하여, 약 8 토르의 챔버 압력을 유지시키며, 200mm 기판에 대해 약 350 watts의 RF 전력을 공급함으로써 플라즈마를 발생시키고, 약 350℃에서 기판 온도를 유지시켜서 탄화규소 층을 처리했다. 도 3의 y축은 원자 농도가 약 4%에서의 평균 산소 농도를 나타내며, 비처리된 탄화규소 층에서 관찰된 예측값은 10% 내지 15%의 산소 농도 미만이다.
탄화규소 층 상에 니트로화 표면을 형성하기 위해 니트로화 플라즈마 처리 방법의 일실시예에 니트로화 플라즈마를 이용하는 동안, 니트로화 가스는 탄화규소 층 위에 제공될 수도 있으며, 열반응과 같은 다른 반응은 탄화규소 층과 니트로화 가스 사이의 니트로화 반응을 용이하게 할 수도 있다.
본 발명의 다른 실시예에서, 열적으로 향상된 프로세스 동안, 증착된 유전상수 탄화규소 층이 니트로화 가스에 노출되어, 탄화규소 층으로부터 산소가 제거되어 탄화규소 층 상에 니트로화 표면이 형성될 수도 있다. 열 프로세스는 약 100 표준 입방 센티미터 내지 3000 표준 입방 센티미터의 유량으로 프로세싱 챔버 안으로 니트로화 가스를 도입시키는 단계와, 약 5 토르 내지 약 25 토르의 챔버 압력을 유지시키는 단계와, 그리고 처리 프로세스 동안 약 350℃ 내지 약 500℃의 온도로 기판을 유지시키는 단계를 포함한다. 대안으로, 챔버 압력은 어닐링(annealing) 프로세스 동안 약 8 토르 내지 10 토르에서 유지될 수도 있다. 기판은 어닐링 프로세스 동안 약 450℃의 온도에서 유지되는 것이 바람직하다.
질소가 탄화규소 층 안으로 병합되면서, 니트로화 표면은, 질화 규소, 실리콘 카본 니트라이드, 실리콘 옥시니트라이드 또는 실리콘 카본 옥시니트라이드의 얇은 부동화 니트로화 표면을 탄화규소 층 상에 형성할 것이다. 이러한 니트로화 표면은 비처리된 탄화규소 층에 비해 탄화규소 층 안으로 산소 확산을 보다 방지한다. 부동화 니트로화 표면은 또한 탄화규소 층의 유전상수를 상당히 증가시키지 않을 것이다. 탄화규소 층 표면의 니트로화는 무정형 탄화규소 층에 대해 질소의 원자 접합을 발생시켜 계면 접합 및 접착을 향상시키는 한편 인접 층과의 층간 결함을 감소시킬 것이다. 또한, 니트로화 표면은 산화규소를 함유한 층보다 물과 구리와 같은 다른 물질의 확산에 대해 보다 큰 저항을 가지므로, 구리 금속화 프로세스 내의 탄화규소 층의 배리어 특성을 향상시킨다.
도 4는 본 발명의 불활성 가스 또는 니트로화 플라즈마 중 어느 하나와 함께 탄화규소 층을 처리함으로써 형성된 구리 금속화 스택(stack)(100)내에 배리어 층과 같은 낮은 유전상수 탄화규소 층의 이용을 도시한다. 상술한 유전상수 탄화규소 증착 프로세스 방법을 이용하여 배리어 층(134)을 생성하였다. 실리콘 기판(130) 상에 약 5000Å 두께의 산화층(132)을 우선 증착함으로써 금속화스택(100)이 형성된다. 이후, 제 1 낮은 유전상수 탄화규소 배리어 층(134)이 약 800Å의 두께로 산화물 층(132) 상에 증착되었다. 다음에, 확산 방지 표면(136)을 제공하도록 상술한 불활성 가스 또는 니트로화 가스 프로세스 중 어느 하나에 의해 제 1 낮은 유전상수 탄화규소 배리어 층(134)을 플라즈마 처리한다. 다음, 제 1 낮은 유전상수 탄화규소 배리어 층(134)의 확산 방지 표면(136) 상에 약 5000Å 두께의 구리층(138)이 증착된다. 이러한 구리 층(138) 상에 약 800Å의 두께로 제 2 낮은 유전상수 탄화규소 배리어 층(140)이 증착되며, 이러한 제 2 낮은 유전상수 탄화규소 배리어 층(140) 상에 약 1000Å의 산화물 층(142)이 증착된다. 대안으로, 구리층 이전에, 질화티타늄(TiN) 또는 질화탄탈(TaN)과 같은 금속 질소 배리어 층을 증착하여, 구리층(138)에 의해 탄화규소 층(134) 안으로 층간 확산을 보다 제한한다. 상기 금속화 스택(100)은 예시의 목적을 위해 제공된 것이므로 본 발명의 범위를 제한하는 것으로 분석되거나 해석되어선 안된다. 예컨대, 탄화규소 층(140)은 이중 다마스크 구성(dual damascene fabrication)으로 형상(features)을 형성하기 위해 에칭 스탑으로서 또는 비반사 코팅으로서 실행될 수도 있다.
질화규소 부동화 층
다른 실시예에서, 얇은 부동화 층에 의해 실리콘 및 질소를 함유하는 탄화규소 층을 캐핑하는 것은 낮은 유전상수 탄화규소 층 안으로 산소 확산을 감소시킬 수도 있다. 이러한 부동화 층은 질화규소, 실리콘 카본 니트라이드, 실리콘 옥시니트라이드 또는 실리콘 카본 옥시니트라이드를 함유할 수도 있다. 약 50 표준 입방 센티미터 내지 1000 표준 입방 센티미터의 유량의 실리콘 함유 가스와, 약 50표준 입방 센티미터 내지 2000 표준 입방 센티미터의 유량의 질소 함유 가스를 프로세스 챔버 안으로 도입시킴으로써 실리콘 질화 층 상에 부동화 층이 증착된다. 실리콘 함유 가스는 시레인, 메틸시레인, 트리메틸시레인, 이들의 대체된 유도체, 및 이들의 화합물의 그룹으로부터 선택된다. 질소 함유 가스는 암모니아, 질소, 일산화질소 및 이들의 화합물로부터 선택된다. 실리콘 옥시니트라이드 부동화 층을 증착하도록 니트로화 가스가 일산화질소 내에서와 같이 산소를 함유할 수도 있다.
기판은 약 100℃ 내지 약 550℃의 온도에서 유지된다. 챔버 압력은 약 1 토르 내지 약 25 토르에서 유지된다. 증착 프로세스 동안 챔버 압력은 약 4 토르 내지 약 5 토르에서 유지되는 것이 바람직하다. 200mm 기판에 대해 약 0.3 watts/㎠ 내지 약 3.2 watts/㎠의 RF 전력 밀도, 또는 약 100 watts 내지 약 1000 watts의 RF 전력 레벨을 프로세싱 챔버에 공급하여 프로세싱 가스의 플라즈마를 발생시킨다.
질화규소 층은 약 25Å 내지 500Å의 두께로 증착될 수도 있다. 부동화 층은 약 50Å 내지 약 200Å의 두께로 증착되는 것이 바람직하다. 탄화규소 층 및 부동화 층을 이용하는 프로세싱 응용분야 따라 임의의 두께로 층을 증착할 수도 있음을 고려한다.
부동화 층은 동일 프로세싱 챔버 내부, 또는 동일 일체 시스템 내부의 상이한 챔버 내의 제 위치에, 즉 프로세스 사이에 진공을 파괴하지 않고 탄화규소 상에 부동화 층을 증착할 수도 있다. 오염물질과 산화물이 발생할 수도 있는 대기에 탄화규소 층이 노출되는 것을 방지하도록, 낮은 유전상수 탄화규소 층을 증착한 후에 부동화 층을 제위치에 증착하는 것이 바람직하다. 질화규소 및 실리콘 옥시니트라이드 층은 원자 농도가 약 5% 미만의 탄소 함유량을 가진다. 상술한 방법에 의해 질화규소 및 실리콘 옥시니트라이드가 증착되는 것으로서 설명하지만, 실리콘과 질소를 함유하는 부동화 층을 증착하는 것이 가능한 다른 방법 및 물질도 본 발명에 의해 고려된다.
도 5는 실리콘 기판 상에 상술한 바와 같이 증착되어, 상술한 단락에서와 같이 실리콘 및 질소를 함유한 층에 의해 캐핑된 500Å 두께의 탄화규소 층의 스퍼터링 시간(min)에 관하여 원자 농도(원자 %)의 X선 광전자 분광법(XPS) 플롯을 도시한다. 115 표준 입방 센티미터의 비율로 프로세싱 챔버 안으로 트리메틸시레인을 도입시키고, 약 1500 표준 입방 센티미터의 비율로 프로세싱 챔버 안으로 암모니아를 도입시키며, 약 4 토르 내지 약 5 토르에서 챔버 압력을 유지시키고, 200mm의 기판에 대해 약 350 watts의 RF 전력을 공급하여 플라즈마를 발생시키며, 트리메틸시레인과 암모니아를 반응시키는 한편 약 350℃의 온도에서 기판을 유지시킴으로써 부동화 층을 증착했다.
이러한 부동화 층은 약 400Å의 두께로 증착되었다. 부동화 층은 400Å미만의 두께로 증착되어 질화규소/탄화규소 스택의 유전상수 값의 총 임팩트를 최소화시킬 수도 있다. 도 5의 y축은, 부동화 층의 증착 후에, 약 2% 내지 약 4% 원자 농도의 층에서 평균 산소 농도를 나타내며, 이러한 평균 산소 농도는 비처리된 탄화규소 층에서 관찰된 예측값 10% 내지 15% 산소 농도 미만이다.
도 5에서 도시된 바와 같이, 스퍼터링 프로세스 약 20분 동안 줄곧 질화규소가 스퍼터링 되었으며 질화규소 층 성분이 측정되었다. 층 성분에 있어서 이러한 변화에서, 산소 농도는 탄화규소 층에서 산소 오염물질이 감소된 층의 연속 증착을 나타내는 약 2% 내지 약 4%의 관찰된 평균에서 변화하지 않았다. 얇은 부동화 층의 플라즈마 강화 증착은 탄화규소 상에 확산 방지 층을 발생시켜서, 배리어 층의 총 유전상수에 역효과를 주지 않고 산소에 대해 양호한 확산 방지를 가지는 일체식 배리어 층을 형성한다. 또한, 질화규소 및 실리콘 옥시니트라이드는 구리와 같은 도전 금속에 대해, 질화 규소 부동층이 탄화규소 배리어 특성을 향상시키는 보다 큰 확산 방지성을 갖는다.
도 6은 본 발명에 따라 질화규소 부동층에 의해 캐핑된 낮은 유전상수 탄화규소 배리어 층의 이용을 도시한다. 우선 실리콘 기판(230) 상에 약 5000Å 두께의 산화물 층(232)을 증착함으로써 금속화 스택(200)이 형성된다. 이후, 산화물 층(232) 상에 제 1 낮은 유전상수 탄화규소 배리어 층(234)이 상술한 프로세스에 의해 약 800Å의 두께로 증착된다. 다음에, 제 1 낮은 유전상수 탄화규소 배리어 층(234) 상에, 트리메틸시레인 및 암모니아의 플라즈마 강화 증착으로부터 질화규소 부동화 층(236)이 약 400Å의 두께로 증착된다. 이후, 질화규소 배리어 층(234)의 질화규소 층(236) 상에 약 5000Å 두께의 구리층(238)이 증착된다. 도시하지는 않지만, 질화티타늄(TiN) 또는 질화탄탈늄(TaN)과 같은 질화 금속 배리어 층도 구리층에 앞서 증착될 수도 있어서, 탄화규소 층(234) 안으로 구리 확산을 제한한다. 다음에, 구리층(238) 상에, 상술한 바와 같이 증착된 제 2 낮은 유전상수탄화규소 배리어 층(240)이 약 800Å의 두께로 증착된 후, 약 1000Å 두께의 산화물 층(242)이 증착된다.
일반적으로, 상술한 플라즈마 처리는 확산 방지를 향상시키며, 탄화규소와 같이, 낮은 유전상수 층의 인접 물질과의 향상된 층간 접합 및 접착을 제공할 것이다. 또한, 플라즈마 처리는, 증착된 탄화규소 층의 유전상수를 증가시키는 것으로부터 산소와 같은 오염물질을 감소시킬 뿐만 아니라 금속화의 혼합된 층의 저항 또는 임피던스(impedance)를 감소시킨다. 부동화 및/또는 배리어 층과 같이, 낮은 산소 함유량의 낮은 유전상수 물질은 구리의 층간 확산을 감소시킬 수도 있으며, 인터커넥트 라인 사이의 전기용량 커플링에 대한 부동화 및/또는 배리어 층의 기여를 최소화시킨다. 다음의 상세한 설명에서는 낮은 유전상수 실리콘 카바이드 층을 처리하는 것을 언급하는 한편, 본 발명은, 배경분야에서 공지된 다른 동공층 및 다른 낮은 유전상수 층에 대해 상술된 프로세스가 적용되며 반도체 제조에 이용됨을 고려한다.
이상의 상세한 설명은 본 발명의 바람직한 실시예에 관한 것이며, 본 발명이 기본 범주를 벗어나지 않는 본 발명의 다른 또는 추가의 실시예를 고안할 수도 있고, 본 발명의 범주는 다음의 청구범위에 의해 결정된다.
본 발명에 따라서, 낮은 유전상수 층의 밀도를 높이도록 불활성 가스의 플라즈마에 대해 낮은 유전상수 층을 노출시킴으로써, 이러한 층 상에 부동화 질소 표면을 형성하도록 니트로화 플라즈마에 대해 낮은 유전상수 층을 노출시킴으로써,또는 내부에 산소 확산을 감소시키기 위해 낮은 유전상수 층 상에 얇은 부동화 층을 증착시킴으로써, 이러한 층이 형성된다. 이러한 낮은 유전상수 층을 증착하여 제위치에서 연속하여 처리할 수도 있다.

Claims (31)

  1. 기판을 프로세싱하는 방법으로서,
    프로세싱 챔버 내의 상기 기판 상에 낮은 유전상수 층을 증착시키는 단계와,
    상기 프로세싱 챔버 안으로 프로세싱 가스를 도입시키는 단계와,
    상기 프로세싱 챔버 내에서 상기 프로세싱 가스의 플라즈마를 발생시키는 단계와, 그리고
    상기 프로세싱 가스의 플라즈마에 대해 상기 낮은 유전상수 층을 노출시키는 단계를 포함하는 방법.
  2. 제 1 항에 있어서, 상기 낮은 유전상수 층은 원자 농도가 약 6% 이하인 산소 함유량을 가지는 방법.
  3. 제 1 항에 있어서, 상기 낮은 유전상수 층이 탄화규소를 포함하는 방법.
  4. 제 1 항에 있어서, 상기 프로세싱 가스는 헬륨, 아르곤 및 이들의 화합물로 이루어지는 그룹으로부터 선택된 불활성 가스인 방법.
  5. 제 1 항에 있어서, 상기 프로세싱 가스의 플라즈마에 대해 상기 낮은 유전상수 층을 노출시키는 단계가 상기 낮은 유전상수 층의 표면의 밀도를 증가시키는 방법.
  6. 제 1 항에 있어서, 상기 프로세싱 가스는 암모니아, 질소, 일산화질소 및 이들의 화합물로 이루어지는 그룹으로부터 선택된 니트로화 가스인 방법.
  7. 제 6 항에 있어서, 상기 니트로화 가스가 상기 낮은 유전상수 층 상에 니트로화 표면을 형성시키는 방법.
  8. 제 1 항에 있어서, 상기 프로세싱 가스의 플라즈마에 대해 상기 낮은 유전상수 층을 노출시키는 단계는, 상기 플라즈마를 발생시키도록 약 0.08 watts/㎠ 내지 약 6.4watts/㎠의 전력 밀도를 상기 프로세싱 챔버에 공급하는 단계를 포함하는 방법.
  9. 제 8 항에 있어서, 상기 낮은 유전상수 층이 약 10초 내지 약 120초 동안 상기 플라즈마에 노출되는 방법.
  10. 제 1 항에 있어서, 상기 챔버 압력이 약 100 밀리토르 내지 약 25 토르인 방법.
  11. 제 1 항에 있어서, 상기 기판을 프로세싱하는 방법은,
    불활성 가스, 니트로화 가스, 또는 이들의 화합물의 프로세싱 가스를 약 3000 sccm 이하로 상기 프로세싱 챔버 안으로 도입시키는 단계와,
    약 1 토르 내지 약 12 토르의 압력에서 상기 프로세싱 챔버를 유지시키는 단계와,
    약 0.3 watts/㎠ 내지 약 3.3 watts/㎠의 전력 밀도를 상기 프로세싱 챔버에 공급함으로써 상기 플라즈마를 발생시키는 단계와, 그리고
    약 20초 내지 약 60초에서 플라즈마를 유지시키는 단계를 포함하는 방법.
  12. 제 11 항에 있어서, 상기 낮은 유전상수 층은 원자 농도가 약 6% 이하인 산소 함유량을 가지는 방법.
  13. 기판을 프로세싱 하는 방법으로서,
    프로세싱 챔버 내의 상기 기판 상에 탄화규소 층을 증착시키는 단계와,
    불활성 가스, 니트로화 가스 또는 이들의 화합물의 그룹으로부터 선택된 프로세싱 가스를 상기 프로세싱 챔버 안으로 도입시키는 단계와,
    상기 프로세싱 챔버 내에 상기 프로세싱 가스의 플라즈마를 발생시키는 단계와, 그리고
    상기 탄화규소 층 상에 부동화 표면을 형성하도록 상기 프로세싱 가스의 플라즈마에 대해 상기 탄화규소 층을 노출시킴으로써 상기 탄화규소 층의 표면을 변경시키는 단계를 포함하는 방법.
  14. 제 13 항에 있어서, 상기 불활성 가스는 헬륨, 아르곤 및 이들의 화합물로 이루어지는 그룹으로부터 선택되는 방법.
  15. 제 13 항에 있어서, 상기 프로세싱 가스는 불활성 가스이며, 상기 탄화규소 층의 표면의 밀도가 증가하는 방법.
  16. 제 13 항에 있어서, 상기 니트로화 가스는 암모니아, 질소, 일산화질소 및 이들의 화합물로 이루어지는 그룹으로부터 선택되는 방법.
  17. 제 13 항에 있어서, 상기 프로세싱 가스는 니트로화 가스를 포함하며, 상기 낮은 유전상수 층 상에 니트로화 표면이 형성되는 방법.
  18. 제 13 항에 있어서, 상기 프로세싱 가스의 플라즈마에 대해 상기 탄화규소 층을 노출시키는 단계는, 상기 플라즈마를 발생시키도록 약 0.3 watts/㎠ 내지 약 3.2 watts/㎠의 전력 밀도를 상기 프로세싱 챔버에 공급하는 단계를 포함하는 방법.
  19. 제 18 항에 있어서, 상기 낮은 유전상수 층이 약 10초 내지 약 120초 동안 상기 플라즈마에 노출되는 방법.
  20. 제 13 항에 있어서, 상기 챔버 압력이 약 1 토르 내지 약 12 토르인 방법.
  21. 제 13 항에 있어서, 상기 기판을 프로세싱하는 방법은,
    불활성 가스, 니트로화 가스, 또는 이들의 화합물의 프로세싱 가스를 약 3000 sccm 이하의 유량으로 상기 프로세싱 챔버 안으로 도입시키는 단계와,
    약 5 토르 내지 약 10 토르의 압력에서 상기 프로세싱 챔버를 유지시키는 단계와,
    약 1.2 watts/㎠ 내지 약 1.6 watts/㎠의 전력 밀도를 상기 프로세싱 챔버에 공급함으로써 상기 플라즈마를 발생시키는 단계와, 그리고
    약 20초 내지 약 60초에서 플라즈마를 유지시키는 단계를 포함하는 방법
  22. 제 13 항에 있어서, 상기 탄화규소 층이 배리어 층, 에칭 스탑, 부동화 층 또는 비반사 코팅인 방법.
  23. 제 13 항에 있어서, 상기 탄화규소 층은 원자 농도가 약 6% 이하인 산소 함유량을 가지는 방법.
  24. 기판 상에 낮은 유전상수 배리어 층을 형성하는 방법으로서,
    상기 기판 상에 탄화규소 층을 증착시키는 단계와, 그리고
    상기 탄화규소 층 상에 실리콘 및 질소를 포함하는 부동화 층을 증착시키는 단계를 포함하는 방법.
  25. 제 24 항에 있어서, 상기 부동화 층이 질화규소 또는 실리콘 옥시니트라이드를 포함하는 방법.
  26. 제 24 항에 있어서, 상기 부동화 층을 증착시키는 단계는,
    실리콘 함유 가스 및 질소 함유 가스를 기판을 포함하는 프로세스 챔버 안으로 도입시키는 단계와,
    상기 프로세스 챔버에서 플라즈마를 유발시키는 단계와, 그리고
    상기 플라즈마가 발생하는 경우 실리콘 함유 가스 및 질소 함유 가스를 반응시켜서, 실리콘 및 질소를 함유하는 부동화 층을 증착시키는 단계를 포함하는 방법.
  27. 제 26 항에 있어서, 상기 실리콘 함유 가스가 시레인, 메틸시레인, 트리메틸시레인, 대체된 이들의 유도체, 및 이들의 화합물의 그룹으로부터 선택되는 방법.
  28. 제 26 항에 있어서, 상기 질소 함유 가스가 암모니아, 질소, 일산화질소, 및 이들의 화합물로 이루어지는 그룹으로부터 선택되는 방법.
  29. 제 26 항에 있어서, 상기 플라즈마는, 약 0.3 watts/㎠ 내지 약 3.2 watts/㎠의 전력 밀도를 상기 챔버 내에 공급함으로써 발생되는 방법.
  30. 제 26 항에 있어서, 상기 챔버 압력이 약 1 토르 내지 약 25 토르인 방법.
  31. 제 24 항에 있어서, 상기 실리콘 및 질소를 포함하는 부동화 층이 약 25Å 내지 500Å의 두께로 증착되는 방법.
KR1020010042623A 2000-07-14 2001-07-14 확산을 감소시키도록 낮은 유전상수 k의 유전층을 처리하기 위한 방법 및 장치 KR100743775B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US21840700P 2000-07-14 2000-07-14
US60/218,407 2000-07-14

Publications (2)

Publication Number Publication Date
KR20020007224A true KR20020007224A (ko) 2002-01-26
KR100743775B1 KR100743775B1 (ko) 2007-07-30

Family

ID=22814987

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020010042623A KR100743775B1 (ko) 2000-07-14 2001-07-14 확산을 감소시키도록 낮은 유전상수 k의 유전층을 처리하기 위한 방법 및 장치

Country Status (5)

Country Link
US (1) US6794311B2 (ko)
EP (1) EP1172845A3 (ko)
JP (1) JP2002176100A (ko)
KR (1) KR100743775B1 (ko)
TW (1) TW521304B (ko)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100551471B1 (ko) * 2004-11-02 2006-02-14 주식회사 에이디피엔지니어링 기판 적재 장치
KR100790779B1 (ko) * 2006-06-09 2008-01-02 주식회사 아이피에스 갭 필 능력을 향상시킨 절연막 증착 방법
KR100839260B1 (ko) * 2003-11-06 2008-06-17 클리어 쉐이프 테크날러지즈, 인크. Ic 제작의 델타-형태 타이밍 예측
KR101048002B1 (ko) * 2003-12-26 2011-07-13 매그나칩 반도체 유한회사 반도체 소자의 장벽 금속층 형성방법
KR101236474B1 (ko) * 2003-03-07 2013-02-22 어플라이드 머티어리얼스, 인코포레이티드 층간 부착 개선 방법

Families Citing this family (244)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6274292B1 (en) * 1998-02-25 2001-08-14 Micron Technology, Inc. Semiconductor processing methods
US7804115B2 (en) 1998-02-25 2010-09-28 Micron Technology, Inc. Semiconductor constructions having antireflective portions
US6268282B1 (en) * 1998-09-03 2001-07-31 Micron Technology, Inc. Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks
US6828683B2 (en) * 1998-12-23 2004-12-07 Micron Technology, Inc. Semiconductor devices, and semiconductor processing methods
US6821571B2 (en) * 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US7067414B1 (en) * 1999-09-01 2006-06-27 Micron Technology, Inc. Low k interlevel dielectric layer fabrication methods
US6440860B1 (en) * 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
US6794311B2 (en) * 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
US6764958B1 (en) * 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6465366B1 (en) * 2000-09-12 2002-10-15 Applied Materials, Inc. Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
TW471134B (en) * 2001-02-27 2002-01-01 United Microelectronics Corp Manufacturing method for multilevel interconnects
US6472333B2 (en) 2001-03-28 2002-10-29 Applied Materials, Inc. Silicon carbide cap layers for low dielectric constant silicon oxide layers
US6750119B2 (en) * 2001-04-20 2004-06-15 International Business Machines Corporation Epitaxial and polycrystalline growth of Si1-x-yGexCy and Si1-yCy alloy layers on Si by UHV-CVD
US6495447B1 (en) * 2001-06-26 2002-12-17 Advanced Micro Devices, Inc. Use of hydrogen doping for protection of low-k dielectric layers
US6656837B2 (en) * 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
US6936309B2 (en) * 2002-04-02 2005-08-30 Applied Materials, Inc. Hardness improvement of silicon carboxy films
US6664202B2 (en) * 2002-04-18 2003-12-16 Applied Materials Inc. Mixed frequency high temperature nitride CVD process
US6993738B2 (en) * 2002-06-18 2006-01-31 Ip-First, Llc Method for allocating spare cells in auto-place-route blocks
JP2004103971A (ja) * 2002-09-12 2004-04-02 Hitachi High-Technologies Corp ダマシン処理方法、ダマシン処理装置および、ダマシン構造
US6946033B2 (en) * 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
US20040052969A1 (en) * 2002-09-16 2004-03-18 Applied Materials, Inc. Methods for operating a chemical vapor deposition chamber using a heated gas distribution plate
US6991959B2 (en) * 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
US6797643B2 (en) 2002-10-23 2004-09-28 Applied Materials Inc. Plasma enhanced CVD low k carbon-doped silicon oxide film deposition using VHF-RF power
DE10250889B4 (de) * 2002-10-31 2006-12-07 Advanced Micro Devices, Inc., Sunnyvale Verbesserte SiC-Barrierenschicht für eine Kupfermetallisierungsschicht mit einem Dielektrikum mit kleinem ε und Verfahren zur Herstellung derselben
US6932092B2 (en) * 2002-11-22 2005-08-23 Applied Materials, Inc. Method for cleaning plasma enhanced chemical vapor deposition chamber using very high frequency energy
US6939800B1 (en) * 2002-12-16 2005-09-06 Lsi Logic Corporation Dielectric barrier films for use as copper barrier layers in semiconductor trench and via structures
US6914014B2 (en) * 2003-01-13 2005-07-05 Applied Materials, Inc. Method for curing low dielectric constant film using direct current bias
JP4651076B2 (ja) * 2003-01-24 2011-03-16 日本エー・エス・エム株式会社 半導体基板上の絶縁膜の形成方法
US7592259B2 (en) * 2006-12-18 2009-09-22 Lam Research Corporation Methods and systems for barrier layer surface passivation
US7176144B1 (en) 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
US7241704B1 (en) 2003-03-31 2007-07-10 Novellus Systems, Inc. Methods for producing low stress porous low-k dielectric materials using precursors with organic functional groups
US7265061B1 (en) 2003-05-09 2007-09-04 Novellus Systems, Inc. Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties
US20050062164A1 (en) * 2003-09-23 2005-03-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for improving time dependent dielectric breakdown lifetimes
US7078336B2 (en) * 2003-11-19 2006-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for fabricating a copper barrier layer with low dielectric constant and leakage current
US7390537B1 (en) 2003-11-20 2008-06-24 Novellus Systems, Inc. Methods for producing low-k CDO films with low residual stress
DE10360933A1 (de) * 2003-12-23 2005-07-28 Infineon Technologies Ag Verfahren zum Herstellen einer Kapazität in einer Schicht aus Low-k-Material
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US7341761B1 (en) 2004-03-11 2008-03-11 Novellus Systems, Inc. Methods for producing low-k CDO films
US7381662B1 (en) 2004-03-11 2008-06-03 Novellus Systems, Inc. Methods for improving the cracking resistance of low-k dielectric materials
US7781351B1 (en) 2004-04-07 2010-08-24 Novellus Systems, Inc. Methods for producing low-k carbon doped oxide films with low residual stress
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US20050233555A1 (en) * 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
US7622400B1 (en) * 2004-05-18 2009-11-24 Novellus Systems, Inc. Method for improving mechanical properties of low dielectric constant materials
US7288205B2 (en) * 2004-07-09 2007-10-30 Applied Materials, Inc. Hermetic low dielectric constant layer for barrier applications
US7326444B1 (en) 2004-09-14 2008-02-05 Novellus Systems, Inc. Methods for improving integration performance of low stress CDO films
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7695765B1 (en) 2004-11-12 2010-04-13 Novellus Systems, Inc. Methods for producing low-stress carbon-doped oxide films with improved integration properties
US7253123B2 (en) * 2005-01-10 2007-08-07 Applied Materials, Inc. Method for producing gate stack sidewall spacers
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
US7510982B1 (en) 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
JP2006216809A (ja) * 2005-02-04 2006-08-17 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US20060286800A1 (en) * 2005-06-15 2006-12-21 Dominguez Juan E Method for adhesion and deposition of metal films which provide a barrier and permit direct plating
US7892985B1 (en) 2005-11-15 2011-02-22 Novellus Systems, Inc. Method for porogen removal and mechanical strength enhancement of low-k carbon doped silicon oxide using low thermal budget microwave curing
US7381644B1 (en) 2005-12-23 2008-06-03 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US7923376B1 (en) 2006-03-30 2011-04-12 Novellus Systems, Inc. Method of reducing defects in PECVD TEOS films
US8080282B2 (en) * 2006-08-08 2011-12-20 Asm Japan K.K. Method for forming silicon carbide film containing oxygen
US8465991B2 (en) * 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US7851232B2 (en) * 2006-10-30 2010-12-14 Novellus Systems, Inc. UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US7906174B1 (en) 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
US7795070B2 (en) * 2007-03-30 2010-09-14 Texas Instruments Incorporated Semiconductor device including an amorphous nitrided silicon adhesion layer and method of manufacture therefor
US8242028B1 (en) 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
US7622162B1 (en) 2007-06-07 2009-11-24 Novellus Systems, Inc. UV treatment of STI films for increasing tensile stress
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
US20090158999A1 (en) * 2007-12-24 2009-06-25 Qimonda Ag Manufacturing method for an integrated circuit comprising a multi-layer stack, corresponding integrated circuit and multi-layer mask
US20100186811A1 (en) * 2008-08-26 2010-07-29 Sixtron Advanced Materials, Inc. Silicon Carbonitride Antireflective Coating
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
JP5656010B2 (ja) * 2009-12-04 2015-01-21 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated ハードマスク膜を形成する方法およびハードマスク膜を成膜する装置
US8247332B2 (en) 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
US8349746B2 (en) * 2010-02-23 2013-01-08 Applied Materials, Inc. Microelectronic structure including a low k dielectric and a method of controlling carbon distribution in the structure
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
KR20120083142A (ko) * 2011-01-17 2012-07-25 삼성전자주식회사 반도체 장치 및 반도체 장치의 형성 방법
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
GB201110117D0 (en) * 2011-06-16 2011-07-27 Fujifilm Mfg Europe Bv method and device for manufacturing a barrie layer on a flexible substrate
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US8884268B2 (en) * 2012-07-16 2014-11-11 Taiwan Semiconductor Manufacturing Co., Ltd. Diffusion barrier layer for group III nitride on silicon substrate
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9887277B2 (en) * 2015-01-23 2018-02-06 Applied Materials, Inc. Plasma treatment on metal-oxide TFT
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10109476B2 (en) * 2016-07-01 2018-10-23 Lam Research Corporation Substrate processing method for depositing a barrier layer to prevent photoresist poisoning
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
JP2019106538A (ja) * 2017-12-07 2019-06-27 マイクロマテリアルズ エルエルシー 制御可能な金属およびバリアライナー凹部のための方法
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11508617B2 (en) 2019-10-24 2022-11-22 Applied Materials, Inc. Method of forming interconnect for semiconductor device
US11257677B2 (en) * 2020-01-24 2022-02-22 Applied Materials, Inc. Methods and devices for subtractive self-alignment

Family Cites Families (149)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1147014A (en) 1967-01-27 1969-04-02 Westinghouse Electric Corp Improvements in diffusion masking
US4028155A (en) 1974-02-28 1977-06-07 Lfe Corporation Process and material for manufacturing thin film integrated circuits
US4484809B1 (en) 1977-12-05 1995-04-18 Plasma Physics Corp Glow discharge method and apparatus and photoreceptor devices made therewith
JPS55158275A (en) 1979-05-28 1980-12-09 Hitachi Ltd Corrosion preventing method for al and al alloy
US4262631A (en) 1979-10-01 1981-04-21 Kubacki Ronald M Thin film deposition apparatus using an RF glow discharge
US4510178A (en) 1981-06-30 1985-04-09 Motorola, Inc. Thin film resistor material and method
JPS59128281A (ja) 1982-12-29 1984-07-24 信越化学工業株式会社 炭化けい素被覆物の製造方法
US4420386A (en) 1983-04-22 1983-12-13 White Engineering Corporation Method for pure ion plating using magnetic fields
EP0154483B1 (en) 1984-03-03 1989-12-27 Stc Plc Improved pulsed plasma process
JPH07111957B2 (ja) 1984-03-28 1995-11-29 圭弘 浜川 半導体の製法
US4759947A (en) 1984-10-08 1988-07-26 Canon Kabushiki Kaisha Method for forming deposition film using Si compound and active species from carbon and halogen compound
JPH0642482B2 (ja) 1984-11-15 1994-06-01 株式会社東芝 半導体装置の製造方法
JPS61210179A (ja) 1985-03-13 1986-09-18 Shin Etsu Chem Co Ltd ミクロト−ム用コ−ティング刃の製造方法
US4711698A (en) 1985-07-15 1987-12-08 Texas Instruments Incorporated Silicon oxide thin film etching process
US4872947A (en) 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4895734A (en) 1987-03-31 1990-01-23 Hitachi Chemical Company, Ltd. Process for forming insulating film used in thin film electroluminescent device
US5248636A (en) 1987-07-16 1993-09-28 Texas Instruments Incorporated Processing method using both a remotely generated plasma and an in-situ plasma with UV irradiation
US5121706A (en) 1987-10-16 1992-06-16 The Curators Of The University Of Missouri Apparatus for applying a composite insulative coating to a substrate
US4994410A (en) 1988-04-04 1991-02-19 Motorola, Inc. Method for device metallization by forming a contact plug and interconnect using a silicide/nitride process
GB8827933D0 (en) 1988-11-30 1989-01-05 Plessey Co Plc Improvements relating to soldering processes
DE3907857C1 (en) 1989-03-10 1990-05-23 Fraunhofer-Gesellschaft Zur Foerderung Der Angewandten Forschung Ev, 8000 Muenchen, De Method for preparing a layer of amorphous silicon carbide
US5011706A (en) 1989-04-12 1991-04-30 Dow Corning Corporation Method of forming coatings containing amorphous silicon carbide
US5232872A (en) 1989-05-09 1993-08-03 Fujitsu Limited Method for manufacturing semiconductor device
DE69025244T2 (de) 1989-08-25 1996-06-27 Applied Materials Inc Reinigungsverfahren für eine Anlage zur Behandlung von Halbleiterscheiben
JPH03105974A (ja) 1989-09-19 1991-05-02 Kobe Steel Ltd 多結晶ダイヤ薄膜合成によるシヨツトキー・ダイオードの製作法
US5043299B1 (en) 1989-12-01 1997-02-25 Applied Materials Inc Process for selective deposition of tungsten on semiconductor wafer
US4980196A (en) 1990-02-14 1990-12-25 E. I. Du Pont De Nemours And Company Method of coating steel substrate using low temperature plasma processes and priming
EP0449117A3 (en) 1990-03-23 1992-05-06 Matsushita Electric Industrial Co., Ltd. Organic polymer and preparation and use thereof
US5401613A (en) 1990-12-13 1995-03-28 Brewer Science Method of manufacturing microelectronic devices having multifunctional photolithographic layers
US5232871A (en) 1990-12-27 1993-08-03 Intel Corporation Method for forming a titanium nitride barrier layer
JP3000717B2 (ja) 1991-04-26 2000-01-17 ソニー株式会社 ドライエッチング方法
KR100255703B1 (ko) 1991-06-27 2000-05-01 조셉 제이. 스위니 전자기 rf연결부를 사용하는 플라즈마 처리기 및 방법
JPH05308107A (ja) 1991-07-01 1993-11-19 Sumitomo Electric Ind Ltd 半導体装置及びその製作方法
US5238866A (en) 1991-09-11 1993-08-24 GmbH & Co. Ingenieurburo Berlin Biotronik Mess- und Therapiegerate Plasma enhanced chemical vapor deposition process for producing an amorphous semiconductive surface coating
US5472829A (en) 1991-12-30 1995-12-05 Sony Corporation Method of forming a resist pattern by using an anti-reflective layer
US5472827A (en) 1991-12-30 1995-12-05 Sony Corporation Method of forming a resist pattern using an anti-reflective layer
US5242538A (en) 1992-01-29 1993-09-07 Applied Materials, Inc. Reactive ion etch process including hydrogen radicals
US5480300A (en) 1992-05-15 1996-01-02 Shin-Etsu Quartz Products Co. Ltd. Vertical heat-treating apparatus and heat insulator
US6004705A (en) 1992-07-07 1999-12-21 Toray Industries, Inc. Photosensitive ceramics green sheet
JP2885616B2 (ja) 1992-07-31 1999-04-26 株式会社東芝 半導体装置およびその製造方法
JPH0689880A (ja) 1992-09-08 1994-03-29 Tokyo Electron Ltd エッチング装置
JP2734915B2 (ja) 1992-11-18 1998-04-02 株式会社デンソー 半導体のドライエッチング方法
JPH07105441B2 (ja) 1992-11-30 1995-11-13 日本電気株式会社 半導体装置の製造方法
US5409543A (en) 1992-12-22 1995-04-25 Sandia Corporation Dry soldering with hot filament produced atomic hydrogen
KR970001883B1 (ko) 1992-12-30 1997-02-18 삼성전자 주식회사 반도체장치 및 그 제조방법
JPH0763105B2 (ja) 1993-02-12 1995-07-05 日本電気株式会社 印刷配線板の製造方法
TW347149U (en) 1993-02-26 1998-12-01 Dow Corning Integrated circuits protected from the environment by ceramic and barrier metal layers
US5360491A (en) 1993-04-07 1994-11-01 The United States Of America As Represented By The United States Department Of Energy β-silicon carbide protective coating and method for fabricating same
US5627105A (en) 1993-04-08 1997-05-06 Varian Associates, Inc. Plasma etch process and TiSix layers made using the process
JPH07142416A (ja) 1993-06-21 1995-06-02 Applied Materials Inc 改良された界面を有する層のプラズマ化学蒸着法
US5465680A (en) 1993-07-01 1995-11-14 Dow Corning Corporation Method of forming crystalline silicon carbide coatings
US5468978A (en) 1993-07-07 1995-11-21 Dowben; Peter A. Forming B1-x Cx semiconductor devices by chemical vapor deposition
FR2707673B1 (fr) 1993-07-16 1995-08-18 Trefimetaux Procédé de métallisation de substrats non-conducteurs.
KR0141659B1 (ko) 1993-07-19 1998-07-15 가나이 쓰토무 이물제거 방법 및 장치
KR970007116B1 (ko) * 1993-08-31 1997-05-02 삼성전자 주식회사 반도체장치의 절연층 형성방법 및 그 형성장치
KR950009260B1 (ko) * 1993-09-02 1995-08-18 주식회사코오롱 에어백용 포지의 제조방법
US5427621A (en) 1993-10-29 1995-06-27 Applied Materials, Inc. Method for removing particulate contaminants by magnetic field spiking
EP0652585A1 (de) 1993-11-02 1995-05-10 Leybold Aktiengesellschaft Verfahren und Vorrichtung zum Ätzen von dünnen Schichten, vorzugsweise von Indium-Zinn-Oxid-Schichten
JPH07202186A (ja) 1993-12-28 1995-08-04 Sony Corp 半導体装置の製造方法
US5451263A (en) 1994-02-03 1995-09-19 Harris Corporation Plasma cleaning method for improved ink brand permanency on IC packages with metallic parts
IT1271636B (it) 1994-05-04 1997-06-04 Alcatel Italia Metodo per la preparazione e la passivazione degli specchi terminali di laser a semiconduttore ad alta potenza di emissione e relativo dispositivo
JPH07307332A (ja) * 1994-05-10 1995-11-21 Nippon Telegr & Teleph Corp <Ntt> 表面清浄化法および薄膜形成法
WO1995032317A1 (de) 1994-05-20 1995-11-30 Widia Gmbh Werkzeug sowie verfahren und vorrichtung zur herstellung des werkzeuges und verwendung des werkzeuges
KR0144956B1 (ko) 1994-06-10 1998-08-17 김광호 반도체 장치의 배선 구조 및 그 형성방법
JP3326974B2 (ja) 1994-07-28 2002-09-24 ソニー株式会社 多層配線の形成方法および半導体装置の製造方法
US5736002A (en) 1994-08-22 1998-04-07 Sharp Microelectronics Technology, Inc. Methods and equipment for anisotropic, patterned conversion of copper into selectively removable compounds and for removal of same
EP0698590B1 (en) 1994-08-23 2003-10-22 AT&T Corp. Metallization of ceramics through application of an adherent reducible layer
JP2809113B2 (ja) 1994-09-29 1998-10-08 日本電気株式会社 半導体装置の製造方法
US5565084A (en) 1994-10-11 1996-10-15 Qnix Computer Co., Ltd. Electropolishing methods for etching substrate in self alignment
US5607542A (en) 1994-11-01 1997-03-04 Applied Materials Inc. Inductively enhanced reactive ion etching
JPH08186085A (ja) 1994-12-28 1996-07-16 Nec Corp 半導体装置の製造方法
US5818071A (en) 1995-02-02 1998-10-06 Dow Corning Corporation Silicon carbide metal diffusion barrier layer
JP3521587B2 (ja) * 1995-02-07 2004-04-19 セイコーエプソン株式会社 基板周縁の不要物除去方法及び装置並びにそれを用いた塗布方法
US5545592A (en) 1995-02-24 1996-08-13 Advanced Micro Devices, Inc. Nitrogen treatment for metal-silicide contact
US5710067A (en) 1995-06-07 1998-01-20 Advanced Micro Devices, Inc. Silicon oxime film
US5623160A (en) 1995-09-14 1997-04-22 Liberkowski; Janusz B. Signal-routing or interconnect substrate, structure and apparatus
US5789776A (en) 1995-09-22 1998-08-04 Nvx Corporation Single poly memory cell and array
JP3979687B2 (ja) * 1995-10-26 2007-09-19 アプライド マテリアルズ インコーポレイテッド ハロゲンをドープした酸化珪素膜の膜安定性を改良する方法
US5877087A (en) 1995-11-21 1999-03-02 Applied Materials, Inc. Low temperature integrated metallization process and apparatus
BE1009839A3 (fr) 1995-12-20 1997-10-07 Cockerill Rech & Dev Procede et dispositif pour le nettoyage d'un substrat metallique.
JPH09237785A (ja) 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
US5652166A (en) 1996-01-11 1997-07-29 United Microelectronics Corporation Process for fabricating dual-gate CMOS having in-situ nitrogen-doped polysilicon by rapid thermal chemical vapor deposition
JP3530667B2 (ja) 1996-01-19 2004-05-24 キヤノン株式会社 電子写真感光体およびその製造方法
US5849135A (en) 1996-03-12 1998-12-15 The Regents Of The University Of California Particulate contamination removal from wafers using plasmas and mechanical agitation
US5660682A (en) 1996-03-14 1997-08-26 Lsi Logic Corporation Plasma clean with hydrogen gas
US5741626A (en) 1996-04-15 1998-04-21 Motorola, Inc. Method for forming a dielectric tantalum nitride layer as an anti-reflective coating (ARC)
US5843847A (en) 1996-04-29 1998-12-01 Applied Materials, Inc. Method for etching dielectric layers with high selectivity and low microloading
US5821603A (en) 1996-05-29 1998-10-13 Microunity Systems Engineering, Inc. Method for depositing double nitride layer in semiconductor processing
US5780163A (en) 1996-06-05 1998-07-14 Dow Corning Corporation Multilayer coating for microelectronic devices
FR2751131B1 (fr) 1996-07-09 2001-11-09 Lg Electronics Inc Procede de fabrication d'un dispositif d'affichage a matrice active a cristal liquide et structure du dispositif d'affichage selon ce procede
US5869396A (en) 1996-07-15 1999-02-09 Chartered Semiconductor Manufacturing Ltd. Method for forming a polycide gate electrode
US5790365A (en) 1996-07-31 1998-08-04 Applied Materials, Inc. Method and apparatus for releasing a workpiece from and electrostatic chuck
US5970378A (en) 1996-09-03 1999-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-step plasma treatment process for forming low resistance titanium nitride layer
US5801098A (en) 1996-09-03 1998-09-01 Motorola, Inc. Method of decreasing resistivity in an electrically conductive layer
US5776235A (en) 1996-10-04 1998-07-07 Dow Corning Corporation Thick opaque ceramic coatings
US5711987A (en) 1996-10-04 1998-01-27 Dow Corning Corporation Electronic coatings
US5730792A (en) 1996-10-04 1998-03-24 Dow Corning Corporation Opaque ceramic coatings
US5827785A (en) 1996-10-24 1998-10-27 Applied Materials, Inc. Method for improving film stability of fluorosilicate glass films
US5779807A (en) 1996-10-29 1998-07-14 Applied Materials, Inc. Method and apparatus for removing particulates from semiconductor substrates in plasma processing chambers
GB2319533B (en) 1996-11-22 2001-06-06 Trikon Equip Ltd Methods of forming a barrier layer
GB2319532B (en) 1996-11-22 2001-01-31 Trikon Equip Ltd Method and apparatus for treating a semiconductor wafer
KR19980064441A (ko) 1996-12-20 1998-10-07 윌리엄비.켐플러 전도물질을 반도체 소자 표면에 선택적으로 결합시키는 방법
JP4142753B2 (ja) 1996-12-26 2008-09-03 株式会社東芝 スパッタターゲット、スパッタ装置、半導体装置およびその製造方法
DE19702124A1 (de) 1997-01-22 1998-07-23 Linde Ag Verfahren und Vorrichtung zum Reinigen, Aktivieren Benetzen und/oder Beschichten der Oberflächen von Werkstücken
US5834371A (en) 1997-01-31 1998-11-10 Tokyo Electron Limited Method and apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof
US6125859A (en) 1997-03-05 2000-10-03 Applied Materials, Inc. Method for improved cleaning of substrate processing systems
US5789316A (en) 1997-03-10 1998-08-04 Vanguard International Semiconductor Corporation Self-aligned method for forming a narrow via
US5817579A (en) 1997-04-09 1998-10-06 Vanguard International Semiconductor Corporation Two step plasma etch method for forming self aligned contact
DE19717698A1 (de) 1997-04-26 1998-10-29 Fraunhofer Ges Forschung Verfahren und Vorrichtung zur Reinigung von Aktivierung von elektrischen Leiterbahnen und Platinenoberflächen
JPH11162969A (ja) * 1997-11-28 1999-06-18 Seiko Epson Corp 半導体装置の製造方法
US6107192A (en) 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US6140226A (en) 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
JPH11256325A (ja) * 1998-03-10 1999-09-21 Okuma Engineering:Kk 結晶性SiC薄膜の製造方法
FR2775986B1 (fr) 1998-03-10 2000-05-05 Air Liquide Procede et installation de traitement de surface d'une piece metallique
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6054206A (en) 1998-06-22 2000-04-25 Novellus Systems, Inc. Chemical vapor deposition of low density silicon dioxide films
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
JP3248492B2 (ja) * 1998-08-14 2002-01-21 日本電気株式会社 半導体装置及びその製造方法
JP2000068261A (ja) * 1998-08-19 2000-03-03 Toshiba Corp 半導体装置の製造方法
JP2000150516A (ja) * 1998-09-02 2000-05-30 Tokyo Electron Ltd 半導体装置の製造方法
US6355571B1 (en) 1998-11-17 2002-03-12 Applied Materials, Inc. Method and apparatus for reducing copper oxidation and contamination in a semiconductor device
GB2361809B (en) * 1999-02-26 2003-11-05 Trikon Holdings Ltd A method of processing a polymer layer
JP3084367B1 (ja) 1999-03-17 2000-09-04 キヤノン販売株式会社 層間絶縁膜の形成方法及び半導体装置
US6251775B1 (en) 1999-04-23 2001-06-26 International Business Machines Corporation Self-aligned copper silicide formation for improved adhesion/electromigration
US6821571B2 (en) 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
EP1077477B1 (en) * 1999-08-17 2008-12-17 Applied Materials, Inc. Surface treatment of C-doped SiO2 film to enhance film stability during O2 ashing
US6593653B2 (en) 1999-09-30 2003-07-15 Novellus Systems, Inc. Low leakage current silicon carbonitride prepared using methane, ammonia and silane for copper diffusion barrier, etchstop and passivation applications
US6153512A (en) * 1999-10-12 2000-11-28 Taiwan Semiconductor Manufacturing Company Process to improve adhesion of HSQ to underlying materials
US6403464B1 (en) * 1999-11-03 2002-06-11 Taiwan Semiconductor Manufacturing Company Method to reduce the moisture content in an organic low dielectric constant material
US6373076B1 (en) * 1999-12-07 2002-04-16 Philips Electronics North America Corporation Passivated silicon carbide devices with low leakage current and method of fabricating
JP3348084B2 (ja) * 1999-12-28 2002-11-20 キヤノン販売株式会社 成膜方法及び半導体装置
US6136680A (en) * 2000-01-21 2000-10-24 Taiwan Semiconductor Manufacturing Company Methods to improve copper-fluorinated silica glass interconnects
US6784485B1 (en) * 2000-02-11 2004-08-31 International Business Machines Corporation Diffusion barrier layer and semiconductor device containing same
US6261929B1 (en) 2000-02-24 2001-07-17 North Carolina State University Methods of forming a plurality of semiconductor layers using spaced trench arrays
US6284657B1 (en) * 2000-02-25 2001-09-04 Chartered Semiconductor Manufacturing Ltd. Non-metallic barrier formation for copper damascene type interconnects
US6720249B1 (en) * 2000-04-17 2004-04-13 International Business Machines Corporation Protective hardmask for producing interconnect structures
US6284644B1 (en) * 2000-10-10 2001-09-04 Chartered Semiconductor Manufacturing Ltd. IMD scheme by post-plasma treatment of FSG and TEOS oxide capping layer
US6794311B2 (en) * 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
US6365527B1 (en) * 2000-10-06 2002-04-02 United Microelectronics Corp. Method for depositing silicon carbide in semiconductor devices
US6335274B1 (en) * 2000-11-17 2002-01-01 Macronix International Co., Ltd. Method for forming a high-RI oxide film to reduce fluorine diffusion in HDP FSG process
US6451687B1 (en) * 2000-11-24 2002-09-17 Chartered Semiconductor Manufacturing Ltd. Intermetal dielectric layer for integrated circuits
US6528432B1 (en) * 2000-12-05 2003-03-04 Advanced Micro Devices, Inc. H2-or H2/N2-plasma treatment to prevent organic ILD degradation
US6407013B1 (en) * 2001-01-16 2002-06-18 Taiwan Semiconductor Manufacturing Co., Ltd Soft plasma oxidizing plasma method for forming carbon doped silicon containing dielectric layer with enhanced adhesive properties
US6514850B2 (en) * 2001-01-31 2003-02-04 Applied Materials, Inc. Interface with dielectric layer and method of making
US6537733B2 (en) 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US6506692B2 (en) 2001-05-30 2003-01-14 Intel Corporation Method of making a semiconductor device using a silicon carbide hard mask
US6936309B2 (en) * 2002-04-02 2005-08-30 Applied Materials, Inc. Hardness improvement of silicon carboxy films

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101236474B1 (ko) * 2003-03-07 2013-02-22 어플라이드 머티어리얼스, 인코포레이티드 층간 부착 개선 방법
US8569166B2 (en) 2003-03-07 2013-10-29 Applied Materials, Inc. Methods of modifying interlayer adhesion
KR100839260B1 (ko) * 2003-11-06 2008-06-17 클리어 쉐이프 테크날러지즈, 인크. Ic 제작의 델타-형태 타이밍 예측
KR101048002B1 (ko) * 2003-12-26 2011-07-13 매그나칩 반도체 유한회사 반도체 소자의 장벽 금속층 형성방법
KR100551471B1 (ko) * 2004-11-02 2006-02-14 주식회사 에이디피엔지니어링 기판 적재 장치
KR100790779B1 (ko) * 2006-06-09 2008-01-02 주식회사 아이피에스 갭 필 능력을 향상시킨 절연막 증착 방법

Also Published As

Publication number Publication date
US6794311B2 (en) 2004-09-21
US20020016085A1 (en) 2002-02-07
EP1172845A3 (en) 2009-09-30
EP1172845A2 (en) 2002-01-16
TW521304B (en) 2003-02-21
JP2002176100A (ja) 2002-06-21
KR100743775B1 (ko) 2007-07-30

Similar Documents

Publication Publication Date Title
KR100743775B1 (ko) 확산을 감소시키도록 낮은 유전상수 k의 유전층을 처리하기 위한 방법 및 장치
US7144606B2 (en) Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US6632478B2 (en) Process for forming a low dielectric constant carbon-containing film
US6218301B1 (en) Deposition of tungsten films from W(CO)6
US8669181B1 (en) Diffusion barrier and etch stop films
US6656837B2 (en) Method of eliminating photoresist poisoning in damascene applications
US7547643B2 (en) Techniques promoting adhesion of porous low K film to underlying barrier layer
KR100857649B1 (ko) 화학 기상 증착에 의해 증착된 규소 층의 k값을감소시키는 방법
EP1148539A2 (en) Method of depositing low K films using an oxidizing plasma
US20030148223A1 (en) Method of depositing low dielectric constant silicon carbide layers
US20070004204A1 (en) Method for forming insulation film
KR100818953B1 (ko) 유기실리케이트층을 증착시키는 방법
US20030032305A1 (en) Method of depositing low dielectric constant carbon doped silicon oxide
KR20050020612A (ko) 저유전율막을 형성하는 방법
US6753258B1 (en) Integration scheme for dual damascene structure
KR20050091780A (ko) 저-k 유전체 재료의 크랙 한계 및 기계적 특성 개선 방법및 장치
US20120276301A1 (en) Adhesion improvement of dielectric barrier to copper by the addition of thin interface layer
US20090269923A1 (en) Adhesion and electromigration improvement between dielectric and conductive layers
US6632737B1 (en) Method for enhancing the adhesion of a barrier layer to a dielectric

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130628

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20140627

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20160629

Year of fee payment: 10

LAPS Lapse due to unpaid annual fee