JP2002176100A - 低k誘電層を処理して拡散を減少させる方法および装置 - Google Patents

低k誘電層を処理して拡散を減少させる方法および装置

Info

Publication number
JP2002176100A
JP2002176100A JP2001215713A JP2001215713A JP2002176100A JP 2002176100 A JP2002176100 A JP 2002176100A JP 2001215713 A JP2001215713 A JP 2001215713A JP 2001215713 A JP2001215713 A JP 2001215713A JP 2002176100 A JP2002176100 A JP 2002176100A
Authority
JP
Japan
Prior art keywords
layer
plasma
gas
silicon carbide
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2001215713A
Other languages
English (en)
Inventor
Kegang Huang
ヒュアン ケギャン
Judy L Huang
エル. ヒュアン ジュディー
Ping Xu
シュー ピン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2002176100A publication Critical patent/JP2002176100A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/931Silicon carbide semiconductor

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

(57)【要約】 【課題】 酸素の拡散に抵抗し、低酸素含有量を有する
低誘電率の層を堆積する方法および装置を提供する。 【解決手段】 層は、低誘電率の層を不活性ガスのプラ
ズマに暴露して低誘電率の層を高密度化するか、低誘電
率の層を硝化プラズマに暴露して層234上にパッシベ
ーション窒化物表面236を形成するか、或いは低誘電
率層234上に薄いパッシベーション層236を堆積し
てその中の酸素拡散を低減することにより、形成可能で
ある。低誘電率の層はin−situで堆積し処理する
ことができる。

Description

【発明の詳細な説明】
【0001】
【関連出願の相互参照】本願は、2000年7月14日
出願の米国特許暫定出願第60/218,407号によ
る優先権を主張し、これを参照によってここに組み込
む。
【0002】
【発明の背景】
【発明の分野】本発明は、一般的に基板上の集積回路の
製造、および誘電層への酸素の拡散を減少するための方
法に関する。
【0003】
【従来技術の背景】集積回路の設計および製造における
一貫したかなり予想可能な改善がこの十年間に観察され
てきた。成功する改善の1つの鍵は、集積回路(IC)
デバイスに導電路を提供する多層配線技術である。超大
規模集積(VLSI)および超々大規模集積(ULS
I)技術における、水平ラインおよび垂直コンタクト、
バイア、または配線といった導電性または半導性基板の
フィーチャの寸法縮小は、半導体デバイスの電流密度を
改善する重要性を増大させた。
【0004】集積回路上の半導体デバイスの電流密度を
さらに改善するためには、低抵抗および低誘電率(低
k)材料(ここでは、誘電層については約3.0より低
く、エッチストップおよび障壁層については約5.5よ
り低い誘電率kを有するものと定義する)を有する導電
性材料を絶縁層として使用して、隣接する配線間の容量
結合を低減することが必要になってきた。現在の誘電材
料は配線間にかなりの容量結合を有しており、これはク
ロストークおよび/または抵抗−静電容量(RC)遅
延、すなわち蓄積されたエネルギを散逸するのに必要な
時間を生じることがあり、それは半導体デバイスの全体
的性能を劣化する。
【0005】しかし、典型的な低k誘電材料は一般的に
多孔性であり、低k誘電材料への物質の層間拡散を防止
するために障壁層を必要とする。従来の障壁層の材料は
一般的に、窒化シリコンのように7.0より大きい誘電
率を有する。従来の障壁層材料を低k誘電材料と共に使
用すると、結果として得られる絶縁スタックは一般的に
低k材料の改善された誘電率の効果を最小にし、かつ
6.0よりあまり低くない誘電定数を有するスタックを
しばしば生じる。
【0006】伝統的な低k誘電材料を絶縁層として使用
することの1つの追加的問題は、集積回路における銅の
使用の増加である。銅(Cu)は、銅の低抵抗(1.7
μΩ−cm)および高電流容量のため、優れた配線材と
なりつつある。しかし、銅は周囲の材料により容易に拡
散し、隣接する層の電子デバイス特性を変化させること
があり、例えば誘導層に導電路を形成し、それにより総
合的回路の信頼性を軽減し、結果としてデバイスの故障
を生じることさえあり得る。特に多孔性であり、拡散を
受けやすい新低kフィルムとみなされる銅のこの拡散特
性は、低k特性とともに拡散抵抗障壁層の必要性が増加
する。
【0007】炭化シリコン(SiC)層は、低k障壁材
として使用するための潜在的候補として認識されてき
た。特に、本願と同一譲受人が所有し、本発明と矛盾し
ない範囲で参照によってここに組み込む、1998年1
0月1日出願の「A Silicon Carbide
Deposition For Use As AB
arrier Layer And An Etch
Stop」と称する米国特許出願第09/165,24
8号に開示されるように、炭化シリコン層は低k障壁層
として認識されている。
【0008】しかし、低k炭化シリコン層は多孔性であ
り、層、特に層の表面への酸素の拡散を受けやすい。酸
素は炭化シリコン層のシリコン材と反応して酸化物を形
成することがあり、これは炭化シリコンへの銅などの導
電性材料の拡散を増加し、障壁層の全体的効果を低減す
ると考えられる。炭化シリコン層への酸素の拡散は、炭
化シリコン層の堆積中、または層を酸化環境に暴露でき
る場合には処理チャンバとクラスタツールとの間の移送
中に、酸素への暴露によって発生し得る。
【0009】加えて、炭化シリコン層における酸素の取
込みは、隣接材料、特に銅などの導電性金属に対するこ
の層のボンディング、または接着に悪影響を及ぼし、結
果的に材料の層剥離を生じることがある。加えて、炭化
シリコン層に形成される酸化物は一般的に、隣接する炭
化シリコン材より低い密度を有する。層の表面および上
部分における低密度の酸化物の形成は結果として、膨ら
みまたは「ハンプ(humps)」として層の変形を生
じかねず、これは高アスペクト比のフィーチャを共形的
に充填する場合には特に望ましくなく、またその後に堆
積される材料にボイドまたは他の堆積欠陥を形成するか
もしれない。
【0010】したがって、低k層における酸素の拡散を
減少させる処理の必要性がある。理想的には、この処理
は、銅のメタライゼーションで使用される炭化シリコン
層など、障壁層の酸素含有量を低減する。
【0011】
【発明の概要】本発明の態様は一般的に、酸素拡散に抵
抗しかつ低酸素含有量を有し、銅のメタライゼーション
におけるパッシベーションおよび/または障壁層として
有用である可能性のある、炭化シリコンのような低誘電
率の層を生成する方法に関する。本発明の一態様では、
処理チャンバ内で基板上に低誘電率の層を堆積するステ
ップと、前記チャンバ内に処理ガスを導入するステップ
と、処理ガスのプラズマを発生させるステップと、低誘
電率の層を処理ガスのプラズマに暴露させるステップと
を含む、基板処理方法を提供する。
【0012】本発明の別の態様では、処理チャンバ内で
基板上に炭化シリコン層を堆積するステップと、処理チ
ャンバ内に不活性ガス、硝化ガス、およびそれらの組合
せのグループから選択した処理ガスを導入するステップ
と、処理ガスのプラズマを発生させるステップと、炭化
シリコン層を処理ガスのプラズマに暴露させて炭化シリ
コン層上にパッシベーション表面を形成することによっ
て炭化シリコン層の表面を変化させるステップとを含
む、基板処理方法を提供する。不活性ガスはヘリウム、
アルゴン、およびそれらの組合せを含むことができ、硝
化ガスはアンモニア、窒素、窒素と水素の混合物、亜酸
化窒素、およびそれらの組合せを含むことができる。
【0013】別の態様では、本発明は、基板上に低誘電
率の層を堆積するステップと、次いで低誘電率の層上に
薄いパッシベーション層を堆積するステップとを含む、
基板上に低誘電率障壁層を形成する方法を提供する。こ
のパッシベーション層は、窒化シリコンまたはオキシ窒
化シリコンなど、シリコンおよび窒素含有材を含む。
【0014】発明の上述の態様を達成する方法を詳しく
理解することができるように、添付の図面に示す発明の
実施形態を参照することにより、上で簡単に略述した発
明についてさらに特定的に説明する。
【0015】しかし、添付の図面は本発明の典型的な実
施形態を示すだけであり、したがって、発明は他の同様
に効果的な実施形態に適応させることができるので、そ
の範囲の限定とはみなされないことに注意されたい。
【0016】
【好適な実施例の詳細な説明】本発明を今から、カリフ
ォルニア州サンタクララにあるアプライドマテリアルズ
社から入手できるCentura(登録商標)プラット
フォームのような処理装置を用いて実行することができ
るプラズマ処理および化学気相成長法に関連して説明す
る。装置は、どちらもカリフォルニア州サンタクララの
アプライド・マテリアルズ社から入手できるDxZTM
VDチャンバまたはProducerTMCVDなどのC
VDチャンバを有する集積化プラットフォームを含むこ
とが好ましい。以下のチャンバの記述は例証であり、発
明の範囲の限定として解釈または判断すべきではない。
【0017】図1は、上述の処理を実行することができ
る、プラズマを発生させ維持することが可能なCVDチ
ャンバの断面図である。チャンバ10は、基板支持板ま
たはサセプタ12の上に配置されたガス分配板11を含
み、それらの間に基板16を処理するための処理領域を
画定する。サセプタ12は抵抗加熱することができ、サ
セプタ12がリフトモータ14によって下部ローディン
グ/オフローディング位置と上部即ち処理位置との間で
制御可能に移動できるように支持軸13上に装着され
る。サセプタ12が処理位置にあるとき、絶縁リング1
7がサセプタ12およびその上に配置された基板16の
周囲に配置される。処理ガスがガス分配板11の入口
(図示せず)を介してチャンバ10内に導入され、処理
領域および基板表面全体に半径方向に均等に分配され
る。処理ガスは、真空ポンプシステム32によってポー
ト24を介して排気される。
【0018】熱またはプラズマ強化プロセスをチャンバ
10内で実行することができ、好ましくはプラズマ強化
プロセスを本発明の堆積層処理後に使用する。プラズマ
プロセスでは、サセプタ12を接地してRF電源25か
らRFエネルギをガス分配板11に加えることにより、
制御されたプラズマを形成することができる。RF電源
25は、チャンバ15内に導入された反応種の分解を増
強するために、単一または混合周波数のいずれかのRF
電力をガス分配板11に供給することができる。混合周
波数のRF電源は一般的に、約13.56MHzの高R
F周波数(RF1)および約350kHzの低RF周波
数(RF2)を供給する。
【0019】一般的に、チャンバライニング、ガス分配
板11、サセプタ12、および様々な他の処理チャンバ
のハードウェアは、アルミニウムまたは陽極処理アルミ
ニウムから作成される。CVD処理チャンバの一例が、
Wangらに発行され、本発明の譲受人アプライドマテ
リアルズ社に譲渡され、本発明と矛盾しない範囲で参照
によってここに組み込む「A Thermal CVD
/PECVD Processing Chamber
and Use for ThermalChemi
cal Vapor Deposition of S
iliconDioxide and In−situ
Multi−step Planarized Pr
ocess」と称する米国特許第5,000,113号
に記載されている。
【0020】リフトモータ14はサセプタ12を処理位
置と下部基板ローディング位置との間で上昇および下降
させる。システム制御装置34は、制御線36を通して
モータ、ガス混合システム19、およびRF電源25を
制御する。処理チャンバは、好適な実施形態ではハード
ディスクドライブであるメモリ38に格納されたシステ
ム制御ソフトウェアを実行するシステム制御装置34に
よって制御される、質量流量制御装置(MFC)および
標準またはパルスRF発生装置などのアナログ組立体を
含む。真空ポンプ32およびサセプタ12を位置出しす
るためのモータなどの可動機構組立体を移動させ、その
位置を決定するために、モータおよび光センサを使用す
ることができる。
【0021】システム制御装置34はCVD処理チャン
バの活動を制御し、ハードディスクドライブ、フロッピ
ー(登録商標)ディスクドライブ、およびカードラック
を含むことができる。カードラックはシングルボードコ
ンピュータ(SBC)、アナログおよびデジタル入力/
出力ボード、インタフェースボード、およびステッパモ
ータコントローラボードを含む。システム制御装置は、
ボード、カードケージ、およびコネクタの寸法およびタ
イプを定義するVersa Modular Euro
peans(VME)標準に従う。VME標準はまた、
16ビットデータバスおよび24ビットアドレスバスを
有するバス構造も定義する。システム制御装置34は、
ハードディスクドライブ38に格納されたコンピュータ
プログラムの制御下で作動する。コンピュータプログラ
ムは、特定のプロセスのタイミング、ガスの混合、RF
電力レベル、サセプタ位置、および他のパラメータを指
示する。
【0022】ここで示す装置10の特定の実施形態は、
本発明を例証するために提供するものであり、発明の範
囲を制限するものと解釈または判断すべきではない。
【0023】
【例示的プロセス】本発明の態様を今から、上述した処
理装置を使用して実施される好適なプロセスに関連して
説明する。本発明の態様は一般的に、酸素拡散に抵抗
し、低酸素含有量を有し、銅のメタライゼーションでパ
ッシベーションおよび/または障壁層として有用である
可能性のある炭化シリコンといった低誘電率の層を生成
するための方法に関係する。この層は、低誘電率の層を
不活性ガスのプラズマに暴露することで層を高密度化し
てパッシベーション表面を形成するか、低誘電率の層を
硝化プラズマに暴露して層上にパッシベーション窒化物
表面を形成するか、或いは低誘電率の層上に薄いパッシ
ベーション層を堆積して層内への酸素拡散を低減するこ
とによって、低減された酸素含有量でin−situ又
はex−situ形成することができる。
【0024】パッシベーション表面とはここでは、周囲
の条件下で又はプロセス汚染によって表面または層が暴
露される、水分、酸素、および他の悪条件の拡散を低減
する材料、または材料の層として広く定義される。パッ
シベーション表面はまた、銅などの導電性材料の拡散も
低減することができる。in−situとはここでは、
真空を中断することなく、あるいは材料を中間汚染環境
に暴露することなく、2つまたはそれ以上のプロセスを
同一チャンバ内で、または同一処理装置内で実行するこ
ととして広く定義される。
【0025】一実施形態では、ここで記述する発明によ
るプラズマプロセスによって、低k誘電層を堆積し、次
いで処理して、低k誘電層上にパッシベーション表面を
形成する。プラズマプロセスは、低k誘電パッシベーシ
ョンおよび/または障壁層用に炭化シリコンなどの低k
誘電層を処理するのに使用することができる。
【0026】炭化シリコンを含む低k誘電層は、約10
から約1000標準立法センチメートル(sccm)の
間の流量でプラズマ処理チャンバに供給される、シリコ
ン源物質を包含する炭素から堆積することができる。シ
リコン源物質を包含する炭素は、トリメチルシラン、ジ
メチルシラン、メチルシラン、およびそれらの組合せな
ど、1つまたはそれ以上のオルガノシラン前駆体を含
む。代替的に、それぞれシランおよびメタンなど別個の
シリコン包含処理ガスおよび炭素包含処理ガスを、炭化
シリコンの堆積のために処理チャンバ内に導入すること
ができる。
【0027】ヘリウム、アルゴン、およびそれらの組合
せなどの不活性ガスも、約50sccmから約5000
sccmの間の流量でチャンバに供給される。チャンバ
の圧力は約100ミリトルから約15トルの間に維持す
る。堆積プロセス中、基板表面温度は約100℃から約
450℃の間に維持する。ガス分配板11は、基板から
約200milから約600mil(1インチの100
0分の1単位)離して配置する。
【0028】単一の13.56MHzのRF電力源から
の電力をチャンバ10に供給して、200mmの基板の
場合、約0.3ワット/cm2から約3.2ワット/c
2の間の電力密度、または約100ワットから約10
00ワットの間の電力レベルでプラズマを形成する。2
00mmの基板の場合、約0.9ワット/cm2から約
2.3ワット/cm2の間の電力密度、または約300
ワットから約700ワットの間の電力レベルを処理チャ
ンバに供給してプラズマを発生させることが好ましい。
【0029】炭化シリコン材を堆積するための1つの例
示的処理方式は、トリメチルシランを含む処理ガスを約
30sccmから500sccmの間の流量で処理チャ
ンバに導入し、不活性ガスのアルゴンを約100scc
mから約2000sccmの間の流量で処理チャンバ内
に導入し、チャンバの圧力を約3トルから約10トルの
間に維持し、基板表面温度を約200℃から約400℃
の間に維持し、約300ワットから700ワットの間で
チャンバに電力供給して処理ガスのプラズマを発生させ
ることを含む。ガス分配板11は、基板から約300m
ilから約600milの間離して配置する。
【0030】上述したプロセスによって堆積した低k炭
化シリコン層は、障壁層用の約5.5またはそれ以下の
誘電率を有する水素化炭化シリコン層である。さらに、
上述の処理方式で堆積した低k炭化シリコン層は、シリ
コンと炭素のモル比が約1:1の層組成を有し、水素が
原子濃度で層の約30%から約45%含有されることが
観察された。低k炭化シリコン層は、半導体デバイスの
形成におけるパッシベーション層、障壁層、エッチスト
ップ、および反射防止膜として使用することができる。
【0031】低誘電率の炭化シリコン層を堆積するプロ
セスは、1998年10月1日出願の同時係属米国特許
出願第09/165,248号、1998年12月23
日出願の同時係属米国特許出願第09/219,945
号、1999年3月16日出願の同時係属米国特許出願
第09/270,039号により詳しく記載されてお
り、これらの記述を本発明と矛盾しない範囲で参照によ
ってここに組み込む。炭化シリコン層を堆積するための
ここで記載する実施形態は、発明を説明するために提供
するものであって、図示する特定の実施形態を、発明の
範囲を制限するために使用すべきではない。本発明は、
炭化シリコン層を堆積するために他のプロセスおよび材
料を使用することも企図している。
【0032】
【不活性ガスプラズマプロセス】本発明の一実施形態で
は、上記の堆積した低k炭化シリコン層を不活性ガスの
プラズマに暴露して層表面を高密度化し、パッシベーシ
ョン表面を形成し、層内への酸素拡散を低減する。基板
を処理チャンバ内に配置し、ヘリウム、アルゴン、およ
びそれらの組合せのグループから選択した不活性ガスを
約200sccmから約2000sccmの間の流量で
チャンバ内に導入する。約1トルから約12トルの間の
チャンバ圧力を達成し、プラズマ処理プロセス中維持す
る。基板は約100℃から約450℃の間の温度に維持
する。基板温度は、プラズマ処理プロセス中、約350
℃に維持することが好ましい。
【0033】不活性ガスのプラズマは、200mmの基
板の場合、0.08ワット/cm2から約6.4ワット
/cm2の間のRF電力密度、または約25から約20
00ワットの間のRF電力レベルを処理チャンバに供給
することによって発生する。200mmの基板の場合、
プラズマを発生させるために約0.3ワット/cm2
ら約3.2ワット/cm2の間のRF電力密度、または
約100から約1000ワットのRF電力レベルを供給
することが好ましい。プラズマ処理は、約30から約1
20秒の間、実行する。堆積した層のプラズマ処理は、
低k炭化シリコン層の堆積とin−situ 実行する
ことができる。
【0034】堆積した炭化シリコン層用の1つの処理プ
ロセスは、200mmの基板を含むチャンバ内に約40
0sccmの流量でヘリウムを導入することによって実
行される。約8トルのチャンバ圧力および約350℃の
基板温度が達成され、処理プロセス中維持される。プラ
ズマは、約1.2ワット/cm2から約1.6ワット/
cm2の間のRF電力密度または約400ワットから5
00ワットの間のRF電力を約50秒間処理チャンバに
供給することによって発生し、炭化シリコン層を処理す
る。
【0035】堆積した炭化シリコン層の好適な処理プロ
セスの一例は、200mmの基板を含むチャンバ内にヘ
リウムを約1300sccmの流量で導入し、約8.7
トルのチャンバ圧力を維持し、約350℃の基板温度を
維持し、処理チャンバに約250ワットを印加してプラ
ズマを発生させ、プラズマを約50秒間維持することに
よって実行される。
【0036】プラズマ処理は、炭化シリコン堆積チャン
バ内または同一集積化装置内の異なる処理チャンバ内
で、プロセス間で真空を中断することなく、in−si
tu実行することができる。ここに記載した不活性ガス
プラズマ処理は、約6%未満の原子濃度の酸素含有率を
有する炭化シリコン層を生成することが観察された。
【0037】図2は、ここに記載した不活性ガスプラズ
マプロセスにより処理した500Å厚さの炭化シリコン
層のスパッタ時間(分)に対する原子濃度(原子%)の
X線光電子分光(XPS)グラフを示す。このグラフ
は、層の厚さに対する、水素を除く層の元素の原子濃度
を描く。XPSデータは、毎分約20Åおよび約25Å
の速度で材料を除去するスパッタを層に1分行う毎に収
集される。図2のx軸のスパッタ時間は、層の様々な深
さにおける元素の濃度の指標である。図2のy軸はスパ
ッタの進行時間による層内の元素の濃度を示し、ここで
炭素はCで表示され、シリコンはSiで表示され、酸素
はOで表示され、窒素はNで表示される。
【0038】層の平均酸素濃度は、不活性ガスプラズマ
処理の後では約4%から約6%の間であることが実証さ
れることが観察された。これは、処理しない炭化シリコ
ン層で生じることが知られていた10%ないし15%の
予想酸素濃度より低い。
【0039】不活性ガスで形成されるプラズマ種が、炭
素および水素などの物質を膜から除去し、材料に形成さ
れた細孔を除去し、そこに形成されたボイドおよび他の
そのような層欠陥を除去または低減することによって、
層の表面を高密度化すると考えられる。下にあるバルク
炭化シリコン材(約390Åないし420Å)と比較し
た炭化シリコン材(約90Åないし100Å)の表面の
密度の比較は、バルクの密度が約2.08g/cm3
よび約2.14g/cm3であるのに対して、約1.4
5g/cm3ないし約1.59g/cm3の表面密度を示
した。
【0040】さらに、表面の高密度化が層への酸素拡散
に抵抗するパッシベーション表面をもたらし、これによ
り、処理しない炭化シリコン層と比較して、酸素の拡散
および層材料の酸化に対して増強された抵抗力のみなら
ず、隣接する層への改善された接着性も有する層が生成
されるものと考えられる。また、表面の密度が高いほ
ど、半導体製造で使用される銅といった他の物質の拡散
に対して抵抗が高いことも考えられる。
【0041】
【硝化ガスプラズマプロセス】発明の別の実施形態で
は、低k炭化シリコン層を硝化ガスのプラズマに暴露し
て、炭化シリコン層上に窒素分の多い表面を形成する。
炭化シリコン層の窒素分の多い表面は、窒化シリコン、
窒化シリコン炭素、オキシ窒化シリコン、またはオキシ
窒化シリコン炭素を含むことができ、ここでは窒化表面
と呼ぶ。硝化ガスは、アンモニア、窒素、亜酸化窒素、
およびそれらの組合せのグループから選択する。アンモ
ニアは高い処理温度、つまり250℃より高い温度で分
解し易く、かつプラズマの存在下で分解し易いため、窒
化表面の形成には一般的にアンモニアが使用される。
【0042】ここで記載する窒化表面は、以下のプロセ
スによって炭化シリコン層上に形成することができる。
基板を処理チャンバ内に配置し、約100から約300
0sccmの間の流量で処理チャンバに硝化ガスを導入
する。処理チャンバを約100ミリトルから約25トル
の間の圧力に維持する。チャンバの圧力は、約1トルか
ら約12トルの間に維持することが好ましく、約5から
約10トルの間の圧力が最も好ましい。プラズマ処理
中、基板を約100℃から約500℃の間の温度に維持
する。
【0043】プラズマは、200mmの基板の場合、約
0.08ワット/cm2から約6.4ワット/cm2の間
の電力密度、または約25から約2000ワットの間の
RF電力レベルを処理チャンバに供給することによって
発生する。200mmの基板の場合、約0.3ワット/
cm2から約3.2ワット/cm2の間のRF電力密度、
または約100から約1000ワットの間のRF電力レ
ベルを供給してプラズマを生成することが好ましい。層
を硝化プラズマに約10秒から約120秒の間暴露し
て、厚さ約50Å(オングストローム)から約400Å
の間の硝酸塩表面を生成する。プラズマ処理は、炭化シ
リコンの堆積と同一チャンバ内で、または同一集積化装
置内の異なるチャンバ内でin−situ 実行するこ
とができる。
【0044】堆積した低k誘電層の1つの例示的硝化プ
ラズマ処理は、炭化シリコン層が上に形成された200
mmの基板を含む処理チャンバに、約200sccmか
ら約600sccmの間の流量でアンモニアを提供し、
チャンバ圧力を約8トルから約9トルの間に維持し、硝
化ステップ中基板を約300℃から約400℃の間の温
度に維持し、約1.2ワット/cm2から約1.6ワッ
ト/cm2の間のRF電力密度、または約400ワット
から約500ワットの間のRF電力を処理チャンバに供
給してプラズマを発生させ、プラズマを約5から約60
秒の間維持して、炭化シリコン層の表面を変化させて硝
酸塩表面を生成することを含む。プラズマは1回以上の
処理サイクルで発生させてよい。代替的に、炭化シリコ
ン層は約20から約60秒の間プラズマプロセスに暴露
する。
【0045】層の表面の処理を助けるためだけでなく、
ガス流およびプラズマ反応の安定化を助けるためにも、
硝化ガスはさらに、アルゴンおよびヘリウムなどの不活
性ガスを含むことができる。硝化プロセスと共に使用で
きる不活性ガスを、約2000sccm未満の流量で処
理チャンバ内に導入する。硝化ガスはまた、硝化プロセ
ス中に層内の不純物を除去するのを助けることができ
る、水素などの反応ガスを含むことができる。
【0046】プラズマ処理は、プロセス間で真空を中断
することなく、炭化シリコンの堆積チャンバ、または同
一集積化装置内の異なる処理チャンバでin−situ
実行可能である。ここで記載する硝化ガスプラズマ処
理は、約6%未満の原子濃度の酸素含有率を有する炭化
シリコン層を生成することが観察された。
【0047】図3は、ここで記載するようにシリコン基
板上に堆積された厚さ500Åの炭化シリコン層のスパ
ッタ時間(分)に対する原子濃度(原子%)のX線光電
子分光(XPS)グラフを示す。アンモニアを約400
sccmの流量で使用し、約8トルのチャンバ圧力を維
持し、200mmの基板の場合約350ワットのRF電
力を供給することによってプラズマを発生させ、基板温
度を約350℃に維持して、炭化シリコン層を処理し
た。図3のy軸は、処理しない炭化シリコン層で観察さ
れた10%ないし15%の予想酸素濃度より低い、約4
%の原子濃度の層内における平均酸素濃度を示す。
【0048】プラズマ処理法の一実施形態では、炭化シ
リコン層上に窒化表面を形成するために窒素プラズマを
使用するが、硝化ガスを層全体に提供することもでき、
熱反応など異なる反応により層と硝化ガスとの間の硝化
反応を促進することができる。
【0049】発明のこの代替実施形態では、堆積した低
k炭化シリコン層を、熱強化プロセス中に硝化ガスに暴
露させて、層から酸素を排出させ、層上に窒化表面を形
成することができる。熱プロセスは、約100から約3
000sccmの間の流量で硝化ガスを処理チャンバ内
に導入し、チャンバ圧力を約5トルから約25トルの間
に維持し、処理プロセス中基板を約350℃から約50
0℃の間の温度に維持することを含む。代替的に、アニ
ールプロセス中、チャンバ圧力を約8トルから約10ト
ルの間に維持してもよい。基板は、アニールプロセス
中、約450℃の温度に維持することが好ましい。
【0050】窒化表面は、窒素が層内に取り込まれると
きに、炭化シリコン層上に窒化シリコン、窒化シリコン
炭素、オキシ窒化シリコン、またはオキシ窒化シリコン
炭素の薄いパッシベーション窒化物表面を形成すると考
えられる。窒化表面は、炭化シリコン層への酸素拡散に
対する抵抗力が、処理しない炭化シリコン層より大き
い。さらに、パッシベーション窒化表面は、炭化シリコ
ン層の誘電率を目立つほどには増加しないことも考えら
れる。さらに、層表面の硝化は非晶質炭化シリコン層へ
の窒素の原子結合を生じ、それにより隣接する層との層
間欠陥を減少しながら、界面結合および接着性を増強す
ることが考えられる。さらに、窒化表面は、酸化シリコ
ンを含む層より、水および銅など他の物質の拡散に対し
大きい抵抗を有し、それにより銅メタライゼーションプ
ロセスにおける炭化シリコン層の障壁特性が強化される
ことが観察された。
【0051】図4は、本発明の不活性ガスまたは硝化プ
ラズマのいずれかで炭化シリコン層を処理することによ
って形成される銅メタライゼーションスタック100に
おける障壁層として、低k炭化シリコン層を使用するこ
とを示す。障壁層134は、上述した低k炭化シリコン
堆積プロセス方式を使用して形成した。メタライゼーシ
ョンスタック100は、最初にシリコン基板130上に
厚さ約5000Åの酸化物層132を堆積することによ
って形成される。次いで酸化物層132の上に第1低k
炭化シリコン障壁層134を約800Åの厚さに堆積し
た。次に、ここで記載する不活性ガスまたは硝化ガスプ
ロセスのいずれかによって、第1低k炭化シリコン障壁
層134をプラズマ処理することによって、拡散抵抗表
面136を提供する。次に、厚さ約5000Åの銅層1
38を、第1低k炭化シリコン障壁層134の拡散抵抗
表面136上に堆積する。銅層138の上に第2低k炭
化シリコン障壁層140を約800Åの厚さに堆積し、
第2低k炭化シリコン障壁層140の上に厚さ約100
0Åの酸化物層142を堆積する。代替的に、銅層13
8による炭化シリコン層134への層間拡散をさらに限
定するために、銅層の前に、窒化チタン(TiN)また
は窒化タンタル(TaN)などの金属窒化物障壁層を堆
積することもできる。上記メタライゼーションスタック
100は説明のために提供したものであり、発明の範囲
を限定するものとして解釈または判断すべきではない。
例えば、炭化シリコン層140は、デュアルダマシン製
造においてフィーチャが形成されるようにエッチストッ
プまたは反射防止膜として機能してもよい。
【0052】
【窒化シリコンパッシベーション層】別の実施形態で
は、炭化シリコン層をシリコンおよび窒素を含む薄いパ
ッシベーション層で覆うことにより、低k炭化シリコン
層への酸素の拡散を低減することができる。パッシベー
ション層は窒化シリコン、窒化シリコン炭素、オキシ窒
化シリコン、またはオキシ窒化シリコン炭素を含んでよ
い。
【0053】約50sccmから約1000sccmの
間の流量でシリコン含有ガスを、また約50sccmか
ら約2000sccmの間の流量で窒素含有ガスを処理
チャンバ内に導入することによって、パッシベーション
層を炭化シリコン層に堆積する。シリコン含有ガスは、
シラン、メチルシラン、トリメチルシラン、それらの置
換誘導体、およびそれらの組合せのグループから選択す
る。窒素含有ガスは、アンモニア、窒素、亜酸化窒素、
およびそれらの組合せのグループから選択する。硝化ガ
スは、オキシ窒化シリコンのパッシベーション層が堆積
されるように、酸素を、例えば亜酸化窒素に含有される
酸素を、含んでよい。
【0054】基板は、約100℃から約550℃の間の
温度に維持される。チャンバ圧力は約1トルから約25
トルの間に維持する。チャンバ圧力は、堆積プロセス
中、約4トルから5トルの間に維持することが好まし
い。処理ガスのプラズマを発生させるために、200m
mの基板の場合、約0.3ワット/cm2から約3.2
ワット/cm2の間のRF電力密度、または約100ワ
ットから約1000ワットの間のRF電力レベルを処理
チャンバに供給することが好ましい。
【0055】窒化シリコン層は、約25Åから約500
Åの間の厚さに堆積することができる。パッシベーショ
ン層は、約50Åから約200Åの間の厚さに堆積する
ことが好ましい。層は、炭化シリコン層およびパッシベ
ーション層を用いる処理用途によって、任意の厚さに堆
積可能であると企図される。
【0056】パッシベーション層は、同一処理チャンバ
内で、または同一集積化装置内の異なるチャンバで、つ
まりプロセス間で真空を中断することなく、炭化シリコ
ン層上にin−situ 堆積することができる。汚染
および酸化が発生するおそれのある雰囲気に炭化シリコ
ン層を暴露するのを防止するために、パッシベーション
層は、低k炭化シリコン層の堆積後に、in−situ
堆積することが好ましい。窒化シリコンおよびオキシ
窒化シリコン層は、原子濃度で約5%未満の炭素含有率
を有する。窒化シリコンおよびオキシ窒化シリコンは、
上述した方法によって堆積されるように説明してきた
が、シリコンおよび窒素を含むパッシベーション層を堆
積可能な他の方法および材料も、本発明によって企図さ
れる。
【0057】図5は、前述の通りシリコン基板上に堆積
され、前段落で記述したシリコンおよび窒素を含む層で
覆われた、厚さ500Åの炭化シリコン層のスパッタ時
間(分)に対する原子濃度(原子%)のX線光電子分光
(XPS)グラフを示す。パッシベーション層は、処理
チャンバ内に約115sccmの流量でトリメチルシラ
ンを導入し、かつ処理チャンバ内に約1500sccm
の流量でアンモニアを導入し、チャンバ圧力を約4トル
から約5トルの間に維持し、200mmの基板の場合に
約350ワットのRF電力を供給することによってプラ
ズマを発生させ、トリメチルシランとアンモニアを反応
させる間、基板を約350℃の温度に維持することによ
って堆積された。
【0058】パッシベーション層を約400Åの厚さに
堆積した。パッシベーション層は、SiN/SiCスタ
ックのk値に対する全体的な影響を最小にするために、
400Å未満の厚さに堆積することができる。図5のy
軸は、パッシベーション層を堆積した後の約2%および
約4%の原子濃度の層内の平均酸素濃度を示す。これ
は、処理しない炭化シリコン層で観察される10ないし
15%の予想酸素含有率より低い。
【0059】図5に示すように、スパッタリングプロセ
ス中、約20分で窒化シリコン層がスパッタされ、窒化
シリコン層の組成が測定された。層組成のこの変化で、
酸素濃度は約2%ないし4%の観測平均から変化せず、
層のin−situ 堆積が炭化シリコン層内の酸素汚
染を低減することを示している。薄いパッシベーション
層のプラズマ強化堆積により、炭化シリコン層上の拡散
抵抗層が確立され、障壁層の全体的誘電率に悪影響を及
ぼすことなく、酸素に対する優れた拡散抵抗を有する集
積障壁層が形成されることが考えられる。さらに、窒化
シリコンおよびオキシ窒化シリコンは、銅などの導電性
金属に対してより大きい拡散抵抗を有するので、窒化シ
リコンのパッシベーション層が炭化シリコン障壁特性を
改善することが考えられる。
【0060】図6は、本発明による窒化シリコンパッシ
ベーション層で覆われた低k炭化シリコン障壁層の使用
例を示す。メタライゼーションスタック200は、最初
にシリコン基板230上に厚さ約5000Åの酸化物層
232を堆積することによって形成する。次に、ここで
記述したプロセスによって、酸化物層232上に、第1
低k炭化シリコン障壁層234を約800Åの厚さまで
堆積する。次に、トリメチルシランおよびアンモニアの
プラズマ強化堆積により、第1低k炭化シリコン障壁層
に窒化シリコンパッシベーション層236を約400Å
の厚さまで堆積する。次いで、厚さ約5000Åの銅層
238を炭化シリコン障壁層234の窒化シリコン層2
36上に堆積する。図示しないが、炭化シリコン層23
4への銅の拡散を制限するために、銅層の前に、窒化チ
タン(TiN)または窒化タンタル(TaN)などの金
属窒化物障壁層を堆積することもできる。次に、上述し
たように形成される第2低k炭化シリコン障壁層240
を銅層238上に約800Åの厚さまで堆積し、その後
に厚さ約1000Åの酸化物層242を堆積する。
【0061】ここに記載したプラズマ処理は拡散抵抗を
改善し、炭化シリコンなど低k層の隣接する材料への改
善された層間結合および接着を提供すると一般的に考え
られる。また、プラズマ処理は酸素などの不純物を低減
するだけでなく、堆積した炭化シリコン層の誘電率が増
加することから、メタライゼーションの組合せ層の抵抗
またはインピーダンスをも低下させることが考えられ
る。パッシベーションおよび/または障壁層として、低
酸素含有率の低k材料は、銅の層間拡散を低減すること
ができ、また配線ライン間の容量結合に対するパッシベ
ーションおよび/または障壁層の寄与を最小にすること
もできる。以下の記載は低k炭化シリコン層の処理につ
いて言及するが、本発明は記載したプロセスを、当該技
術分野で知られかつ半導体の製造で使用される他の多孔
性の層および他の低k層に適用することも企図してい
る。
【0062】以上の説明は本発明の好適な実施形態に向
けられているが、その基本的範囲から逸脱することな
く、発明のその他およびさらなる実施形態を案出するこ
とができ、発明の範囲は特許請求の範囲の記載によって
決定される。
【図面の簡単な説明】
【図1】本発明の処理を実行できる1つの市販のCVD
プラズマ処理チャンバの断面図である。
【図2】不活性ガスプラズマによって処理された炭化シ
リコン層の原子濃度のX線光電子分光(XPS)グラフ
である。
【図3】硝化ガスプラズマによって処理された炭化シリ
コン層の原子濃度のXPSグラフである。
【図4】プラズマ処理された炭化シリコン層が上に形成
された基板の断面図である。
【図5】パッシベーション層が上に堆積された別の炭化
シリコン層の原子濃度のXPSグラフである。
【図6】被覆炭化シリコン層を有する基板の断面図であ
る。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ケギャン ヒュアン アメリカ合衆国, カリフォルニア州, フリーモント, パッカード コート 44100 (72)発明者 ジュディー エル. ヒュアン アメリカ合衆国, カリフォルニア州, ロス ガトス, リーロイ アヴェニュー 16788 (72)発明者 ピン シュー アメリカ合衆国, カリフォルニア州, フリーモント, クラウン リッジ コモ ン 48888 Fターム(参考) 5F033 HH11 HH32 HH33 MM02 MM05 MM13 QQ00 QQ04 QQ25 QQ74 RR01 RR04 RR06 RR08 SS15 XX12 XX20 XX24 XX28 5F058 BA05 BA10 BA20 BC20 BD01 BD10 BD15 BF07 BH16 BJ02

Claims (31)

    【特許請求の範囲】
  1. 【請求項1】 基板の処理方法であって、前記方法は:
    処理チャンバ内で基板上に低誘電率の層を堆積するステ
    ップと;前記処理チャンバ内に処理ガスを導入するステ
    ップと;前記処理チャンバ内で前記処理ガスのプラズマ
    を発生させるステップと;前記低誘電率の層を前記処理
    ガスのプラズマに暴露するステップと、を含む、基板処
    理方法。
  2. 【請求項2】 前記低誘電率の層が原子濃度で約6%ま
    たはそれ以下の酸素含有率を有する、請求項1に記載の
    方法。
  3. 【請求項3】 前記低誘電率の層が炭化シリコンを含
    む、請求項1に記載の方法。
  4. 【請求項4】 前記処理ガスがヘリウム、アルゴン、お
    よびそれらの組合せから成るグループから選択された不
    活性ガスである、請求項1に記載の方法。
  5. 【請求項5】 前記低誘電率の層を前記プラズマに暴露
    するステップが低k誘電率の層の表面の密度を増加させ
    る、請求項1に記載の方法。
  6. 【請求項6】 前記処理ガスがアンモニア、窒素、亜酸
    化窒素、およびそれらの組合せから成るグループから選
    択された硝化ガスである、請求項1に記載の方法。
  7. 【請求項7】 前記硝化ガスが前記低誘電率の層に窒化
    表面を形成する、請求項6に記載の方法。
  8. 【請求項8】 前記低誘電率の層を前記プラズマに暴露
    するステップが、約0.08ワット/cm2から約6.
    4ワット/cm2の間の電力密度を処理チャンバに供給
    してプラズマを発生させるステップを含む、請求項1に
    記載の方法。
  9. 【請求項9】 前記低誘電率の層を約10秒から約12
    0秒の間前記プラズマに暴露する、請求項8に記載の方
    法。
  10. 【請求項10】 チャンバ圧力が約100ミリトルから
    約25トルの間である、請求項1に記載の方法。
  11. 【請求項11】 前記基板を処理するステップが、不活
    性ガス、硝化ガス、またはそれらの組合せの処理ガスを
    約3000sccmまたはそれ以下の流量で前記処理チ
    ャンバ内に導入するステップと、前記処理チャンバを約
    1トルから約12トルの間の圧力に維持するステップ
    と、約0.3ワット/cm2から約3.3ワット/cm2
    の間の電力密度を前記処理チャンバに供給することによ
    って前記プラズマを発生させるステップと、約20秒か
    ら約60秒の間前記プラズマを維持するステップと、を
    含む、請求項1に記載の方法。
  12. 【請求項12】 前記低誘電率の層が原子濃度で約6%
    またはそれ以下の酸素含有率を有する、請求項11に記
    載の方法。
  13. 【請求項13】 基板の処理方法であって、前記方法
    は:処理チャンバ内で基板上に炭化シリコン層を堆積す
    るステップと;前記処理チャンバ内に不活性ガス、硝化
    ガス、またはそれらの組合せのグループから選択された
    処理ガスを導入するステップと;前記処理チャンバ内で
    前記処理ガスのプラズマを発生させるステップと;前記
    炭化シリコン層を前記処理ガスのプラズマに暴露して前
    記炭化シリコン層上にパッシベーション表面を形成する
    ことによって、前記炭化シリコン層の表面を変化させる
    ステップと、を含む、基板処理方法。
  14. 【請求項14】 前記不活性ガスをヘリウム、アルゴ
    ン、およびそれらの組合せから成るグループから選択す
    る、請求項13に記載の方法。
  15. 【請求項15】 前記処理ガスが不活性ガスであり、前
    記炭化シリコン層の表面の密度が増加する、請求項13
    に記載の方法。
  16. 【請求項16】 前記硝化ガスをアンモニア、窒素、亜
    酸化窒素、およびそれらの組合せから成るグループから
    選択する、請求項13に記載の方法。
  17. 【請求項17】 前記処理ガスが硝化ガスを含み、前記
    低誘電率の層上に窒化表面が形成される、請求項13に
    記載の方法。
  18. 【請求項18】 前記低誘電率の層を前記プラズマに暴
    露するステップが、約0.3ワット/cm2から約3.
    2ワット/cm2の間の電力密度を前記処理チャンバに
    供給してプラズマを発生させるステップを含む、請求項
    13に記載の方法。
  19. 【請求項19】 前記低誘電率の層を約20秒から約6
    0秒の間前記プラズマに暴露する、請求項18に記載の
    方法。
  20. 【請求項20】 チャンバ圧力が約1トルから約12ト
    ルの間である、請求項13に記載の方法。
  21. 【請求項21】 前記基板を処理するステップが、不活
    性ガス、硝化ガス、またはそれらの組合せの処理ガスを
    約3000sccmまたはそれ以下の流量で前記処理チ
    ャンバ内に導入するステップと、前記処理チャンバを約
    5トルから約10トルの間の圧力に維持するステップ
    と、約1.2ワット/cm2から約1.6ワット/cm2
    の間の電力密度を前記処理チャンバに供給することによ
    って前記プラズマを発生させるステップと、約20秒か
    ら約60秒間前記プラズマを維持するステップと、を含
    む、請求項13に記載の方法。
  22. 【請求項22】 前記炭化シリコン層が障壁層、エッチ
    ストップ、パッシベーション層、または反射防止膜であ
    る、請求項13に記載の方法。
  23. 【請求項23】 前記炭化シリコン層が原子濃度で約6
    %またはそれ以下の酸素含有率を有する、請求項13に
    記載の方法。
  24. 【請求項24】 基板上に低誘電率障壁層を形成する方
    法であって、前記方法は:前記基板上に炭化シリコン層
    を堆積するステップと;前記炭化シリコン層上にシリコ
    ンおよび窒素を含むパッシベーション層を堆積するステ
    ップと、を含む方法。
  25. 【請求項25】 前記パッシベーション層が窒化シリコ
    ンまたはオキシ窒化シリコンを含む、請求項24に記載
    の方法。
  26. 【請求項26】 前記パッシベーション層を堆積するス
    テップが:前記基板を含む処理チャンバ内にシリコン含
    有ガスおよび窒素含有ガスを導入するステップと;前記
    処理チャンバ内でプラズマを起こさせるステップと;シ
    リコン含有ガスおよび窒素含有ガスをプラズマの存在下
    で反応させて、シリコンおよび窒素を含むパッシベーシ
    ョン層を堆積するステップと、を含む、請求項24に記
    載の方法。
  27. 【請求項27】 前記シリコン含有ガスをシラン、メチ
    ルシラン、トリメチルシラン、それらの置換誘導体、お
    よびそれらの組合せのグループから選択する、請求項2
    6に記載の方法。
  28. 【請求項28】 前記窒素含有ガスをアンモニア、窒
    素、亜酸化窒素、およびそれらの組合せから成るグルー
    プから選択する、請求項26に記載の方法。
  29. 【請求項29】 約0.3ワット/cm2から約3.2
    ワット/cm2の間の電力密度を前記チャンバに供給す
    ることによって前記プラズマを発生させる、請求項26
    に記載の方法。
  30. 【請求項30】 チャンバ圧力が約1トルから約25ト
    ルの間である、請求項26に記載の方法。
  31. 【請求項31】 シリコンおよび窒素を含む前記パッシ
    ベーション層を約25Åから約500Åの間の厚さに堆
    積する、請求項24に記載の方法。
JP2001215713A 2000-07-14 2001-07-16 低k誘電層を処理して拡散を減少させる方法および装置 Pending JP2002176100A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US21840700P 2000-07-14 2000-07-14
US60/218407 2000-07-14

Publications (1)

Publication Number Publication Date
JP2002176100A true JP2002176100A (ja) 2002-06-21

Family

ID=22814987

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001215713A Pending JP2002176100A (ja) 2000-07-14 2001-07-16 低k誘電層を処理して拡散を減少させる方法および装置

Country Status (5)

Country Link
US (1) US6794311B2 (ja)
EP (1) EP1172845A3 (ja)
JP (1) JP2002176100A (ja)
KR (1) KR100743775B1 (ja)
TW (1) TW521304B (ja)

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004228581A (ja) * 2003-01-24 2004-08-12 Asm Japan Kk 半導体基板上の絶縁膜及びその製造方法
KR100743775B1 (ko) * 2000-07-14 2007-07-30 어플라이드 머티어리얼스, 인코포레이티드 확산을 감소시키도록 낮은 유전상수 k의 유전층을 처리하기 위한 방법 및 장치
JP2011139033A (ja) * 2009-12-04 2011-07-14 Novellus Systems Inc ハードマスク材料
US8846525B2 (en) 2009-12-04 2014-09-30 Novellus Systems, Inc. Hardmask materials
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
JP2019106538A (ja) * 2017-12-07 2019-06-27 マイクロマテリアルズ エルエルシー 制御可能な金属およびバリアライナー凹部のための方法
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US11049716B2 (en) 2015-04-21 2021-06-29 Lam Research Corporation Gap fill using carbon-based films

Families Citing this family (234)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6274292B1 (en) * 1998-02-25 2001-08-14 Micron Technology, Inc. Semiconductor processing methods
US7804115B2 (en) 1998-02-25 2010-09-28 Micron Technology, Inc. Semiconductor constructions having antireflective portions
US6268282B1 (en) * 1998-09-03 2001-07-31 Micron Technology, Inc. Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks
US6828683B2 (en) * 1998-12-23 2004-12-07 Micron Technology, Inc. Semiconductor devices, and semiconductor processing methods
US6821571B2 (en) * 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US7067414B1 (en) * 1999-09-01 2006-06-27 Micron Technology, Inc. Low k interlevel dielectric layer fabrication methods
US6440860B1 (en) * 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
US6764958B1 (en) * 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6465366B1 (en) * 2000-09-12 2002-10-15 Applied Materials, Inc. Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
TW471134B (en) * 2001-02-27 2002-01-01 United Microelectronics Corp Manufacturing method for multilevel interconnects
US6472333B2 (en) 2001-03-28 2002-10-29 Applied Materials, Inc. Silicon carbide cap layers for low dielectric constant silicon oxide layers
US6750119B2 (en) * 2001-04-20 2004-06-15 International Business Machines Corporation Epitaxial and polycrystalline growth of Si1-x-yGexCy and Si1-yCy alloy layers on Si by UHV-CVD
US6495447B1 (en) * 2001-06-26 2002-12-17 Advanced Micro Devices, Inc. Use of hydrogen doping for protection of low-k dielectric layers
US6656837B2 (en) * 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
US6936309B2 (en) * 2002-04-02 2005-08-30 Applied Materials, Inc. Hardness improvement of silicon carboxy films
US6664202B2 (en) * 2002-04-18 2003-12-16 Applied Materials Inc. Mixed frequency high temperature nitride CVD process
US6993738B2 (en) * 2002-06-18 2006-01-31 Ip-First, Llc Method for allocating spare cells in auto-place-route blocks
JP2004103971A (ja) * 2002-09-12 2004-04-02 Hitachi High-Technologies Corp ダマシン処理方法、ダマシン処理装置および、ダマシン構造
US6946033B2 (en) * 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
US20040052969A1 (en) * 2002-09-16 2004-03-18 Applied Materials, Inc. Methods for operating a chemical vapor deposition chamber using a heated gas distribution plate
US6991959B2 (en) * 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
US6797643B2 (en) 2002-10-23 2004-09-28 Applied Materials Inc. Plasma enhanced CVD low k carbon-doped silicon oxide film deposition using VHF-RF power
DE10250889B4 (de) * 2002-10-31 2006-12-07 Advanced Micro Devices, Inc., Sunnyvale Verbesserte SiC-Barrierenschicht für eine Kupfermetallisierungsschicht mit einem Dielektrikum mit kleinem ε und Verfahren zur Herstellung derselben
US6932092B2 (en) * 2002-11-22 2005-08-23 Applied Materials, Inc. Method for cleaning plasma enhanced chemical vapor deposition chamber using very high frequency energy
US6939800B1 (en) * 2002-12-16 2005-09-06 Lsi Logic Corporation Dielectric barrier films for use as copper barrier layers in semiconductor trench and via structures
US6914014B2 (en) * 2003-01-13 2005-07-05 Applied Materials, Inc. Method for curing low dielectric constant film using direct current bias
US7592259B2 (en) * 2006-12-18 2009-09-22 Lam Research Corporation Methods and systems for barrier layer surface passivation
US6913992B2 (en) * 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
US7241704B1 (en) 2003-03-31 2007-07-10 Novellus Systems, Inc. Methods for producing low stress porous low-k dielectric materials using precursors with organic functional groups
US7176144B1 (en) 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
US7265061B1 (en) 2003-05-09 2007-09-04 Novellus Systems, Inc. Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties
US20050062164A1 (en) * 2003-09-23 2005-03-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for improving time dependent dielectric breakdown lifetimes
EP1683188A4 (en) * 2003-11-06 2008-08-06 Clear Shape Technologies Inc DELTA INFORMATION DESIGN CLOSURE IN THE MANUFACTURE OF INTEGRATED CIRCUITS
US7078336B2 (en) * 2003-11-19 2006-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for fabricating a copper barrier layer with low dielectric constant and leakage current
US7390537B1 (en) 2003-11-20 2008-06-24 Novellus Systems, Inc. Methods for producing low-k CDO films with low residual stress
DE10360933A1 (de) * 2003-12-23 2005-07-28 Infineon Technologies Ag Verfahren zum Herstellen einer Kapazität in einer Schicht aus Low-k-Material
KR101048002B1 (ko) * 2003-12-26 2011-07-13 매그나칩 반도체 유한회사 반도체 소자의 장벽 금속층 형성방법
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7341761B1 (en) 2004-03-11 2008-03-11 Novellus Systems, Inc. Methods for producing low-k CDO films
US7381662B1 (en) 2004-03-11 2008-06-03 Novellus Systems, Inc. Methods for improving the cracking resistance of low-k dielectric materials
US7781351B1 (en) 2004-04-07 2010-08-24 Novellus Systems, Inc. Methods for producing low-k carbon doped oxide films with low residual stress
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US20050233555A1 (en) * 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
US7622400B1 (en) * 2004-05-18 2009-11-24 Novellus Systems, Inc. Method for improving mechanical properties of low dielectric constant materials
US7288205B2 (en) * 2004-07-09 2007-10-30 Applied Materials, Inc. Hermetic low dielectric constant layer for barrier applications
US7326444B1 (en) 2004-09-14 2008-02-05 Novellus Systems, Inc. Methods for improving integration performance of low stress CDO films
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
KR100551471B1 (ko) * 2004-11-02 2006-02-14 주식회사 에이디피엔지니어링 기판 적재 장치
US7695765B1 (en) 2004-11-12 2010-04-13 Novellus Systems, Inc. Methods for producing low-stress carbon-doped oxide films with improved integration properties
US7253123B2 (en) * 2005-01-10 2007-08-07 Applied Materials, Inc. Method for producing gate stack sidewall spacers
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
US7510982B1 (en) 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
JP2006216809A (ja) * 2005-02-04 2006-08-17 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US20060286800A1 (en) * 2005-06-15 2006-12-21 Dominguez Juan E Method for adhesion and deposition of metal films which provide a barrier and permit direct plating
US7892985B1 (en) 2005-11-15 2011-02-22 Novellus Systems, Inc. Method for porogen removal and mechanical strength enhancement of low-k carbon doped silicon oxide using low thermal budget microwave curing
US7381644B1 (en) 2005-12-23 2008-06-03 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US7923376B1 (en) 2006-03-30 2011-04-12 Novellus Systems, Inc. Method of reducing defects in PECVD TEOS films
KR100790779B1 (ko) * 2006-06-09 2008-01-02 주식회사 아이피에스 갭 필 능력을 향상시킨 절연막 증착 방법
US8080282B2 (en) * 2006-08-08 2011-12-20 Asm Japan K.K. Method for forming silicon carbide film containing oxygen
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US8465991B2 (en) * 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US7851232B2 (en) * 2006-10-30 2010-12-14 Novellus Systems, Inc. UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US7906174B1 (en) 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
US7795070B2 (en) * 2007-03-30 2010-09-14 Texas Instruments Incorporated Semiconductor device including an amorphous nitrided silicon adhesion layer and method of manufacture therefor
US8242028B1 (en) 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
US7622162B1 (en) 2007-06-07 2009-11-24 Novellus Systems, Inc. UV treatment of STI films for increasing tensile stress
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
US20090158999A1 (en) * 2007-12-24 2009-06-25 Qimonda Ag Manufacturing method for an integrated circuit comprising a multi-layer stack, corresponding integrated circuit and multi-layer mask
US20100186811A1 (en) * 2008-08-26 2010-07-29 Sixtron Advanced Materials, Inc. Silicon Carbonitride Antireflective Coating
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
US8349746B2 (en) * 2010-02-23 2013-01-08 Applied Materials, Inc. Microelectronic structure including a low k dielectric and a method of controlling carbon distribution in the structure
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
KR20120083142A (ko) * 2011-01-17 2012-07-25 삼성전자주식회사 반도체 장치 및 반도체 장치의 형성 방법
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
GB201110117D0 (en) * 2011-06-16 2011-07-27 Fujifilm Mfg Europe Bv method and device for manufacturing a barrie layer on a flexible substrate
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US8884268B2 (en) * 2012-07-16 2014-11-11 Taiwan Semiconductor Manufacturing Co., Ltd. Diffusion barrier layer for group III nitride on silicon substrate
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9887277B2 (en) * 2015-01-23 2018-02-06 Applied Materials, Inc. Plasma treatment on metal-oxide TFT
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10109476B2 (en) * 2016-07-01 2018-10-23 Lam Research Corporation Substrate processing method for depositing a barrier layer to prevent photoresist poisoning
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11508617B2 (en) 2019-10-24 2022-11-22 Applied Materials, Inc. Method of forming interconnect for semiconductor device
US11257677B2 (en) * 2020-01-24 2022-02-22 Applied Materials, Inc. Methods and devices for subtractive self-alignment

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08250594A (ja) * 1995-02-02 1996-09-27 Dow Corning Corp 炭化ケイ素の金属拡散障壁層
JPH09167767A (ja) * 1995-10-26 1997-06-24 Applied Materials Inc ハロゲンをドープした酸化珪素膜の膜安定性を改良する方法及び装置
JP2000068261A (ja) * 1998-08-19 2000-03-03 Toshiba Corp 半導体装置の製造方法
JP2000150516A (ja) * 1998-09-02 2000-05-30 Tokyo Electron Ltd 半導体装置の製造方法
JP2001274250A (ja) * 2000-02-11 2001-10-05 Internatl Business Mach Corp <Ibm> 拡散障壁層およびこれを含む半導体デバイス
JP2001351976A (ja) * 2000-04-17 2001-12-21 Internatl Business Mach Corp <Ibm> 半導体材料上の低誘電率層を保護する方法

Family Cites Families (143)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1147014A (en) 1967-01-27 1969-04-02 Westinghouse Electric Corp Improvements in diffusion masking
US4028155A (en) 1974-02-28 1977-06-07 Lfe Corporation Process and material for manufacturing thin film integrated circuits
US4484809B1 (en) 1977-12-05 1995-04-18 Plasma Physics Corp Glow discharge method and apparatus and photoreceptor devices made therewith
JPS55158275A (en) 1979-05-28 1980-12-09 Hitachi Ltd Corrosion preventing method for al and al alloy
US4262631A (en) 1979-10-01 1981-04-21 Kubacki Ronald M Thin film deposition apparatus using an RF glow discharge
US4510178A (en) 1981-06-30 1985-04-09 Motorola, Inc. Thin film resistor material and method
JPS59128281A (ja) 1982-12-29 1984-07-24 信越化学工業株式会社 炭化けい素被覆物の製造方法
US4420386A (en) 1983-04-22 1983-12-13 White Engineering Corporation Method for pure ion plating using magnetic fields
DE3574997D1 (de) 1984-03-03 1990-02-01 Stc Plc Pulsierendes plasmaverfahren.
JPH07111957B2 (ja) 1984-03-28 1995-11-29 圭弘 浜川 半導体の製法
US4759947A (en) 1984-10-08 1988-07-26 Canon Kabushiki Kaisha Method for forming deposition film using Si compound and active species from carbon and halogen compound
JPH0642482B2 (ja) 1984-11-15 1994-06-01 株式会社東芝 半導体装置の製造方法
JPS61210179A (ja) 1985-03-13 1986-09-18 Shin Etsu Chem Co Ltd ミクロト−ム用コ−ティング刃の製造方法
US4711698A (en) 1985-07-15 1987-12-08 Texas Instruments Incorporated Silicon oxide thin film etching process
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4872947A (en) 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US4895734A (en) 1987-03-31 1990-01-23 Hitachi Chemical Company, Ltd. Process for forming insulating film used in thin film electroluminescent device
US5248636A (en) 1987-07-16 1993-09-28 Texas Instruments Incorporated Processing method using both a remotely generated plasma and an in-situ plasma with UV irradiation
US5121706A (en) 1987-10-16 1992-06-16 The Curators Of The University Of Missouri Apparatus for applying a composite insulative coating to a substrate
US4994410A (en) 1988-04-04 1991-02-19 Motorola, Inc. Method for device metallization by forming a contact plug and interconnect using a silicide/nitride process
GB8827933D0 (en) 1988-11-30 1989-01-05 Plessey Co Plc Improvements relating to soldering processes
DE3907857C1 (en) 1989-03-10 1990-05-23 Fraunhofer-Gesellschaft Zur Foerderung Der Angewandten Forschung Ev, 8000 Muenchen, De Method for preparing a layer of amorphous silicon carbide
US5011706A (en) 1989-04-12 1991-04-30 Dow Corning Corporation Method of forming coatings containing amorphous silicon carbide
US5232872A (en) 1989-05-09 1993-08-03 Fujitsu Limited Method for manufacturing semiconductor device
DE69025244T2 (de) 1989-08-25 1996-06-27 Applied Materials Inc Reinigungsverfahren für eine Anlage zur Behandlung von Halbleiterscheiben
JPH03105974A (ja) 1989-09-19 1991-05-02 Kobe Steel Ltd 多結晶ダイヤ薄膜合成によるシヨツトキー・ダイオードの製作法
US5043299B1 (en) 1989-12-01 1997-02-25 Applied Materials Inc Process for selective deposition of tungsten on semiconductor wafer
US4980196A (en) 1990-02-14 1990-12-25 E. I. Du Pont De Nemours And Company Method of coating steel substrate using low temperature plasma processes and priming
EP0449117A3 (en) 1990-03-23 1992-05-06 Matsushita Electric Industrial Co., Ltd. Organic polymer and preparation and use thereof
US5401613A (en) 1990-12-13 1995-03-28 Brewer Science Method of manufacturing microelectronic devices having multifunctional photolithographic layers
US5232871A (en) 1990-12-27 1993-08-03 Intel Corporation Method for forming a titanium nitride barrier layer
JP3000717B2 (ja) 1991-04-26 2000-01-17 ソニー株式会社 ドライエッチング方法
JP2635267B2 (ja) 1991-06-27 1997-07-30 アプライド マテリアルズ インコーポレイテッド Rfプラズマ処理装置
JPH05308107A (ja) 1991-07-01 1993-11-19 Sumitomo Electric Ind Ltd 半導体装置及びその製作方法
US5238866A (en) 1991-09-11 1993-08-24 GmbH & Co. Ingenieurburo Berlin Biotronik Mess- und Therapiegerate Plasma enhanced chemical vapor deposition process for producing an amorphous semiconductive surface coating
US5472829A (en) 1991-12-30 1995-12-05 Sony Corporation Method of forming a resist pattern by using an anti-reflective layer
US5472827A (en) 1991-12-30 1995-12-05 Sony Corporation Method of forming a resist pattern using an anti-reflective layer
US5242538A (en) 1992-01-29 1993-09-07 Applied Materials, Inc. Reactive ion etch process including hydrogen radicals
DE69221152T2 (de) 1992-05-15 1998-02-19 Shinetsu Quartz Prod Vertikale wärmebehandlungsvorrichtung und wärmeisolationsmaterial
US6004705A (en) 1992-07-07 1999-12-21 Toray Industries, Inc. Photosensitive ceramics green sheet
JP2885616B2 (ja) 1992-07-31 1999-04-26 株式会社東芝 半導体装置およびその製造方法
JPH0689880A (ja) 1992-09-08 1994-03-29 Tokyo Electron Ltd エッチング装置
JP2734915B2 (ja) 1992-11-18 1998-04-02 株式会社デンソー 半導体のドライエッチング方法
JPH07105441B2 (ja) 1992-11-30 1995-11-13 日本電気株式会社 半導体装置の製造方法
US5409543A (en) 1992-12-22 1995-04-25 Sandia Corporation Dry soldering with hot filament produced atomic hydrogen
KR970001883B1 (ko) 1992-12-30 1997-02-18 삼성전자 주식회사 반도체장치 및 그 제조방법
JPH0763105B2 (ja) 1993-02-12 1995-07-05 日本電気株式会社 印刷配線板の製造方法
TW347149U (en) 1993-02-26 1998-12-01 Dow Corning Integrated circuits protected from the environment by ceramic and barrier metal layers
US5360491A (en) 1993-04-07 1994-11-01 The United States Of America As Represented By The United States Department Of Energy β-silicon carbide protective coating and method for fabricating same
US5627105A (en) 1993-04-08 1997-05-06 Varian Associates, Inc. Plasma etch process and TiSix layers made using the process
JPH07142416A (ja) 1993-06-21 1995-06-02 Applied Materials Inc 改良された界面を有する層のプラズマ化学蒸着法
US5465680A (en) 1993-07-01 1995-11-14 Dow Corning Corporation Method of forming crystalline silicon carbide coatings
US5468978A (en) 1993-07-07 1995-11-21 Dowben; Peter A. Forming B1-x Cx semiconductor devices by chemical vapor deposition
FR2707673B1 (fr) 1993-07-16 1995-08-18 Trefimetaux Procédé de métallisation de substrats non-conducteurs.
KR0141659B1 (ko) 1993-07-19 1998-07-15 가나이 쓰토무 이물제거 방법 및 장치
KR970007116B1 (ko) 1993-08-31 1997-05-02 삼성전자 주식회사 반도체장치의 절연층 형성방법 및 그 형성장치
KR950009260B1 (ko) * 1993-09-02 1995-08-18 주식회사코오롱 에어백용 포지의 제조방법
US5427621A (en) 1993-10-29 1995-06-27 Applied Materials, Inc. Method for removing particulate contaminants by magnetic field spiking
EP0652585A1 (de) 1993-11-02 1995-05-10 Leybold Aktiengesellschaft Verfahren und Vorrichtung zum Ätzen von dünnen Schichten, vorzugsweise von Indium-Zinn-Oxid-Schichten
JPH07202186A (ja) 1993-12-28 1995-08-04 Sony Corp 半導体装置の製造方法
US5451263A (en) 1994-02-03 1995-09-19 Harris Corporation Plasma cleaning method for improved ink brand permanency on IC packages with metallic parts
IT1271636B (it) 1994-05-04 1997-06-04 Alcatel Italia Metodo per la preparazione e la passivazione degli specchi terminali di laser a semiconduttore ad alta potenza di emissione e relativo dispositivo
JPH07307332A (ja) * 1994-05-10 1995-11-21 Nippon Telegr & Teleph Corp <Ntt> 表面清浄化法および薄膜形成法
JPH10500451A (ja) 1994-05-20 1998-01-13 ヴィディア ゲゼルシャフト ミット ベシュレンクテル ハフツング 工具、この工具の製法及び製造装置及びこの工具の使用
KR0144956B1 (ko) 1994-06-10 1998-08-17 김광호 반도체 장치의 배선 구조 및 그 형성방법
JP3326974B2 (ja) 1994-07-28 2002-09-24 ソニー株式会社 多層配線の形成方法および半導体装置の製造方法
US5736002A (en) 1994-08-22 1998-04-07 Sharp Microelectronics Technology, Inc. Methods and equipment for anisotropic, patterned conversion of copper into selectively removable compounds and for removal of same
DE69531980T2 (de) 1994-08-23 2004-07-29 At & T Corp. Metallisierung von keramischen Materialien durch Auftrag einer haftenden reduzierbaren Schicht
JP2809113B2 (ja) 1994-09-29 1998-10-08 日本電気株式会社 半導体装置の製造方法
US5565084A (en) 1994-10-11 1996-10-15 Qnix Computer Co., Ltd. Electropolishing methods for etching substrate in self alignment
US5607542A (en) 1994-11-01 1997-03-04 Applied Materials Inc. Inductively enhanced reactive ion etching
JPH08186085A (ja) 1994-12-28 1996-07-16 Nec Corp 半導体装置の製造方法
JP3521587B2 (ja) * 1995-02-07 2004-04-19 セイコーエプソン株式会社 基板周縁の不要物除去方法及び装置並びにそれを用いた塗布方法
US5545592A (en) 1995-02-24 1996-08-13 Advanced Micro Devices, Inc. Nitrogen treatment for metal-silicide contact
US5710067A (en) 1995-06-07 1998-01-20 Advanced Micro Devices, Inc. Silicon oxime film
US5623160A (en) 1995-09-14 1997-04-22 Liberkowski; Janusz B. Signal-routing or interconnect substrate, structure and apparatus
US5789776A (en) 1995-09-22 1998-08-04 Nvx Corporation Single poly memory cell and array
US5877087A (en) 1995-11-21 1999-03-02 Applied Materials, Inc. Low temperature integrated metallization process and apparatus
BE1009839A3 (fr) 1995-12-20 1997-10-07 Cockerill Rech & Dev Procede et dispositif pour le nettoyage d'un substrat metallique.
JPH09237785A (ja) 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
US5652166A (en) 1996-01-11 1997-07-29 United Microelectronics Corporation Process for fabricating dual-gate CMOS having in-situ nitrogen-doped polysilicon by rapid thermal chemical vapor deposition
JP3530667B2 (ja) 1996-01-19 2004-05-24 キヤノン株式会社 電子写真感光体およびその製造方法
US5849135A (en) 1996-03-12 1998-12-15 The Regents Of The University Of California Particulate contamination removal from wafers using plasmas and mechanical agitation
US5660682A (en) 1996-03-14 1997-08-26 Lsi Logic Corporation Plasma clean with hydrogen gas
US5741626A (en) 1996-04-15 1998-04-21 Motorola, Inc. Method for forming a dielectric tantalum nitride layer as an anti-reflective coating (ARC)
US5843847A (en) 1996-04-29 1998-12-01 Applied Materials, Inc. Method for etching dielectric layers with high selectivity and low microloading
US5821603A (en) 1996-05-29 1998-10-13 Microunity Systems Engineering, Inc. Method for depositing double nitride layer in semiconductor processing
US5780163A (en) 1996-06-05 1998-07-14 Dow Corning Corporation Multilayer coating for microelectronic devices
FR2751131B1 (fr) 1996-07-09 2001-11-09 Lg Electronics Inc Procede de fabrication d'un dispositif d'affichage a matrice active a cristal liquide et structure du dispositif d'affichage selon ce procede
US5869396A (en) 1996-07-15 1999-02-09 Chartered Semiconductor Manufacturing Ltd. Method for forming a polycide gate electrode
US5790365A (en) 1996-07-31 1998-08-04 Applied Materials, Inc. Method and apparatus for releasing a workpiece from and electrostatic chuck
US5970378A (en) 1996-09-03 1999-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-step plasma treatment process for forming low resistance titanium nitride layer
US5801098A (en) 1996-09-03 1998-09-01 Motorola, Inc. Method of decreasing resistivity in an electrically conductive layer
US5711987A (en) 1996-10-04 1998-01-27 Dow Corning Corporation Electronic coatings
US5730792A (en) 1996-10-04 1998-03-24 Dow Corning Corporation Opaque ceramic coatings
US5776235A (en) 1996-10-04 1998-07-07 Dow Corning Corporation Thick opaque ceramic coatings
US5827785A (en) 1996-10-24 1998-10-27 Applied Materials, Inc. Method for improving film stability of fluorosilicate glass films
US5779807A (en) 1996-10-29 1998-07-14 Applied Materials, Inc. Method and apparatus for removing particulates from semiconductor substrates in plasma processing chambers
GB2319533B (en) 1996-11-22 2001-06-06 Trikon Equip Ltd Methods of forming a barrier layer
GB2319532B (en) 1996-11-22 2001-01-31 Trikon Equip Ltd Method and apparatus for treating a semiconductor wafer
KR19980064441A (ko) 1996-12-20 1998-10-07 윌리엄비.켐플러 전도물질을 반도체 소자 표면에 선택적으로 결합시키는 방법
JP4142753B2 (ja) 1996-12-26 2008-09-03 株式会社東芝 スパッタターゲット、スパッタ装置、半導体装置およびその製造方法
DE19702124A1 (de) 1997-01-22 1998-07-23 Linde Ag Verfahren und Vorrichtung zum Reinigen, Aktivieren Benetzen und/oder Beschichten der Oberflächen von Werkstücken
US5834371A (en) 1997-01-31 1998-11-10 Tokyo Electron Limited Method and apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof
US6125859A (en) 1997-03-05 2000-10-03 Applied Materials, Inc. Method for improved cleaning of substrate processing systems
US5789316A (en) 1997-03-10 1998-08-04 Vanguard International Semiconductor Corporation Self-aligned method for forming a narrow via
US5817579A (en) 1997-04-09 1998-10-06 Vanguard International Semiconductor Corporation Two step plasma etch method for forming self aligned contact
DE19717698A1 (de) 1997-04-26 1998-10-29 Fraunhofer Ges Forschung Verfahren und Vorrichtung zur Reinigung von Aktivierung von elektrischen Leiterbahnen und Platinenoberflächen
JPH11162969A (ja) * 1997-11-28 1999-06-18 Seiko Epson Corp 半導体装置の製造方法
US6107192A (en) 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US6140226A (en) 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
JPH11256325A (ja) * 1998-03-10 1999-09-21 Okuma Engineering:Kk 結晶性SiC薄膜の製造方法
FR2775986B1 (fr) 1998-03-10 2000-05-05 Air Liquide Procede et installation de traitement de surface d'une piece metallique
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6054206A (en) 1998-06-22 2000-04-25 Novellus Systems, Inc. Chemical vapor deposition of low density silicon dioxide films
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
JP3248492B2 (ja) * 1998-08-14 2002-01-21 日本電気株式会社 半導体装置及びその製造方法
US6355571B1 (en) 1998-11-17 2002-03-12 Applied Materials, Inc. Method and apparatus for reducing copper oxidation and contamination in a semiconductor device
JP2002538604A (ja) * 1999-02-26 2002-11-12 トリコン ホールディングス リミティド ポリマー層の処理方法
JP3084367B1 (ja) 1999-03-17 2000-09-04 キヤノン販売株式会社 層間絶縁膜の形成方法及び半導体装置
US6251775B1 (en) 1999-04-23 2001-06-26 International Business Machines Corporation Self-aligned copper silicide formation for improved adhesion/electromigration
US6821571B2 (en) * 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
DE69940114D1 (de) * 1999-08-17 2009-01-29 Applied Materials Inc Oberflächenbehandlung von kohlenstoffdotierten SiO2-Filmen zur Erhöhung der Stabilität während der O2-Veraschung
US6593653B2 (en) 1999-09-30 2003-07-15 Novellus Systems, Inc. Low leakage current silicon carbonitride prepared using methane, ammonia and silane for copper diffusion barrier, etchstop and passivation applications
US6153512A (en) * 1999-10-12 2000-11-28 Taiwan Semiconductor Manufacturing Company Process to improve adhesion of HSQ to underlying materials
US6403464B1 (en) * 1999-11-03 2002-06-11 Taiwan Semiconductor Manufacturing Company Method to reduce the moisture content in an organic low dielectric constant material
US6373076B1 (en) * 1999-12-07 2002-04-16 Philips Electronics North America Corporation Passivated silicon carbide devices with low leakage current and method of fabricating
JP3348084B2 (ja) * 1999-12-28 2002-11-20 キヤノン販売株式会社 成膜方法及び半導体装置
US6136680A (en) * 2000-01-21 2000-10-24 Taiwan Semiconductor Manufacturing Company Methods to improve copper-fluorinated silica glass interconnects
US6261929B1 (en) 2000-02-24 2001-07-17 North Carolina State University Methods of forming a plurality of semiconductor layers using spaced trench arrays
US6284657B1 (en) * 2000-02-25 2001-09-04 Chartered Semiconductor Manufacturing Ltd. Non-metallic barrier formation for copper damascene type interconnects
US6284644B1 (en) * 2000-10-10 2001-09-04 Chartered Semiconductor Manufacturing Ltd. IMD scheme by post-plasma treatment of FSG and TEOS oxide capping layer
US6794311B2 (en) * 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
US6365527B1 (en) * 2000-10-06 2002-04-02 United Microelectronics Corp. Method for depositing silicon carbide in semiconductor devices
US6335274B1 (en) * 2000-11-17 2002-01-01 Macronix International Co., Ltd. Method for forming a high-RI oxide film to reduce fluorine diffusion in HDP FSG process
US6451687B1 (en) * 2000-11-24 2002-09-17 Chartered Semiconductor Manufacturing Ltd. Intermetal dielectric layer for integrated circuits
US6528432B1 (en) * 2000-12-05 2003-03-04 Advanced Micro Devices, Inc. H2-or H2/N2-plasma treatment to prevent organic ILD degradation
US6407013B1 (en) * 2001-01-16 2002-06-18 Taiwan Semiconductor Manufacturing Co., Ltd Soft plasma oxidizing plasma method for forming carbon doped silicon containing dielectric layer with enhanced adhesive properties
US6514850B2 (en) * 2001-01-31 2003-02-04 Applied Materials, Inc. Interface with dielectric layer and method of making
US6537733B2 (en) 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US6506692B2 (en) 2001-05-30 2003-01-14 Intel Corporation Method of making a semiconductor device using a silicon carbide hard mask
US6936309B2 (en) * 2002-04-02 2005-08-30 Applied Materials, Inc. Hardness improvement of silicon carboxy films

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08250594A (ja) * 1995-02-02 1996-09-27 Dow Corning Corp 炭化ケイ素の金属拡散障壁層
JPH09167767A (ja) * 1995-10-26 1997-06-24 Applied Materials Inc ハロゲンをドープした酸化珪素膜の膜安定性を改良する方法及び装置
JP2000068261A (ja) * 1998-08-19 2000-03-03 Toshiba Corp 半導体装置の製造方法
JP2000150516A (ja) * 1998-09-02 2000-05-30 Tokyo Electron Ltd 半導体装置の製造方法
JP2001274250A (ja) * 2000-02-11 2001-10-05 Internatl Business Mach Corp <Ibm> 拡散障壁層およびこれを含む半導体デバイス
JP2001351976A (ja) * 2000-04-17 2001-12-21 Internatl Business Mach Corp <Ibm> 半導体材料上の低誘電率層を保護する方法

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100743775B1 (ko) * 2000-07-14 2007-07-30 어플라이드 머티어리얼스, 인코포레이티드 확산을 감소시키도록 낮은 유전상수 k의 유전층을 처리하기 위한 방법 및 장치
JP4651076B2 (ja) * 2003-01-24 2011-03-16 日本エー・エス・エム株式会社 半導体基板上の絶縁膜の形成方法
JP2004228581A (ja) * 2003-01-24 2004-08-12 Asm Japan Kk 半導体基板上の絶縁膜及びその製造方法
JP2011139033A (ja) * 2009-12-04 2011-07-14 Novellus Systems Inc ハードマスク材料
US8846525B2 (en) 2009-12-04 2014-09-30 Novellus Systems, Inc. Hardmask materials
KR101798235B1 (ko) * 2009-12-04 2017-11-15 노벨러스 시스템즈, 인코포레이티드 하드마스크 물질
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US11264234B2 (en) 2012-06-12 2022-03-01 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
US10472714B2 (en) 2013-05-31 2019-11-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US11049716B2 (en) 2015-04-21 2021-06-29 Lam Research Corporation Gap fill using carbon-based films
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US10580690B2 (en) 2016-11-23 2020-03-03 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
JP2019106538A (ja) * 2017-12-07 2019-06-27 マイクロマテリアルズ エルエルシー 制御可能な金属およびバリアライナー凹部のための方法

Also Published As

Publication number Publication date
EP1172845A3 (en) 2009-09-30
KR100743775B1 (ko) 2007-07-30
KR20020007224A (ko) 2002-01-26
EP1172845A2 (en) 2002-01-16
US20020016085A1 (en) 2002-02-07
US6794311B2 (en) 2004-09-21
TW521304B (en) 2003-02-21

Similar Documents

Publication Publication Date Title
US6794311B2 (en) Method and apparatus for treating low k dielectric layers to reduce diffusion
JP4738568B2 (ja) 炭素含有層の付着強化と酸化最小限化のためのプラズマ処理
US9418889B2 (en) Selective formation of dielectric barriers for metal interconnects in semiconductor devices
CN1938833B (zh) 促进多孔低k膜与下方阻挡层的粘附的方法及互连结构
US8669181B1 (en) Diffusion barrier and etch stop films
US6218301B1 (en) Deposition of tungsten films from W(CO)6
US6632478B2 (en) Process for forming a low dielectric constant carbon-containing film
KR101468241B1 (ko) 상호접속 구조체 및 다마신 구조체의 제조 방법
TWI459508B (zh) 用於互連的界面覆蓋層
KR100227287B1 (ko) 매립 도전층 및 그 형성방법
US20040115876A1 (en) Method of manufacturing silicon carbide film
US20030148223A1 (en) Method of depositing low dielectric constant silicon carbide layers
US6614096B2 (en) Method for manufacturing a semiconductor device and a semiconductor device
WO2006024017A1 (en) Low temperature process to produce low-k dielectrics with low stress by plasma-enhanced chemical vapor deposition (pecvd)
KR100817350B1 (ko) 규소 탄화물 박막의 이중 플라즈마 처리
US6753258B1 (en) Integration scheme for dual damascene structure
WO2007020684A1 (ja) 半導体集積回路装置およびその製造方法
US20020142104A1 (en) Plasma treatment of organosilicate layers
US20120276301A1 (en) Adhesion improvement of dielectric barrier to copper by the addition of thin interface layer
TW201001550A (en) Adhesion and electromigration improvement between dielectric and conductive layers
US7763538B2 (en) Dual plasma treatment barrier film to reduce low-k damage
JP2006024668A (ja) 半導体装置の製造方法
JP2006147895A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080623

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110512

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110607

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110906

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110909

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120214