TW480529B - Method and apparatus for ionized physical vapor deposition - Google Patents

Method and apparatus for ionized physical vapor deposition Download PDF

Info

Publication number
TW480529B
TW480529B TW089124470A TW89124470A TW480529B TW 480529 B TW480529 B TW 480529B TW 089124470 A TW089124470 A TW 089124470A TW 89124470 A TW89124470 A TW 89124470A TW 480529 B TW480529 B TW 480529B
Authority
TW
Taiwan
Prior art keywords
assembly
target
window
coil
shield
Prior art date
Application number
TW089124470A
Other languages
English (en)
Inventor
John Stephen Drewery
Glyn Reynolds
Derrek Andrew Russell
Jozef Brcka
Mirko Vukovic
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Application granted granted Critical
Publication of TW480529B publication Critical patent/TW480529B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • C23C14/354Introduction of auxiliary energy into the plasma
    • C23C14/358Inductive energy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3435Applying energy to the substrate during sputtering
    • C23C14/345Applying energy to the substrate during sputtering using substrate bias
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3402Gas-filled discharge tubes operating with cathodic sputtering using supplementary magnetic fields
    • H01J37/3405Magnetron sputtering
    • H01J37/3408Planar magnetron sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/3414Targets
    • H01J37/3426Material
    • H01J37/3429Plural materials

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physical Vapour Deposition (AREA)

Description

480529
五、發明說明( 本申請案主張優先於1999年11月18曰由 經濟部智慧財產局員工消費合作社印製 wery守 所提美國專利申請案〇9/442,6〇〇號,茲明確將其納入參考 本發明關於離子化物理蒸鍍(IPVD),更明確地說爲關方 在半導體晶圓基體上沈積薄膜(特別是金屬薄膜)之方法及聋 置,其中自一標靶濺射塗層物質,使濺射物質離子化,石 將離子化塗層物質導至基體表面上。 發明 離子化物理蒸鍍爲一種對矽晶圓上高深寬比結構填充石 加襯特別有用之程序。在離子化物理蒸鍍中,例如要在4 導體晶圓上沈積薄塗層,所要沈積物質自—來源藏射或》 其=万式恶發’然後大部分蒸發物質在到達要受塗佈晶厦 ,前轉變成正離子。此離子化過程係由在—眞空室内工竹 Λ體中產生之鬲密度電漿達成。此電漿可藉由射頻能量經 由一射頻動力勵磁線圈磁性耦合至處理室眞空之内產生。 依此方式產生之電漿集中在來源與晶圓間::區域内。然 後將電磁力施加於塗層物質之正離子,例如在晶圓施加一 負偏壓。此-負偏壓可因晶圓電隔絕而形成(因爲晶圓浸沒 在-電漿内)’或是藉由對晶圓施加一射頻電壓而形成。該 偏壓使塗層物質粒子朝晶圓加速,使得更多部分塗層物質 以太致垂直於晶圓的角度沈積在罐。如此容許金屬依 晶圓形貌沈積,包括晶圓表面上之深孔和_孔以及渠溝, 對此形貌之底部和側壁提供良好覆蓋。 有一些由本申請案受讓人提出之系統揭示於1997年4月21
480529 A7 B7 五、發明說明(2 ) 經濟部智慧財產局員工消費合作社印製 日提出之美國專利申請案08/844,75 1號;08/837,55 1號及 08/844,756號,茲明確將其納入參考。此等系統包括一眞空 室,該眞空室通常爲圓筒狀且其弧形外壁有部分由一介電 材料或窗構成。一螺旋導電線圈配置於該介電窗之外且共 心圍繞該隔室,該線圈之軸向範圍爲介電壁軸向範圍之一 顯著邵分。在作業時該線圈自一射頻功率供應源經由一適 當匹配系統供能。該介電窗容許能量自該線圈耦合至該隔 室内且同時將線圈隔離不與電漿直接接觸。該窗由一窗屏 排列(通常由金屬構成)保護不受金屬塗層物質沈積,此等窗 屏能夠讓射頻磁場通入隔室内部區域,同時避免金屬沈積 在介電窗上,此等沈積易於形成由此等磁場產生之循環電 流的導電路徑。此等電流因造成電阻加熱及降低線圈至電 漿乏電漿勵磁能量的磁耦合而爲有害。此勵磁能量之目的 爲在隔室内部區域產生高密度電漿。耦合減量導致電漿密 度降低且使處理成果變差。 在此等離子化物理蒸鍍系統中,物質舉例來説爲自一標 靶濺射,該標靶相對於電漿帶負電,通常爲藉由一直流電 電源供應源使其帶電。該標靶通常爲一平坦磁控管設計結 合一磁路或其他磁性結構將一電漿約束於該標靶使該標靶 錢射。物質到達支撑於一晶圓支撑件或檯上之晶圓,通常 藉由一射頻功率供應源及匹配網路對該晶圓施加射頻偏壓。 一種略有不同之幾何配置利用由一位在眞S室内邵之線 圈產生的電漿。此一系統並不需要介電隔室壁或特殊屏蔽 物來保護介電壁。此一系統揭示於Barnes等人之美國專利 請 先 閱 讀 背 面 之 注 意 事 項 再巍 寫$ # 裝 訂 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) A7
、發明說明(4 ) :比孔(底邵觸點形成低電阻連接部的需求。此提高在障 =材料(例如包和氮化㈣上使用高導電性金屬(例如銅)之 而求。習知技藝中沈積此等材料之技術並不全然令人滿意。 、在習知技藝中以物理蒸鍍法進行之物質沈積涉及賤射源 〈關鍵性設相在魏室内產生均勻電漿濃度且直接影變 沈積薄膜之分佈均勾度。習知技藝議題爲此之故在其他 能參數有所犧牲。 基於上迷考量點及問題,目前仍需要在離子化物理蒸鍵 :理系統内更有效地將能量耦合至濃密塗層物質離子化電 L且在不妨礙隔室最佳尺寸且最好不將-線圈或其他轉 合π件置入眞空室内的前提下達成如此。 曼Jg概述 本發明t一目的爲提出一種離子化物理蒸鍍法及一種離 子化物理蒸鍍裝置,其中線圈或其他_合元件不對處理裝 置之隔室幾何配置造成不良影響。本發明之另一目的爲提 出種更有效率且更有效之離子化物理蒸鍍法及裝置。 依據本發明I原則,_離子化物理蒸鍍裝置具備一環狀 空層物質源用以對一眞空室内處理空間產生包含塗層物質 原子或微粒子之蒸汽。在該環狀源中央具備一耦合元件用 來將射頻能量反應性地耦合至隔室内以在處理空間内產生 回笟度反應耦合電漿使通過處理空間之塗層物質離子化 。塗層物質之離子朝位在處理空間之與來源相反端之隔室 内一基體漂移(不管是受到靜電場或電磁場或其他因素影響) 。到達離基體某一特定距離(例如一公分左右)内之離子遭遇 〇υ^29 經濟部智慧財產局員工消費合作社印製 Α7 五、發明說明(5 ) 一鞘場且朝基體加速使得大部分塗層物質以垂直於基體之 角度到達基體,從而更有效地對基體表面上小和高深寬比 輪廓之底部和侧邊加襯或填充。 在本發明之一實施例中,一塗層物質源(較佳爲一濺射靶) 具備一中央開口,在該開口内安置一介電窗。—電漿源位 在該窗後方眞空室以外,其包含一耦合元件(較佳爲一線圈) 連接至一射頻能量源之輸出端。輕合元件規劃爲將自能量 源供應的能量耦合(較佳爲感應耦合)通過物質源中央開口之 窗且進入隔室内介於塗層物質源與基體(例如一半導體晶圓) 間之區域内,該基體在一基體支撑件上處於隔室内與物質 源相反端。 本發明之裝置包括一環形濺射靶圍繞一中央陶資窗。此 環形標靶較佳爲截頭圓錐形。一磁控管磁體總成定位於標 革巴後方以在標靶上產生一電漿約束磁場,其形狀較佳爲一 在環形標革巴表面上之環形隧道以其中央圍繞中央開口。 耦合元件較佳爲一線圈定位在一環形濺射靶中央開口之 介電窗背侧外表面後面附近。射頻能量(例如丨3.56 ^41_1幻施 加於線圈以在隔室内標乾與基體間激勵一高密度感應耦合 電漿。一主濺鍍電漿受困於標靶表面之磁控管磁場且自標 乾濺射出塗層物質進入受濃密次電漿佔據之處理空間區域 内1大部分塗層物質在此區内電子剝離而形成塗層物質之 正離子。一負偏壓施加於基體支架上之一晶圓,其將次電 衆區域内之濺射物質正離子吸引至基體表面,離子之入射 接近角垂直於基體使得此等正離子能進入晶圓基體上之渠 本纸張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁)
-------訂---------線^^. A7 B7 發明說明 經 濟 部 智 慧 財 產 局 消 費 合 作 社 印 製 溝和凹孔以塗佈此等凹孔及渠溝之底部。 、本發明衮置及万法之—些實施例包括一離子化物理蒸鍍=利用3L體線圈對隔室内立體區域之—濃密感應耦合立體 二f么、月匕$ P网至在30至130毫托(T〇rr)之眞空壓力作業以道貝、’’、化私水,使得塗層物質離子能在電漿内形成且受電 寸引垂直土基體上,從而降低標靶及磁體構造對塗層均勻 度之影響。離子化物理蒸鍍源通m合至隔室内通過 1介電常數物質[例鐵氟龍(TEFL〇N)間隔件]然後通 過=電窗(例如石英),此介電窗構成關閉在一環形標革巴中 央之P网至壁内圓形開口的眞空阻障。在隔室内有一窗屏 具備人罕紋槽缝相對於線圈之導體取向。此窗#保護窗不 雙=(特別是金屬塗層物質),同時讓感應搞合射頻能量進 泰^主内此▲屏更可作用如-法拉第屏,避免自線圈至 電漿之電容耦合並避免通量壓縮加熱。此窗屏具有整體化 冷:系統且由鍍銘轉銅構成,使此窗屏得以化學方式^解 銘塗層以去除累積物然後對銅窗厚重新鍍上銘以重新使用 之方式重建。窗與窗屏總成構成一可拆組合。窗與窗屏有 斤門^使®藉由形成於窗屏狹縫處之電漿使窗屏狹缝附近 自清。 標乾較佳爲截頭圓錐形,截頭錐壁對水平面或窗平面傾 斜约 3 5。。佶 si _ ; Α , 1 ’、 —一 更—水久磁體組在標靶表面上產生三個(最好 只有三個)磁隧道,其中-個主要中央隨道繼壽命初期 王寸k蚀;衣形標革巴之平均半徑以及二個次隧道在標革巴壽命 後期王導fe蝕標靶環之内外框緣附近的溝槽。 請 先 閱 背 之 注 意 事 項
頁I ^ I
呑丁 I I I I
五、發明說明(7 上垂直運動以提供六到八英吋之標靶至基體間隔(TSS)且讓 曰曰圓傳遞至一轉運模組之轉運臂。支撑件具備一靜電夾盤 ,且晶圓加熱及冷卻係利用_遠離於支撑件之踊爾2 (Pelher)裝置,茲珀爾帖裝置透過一GALDEN流體迴路與支 撑件連接且透過另一流體迴路與一散熱器連接。該靜電夾 盤爲三極型,Μ夾盤網栅當作電極對晶圓提供雙區偏壓以 將離子化__引至晶圓。—㈣環圍繞晶圓邊緣以 提供非接觸性邊緣遮蔽。 隔室有-可拆式屏蔽插入件成二個部件互相機械性浮動 以接受因不等加熱造成之不等膨脹。該窗屏總成爲可更換 次組合。該裝置特別適用於在钽和氮化短上沈積銅以及在 -有圖案晶圓上沈積下層Is和氮化备障壁層,其中起㈣以 離子化物理蒸鍍方式沈積且氮化钽(TaN)以物理蒸汽沈積方 式沈積於相同Ρβ内,,然後以離子化物理蒸鍍方式在接於 相同工具之—轉運模组的—個相似模組内沈積銅。依此方 式沈積之銅適合以許多種銅填充方法之任—種後鲭處理, 特別是電鍍。該等處理較佳利用工作參數(process parameter)執行,其中包括:壓力,溫度,氣體,偏壓功率 及/或電壓電平,政射功率級,IC功率級等,如下文所述。 依據本發明之裝置結構’處理室之尺寸得訂定爲提供塗 層物質源與基體間最佳間隔以使藏射物質良好離子化並均 勻沈ί貝在晶圓上。 本發明在規劃處理室使離子化物理蒸鍍程序最佳化方面 (請先閱讀背面之注意事項再填寫本頁) 訂---------線« 經濟部智慧財產局員工消費合作社印製
480529 A7 B7 五、發明說明(8 提供較大設計選擇自由度,且在此同時克服在前文有關發 明背景所提及之難處。 以上及其他本發明目的和優點由下文所附圖式詳細説明 會更易於了解。 圖式簡單説明 圖1爲依據本發明離子化物理蒸鍍裝置之一實施例的剖面 簡圖。 圖1A爲與圖1相似之剖面圖’圖中裝置之離子化物理蒸鍍 源已拆開。 圖1B與圖1A相似,圖中顯示已拆開來源凸緣及標靶總成 之該裝置離子化物理蒸鍍源。 圖1C爲圖1裝置之離子化物理蒸鍍源的局部剖面簡圖,所 取副線係在下文所述圖13當中取得。 圖1D爲圖1C離子化物理蒸鍍源之分解透視圖。 圖2爲圖1C和1D離子化物理蒸鍍源之殼體部分的分解透視 圖。 圖3爲圖1(:和10離子化物理蒸鍍源之標靶總成部分的分解 透視圖。 經濟部智慧財產局員工消費合作社印製 圖3A爲圖3標靶總成之局部罩蓋的局部透視圖。 圖4爲圖1(:和10離子化物理蒸鍍源之磁控管磁體總成的剖 面放大簡圖。 圖5與圖4相似,其爲一替代磁體總成之剖面放大圖。 圖6爲圖K^n1D離子化物理蒸鍍源之窗屏及窗總成的分解 透視圖。
480529 A7
五、發明說明(9 ) 圖6A爲圖6之打圈標示部分的剖面圖。 圖7爲圖1C*1D離子化物理蒸鍍源之射頻來源總成的刻面 圖。 圖8爲圖7射頻來源總成之分解透視圖。 圖9爲圖1C和1D離子化物理蒸鍍源之冷卻歧管安裝總成, 所取剖線係在下文所述圖丨3當中取得。 圖爲圖9總成之一流體耦合總成部分實施例的剖面放大 圖,所取剖線係在下文所述圖13當中取得。 圖11爲圖9總成供圖6窗及屏總成使用之安裝連接器總成 的剖面放大圖,所取剖線係在下文所述圖丨3當中取得。 圖12爲圖1C和1D離子化物理蒸鍍源總成之圖9總成之一直 流電接觸短管邵分的剖面放大圖,所取剖線係在下文所述 圖13當中取得。 圖13爲圖1C和1D離子化物理蒸鍍源總成之磁體及冷卻歧 管安裝總成部分頂視圖。 圖13A爲圖13之打圈標示13A部分的放大圖。 圖14爲圖1 c和1D離子化物理蒸鍍源總成之離子化物理蒸 鍍源凸緣及暗區護屏的分解透視圖。 圖15爲圖1裝置之靜電夾盤晶圓支撑總成的底部透視圖。 圖16爲圖1裝置用於圖15晶圓支撑件之晶圓支撑及升降總 成部分的分解透視圖。 圖1 7爲圖16晶圓支撑總成部分之一幸由向剖面圖。 圖18爲圖1裝置之晶圓支撑垂直位置調整總成之透視圖。 圖丨9爲圖1裝置之眞空室壁總成之頂部透視圖。 -12- 本紙張尺度適用中國國家標準(CNS)A4規格(21〇 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) 訂---------線· 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(1〇 ) 匕圖20爲圖!裝置之下部側面透視圖’圖中特別顯示圖财 興-1:壁總成以及該裝置之氣體眞空系統部分。 圖2 1爲圖20氣體眞空系統之簡圖。 圖22爲圖!裝置之隔室壁總成之賤射屏部分的分解透視圖。 圖23爲圖i裝置之離子化物理蒸鍵源升降機構之分解透視 圖。 feuy曰説明 圖1緣出依據本發明之一實施例的離子化物理蒸鍵(IPVD) = 500。離子化物理蒸鍵裝置5〇〇包括由一隔室壁總成別2 ,定(眞空室501。隔室5〇1具備一離子化物理蒸鍵源如在 :鍍至501容積内供應蒸汽形態之塗層物質且使濺射物質蒸 汽離子化;—靜電夾盤晶圓支撑系統5Q7在處理過程中托住 晶圓;:晶圓搬運系統504和504a用來裝載及卸载處理用晶 L 一ϋ空及氣體搬運系統505 (圖20-21)用來將隔室5〇1排 i至·Ή壓力級;-離子化物理蒸鍍源升降機⑽用來卸 下並更換心及對來源進行其他維㈣養;及—控制 ⑽依據本説明書所述方法和程序以及以裝置咖進行^ 經濟部智慧財產局員工消費合作社印製 他工作操作裝置500之其他系統。 裝置5〇〇爲-能夠提供以下特徵及作業條件之可作用模也 低則G.8托之基準眞空,⑺作業缝壓力介於3〇至13〇 (3)提供G-5G毫乾讀之反應氣體,(4) 6至9英忖 =可變基體至標革巴間距,(5)靜電夾持讓背面以氣體加妖或 ^二⑹以具有良好政射物質附著性之表面異蔽限制對 斥理组件之沈積以避免顆粒產_生。 480529 A7 B7 五、發明說明(11 離子化物理蒸鍍源503之總體概念揭示於美國專利申請案 09/073,141號,茲明確將其納入參考。來源503之特定施行 包括在該申請案中提出之一種環狀標靶10,特別是截頭圓 錐形標I巴。本質上來説,離子化物理蒸鍍源5〇3之根本目的 包括提供以下特徵及特質··(1)僅要求最少量操作人力及最 小可行工具組進行常規工作,(2)提供自任何水或其他冷卻 流體分離射頻和直流電功率達最佳可能程度,提供較爲 簡化的設計和操作,(4)容許快速維修或更換來源,其中包 括快速更換整個内部來源總成,快速更換標靶及各隔室窗 屏’(5)提供模組化内部總成,及(6)維持射頻屏蔽完整性以 避免輻射漏洩至作業環境内。 離子化物理蒸鍍源503架在壁502頂上且具備一來源凸緣 及暗區環總成470 ’後者與壁502圍繞隔室壁502頂部内一圓 形開口 4 12周長形成一眞空密封。該離子化物理蒸鍍源包括 環形標乾10及一射頻源總成450,其對隔室5〇1内一感應鶴 合電漿供能。該射頻源總成位在標靶丨〇環内側之一開口 42 i 内與一晶圓(例如爲一 200公釐或300公釐晶圓)1〇〇相向,該 晶圓安裝在晶圓支撑系統507之一靜電夹盤97上。來源5〇3 包括一來源殼體總成410,該總成包括一來源殼體1 (圖2), 其較佳爲一鋁熔接件。來源殼體i包括用來安裝來源5〇3工 作件之結構,以及用來使來源503能夠由來源升降總成46〇 接合、升起、降下以安裝於或卸離裝置5〇〇之耦合結構々Η ,如圖1A所示。離子化物理蒸鍍源5〇3一旦由來源升降機 460升起即能轉動且因而由升降機46〇將其自面下作業取向 請 先 閱 讀 背 面 之 注 意 事
寫裝 本衣 頁I 訂 經濟部智慧財產局員工消費合作社印^^
480529 A7 五、發明說明( 12 經濟部智慧財產局員工消費合作社印製 (圖1和1A)顚倒成圖1B所示面上取向,其中在後一取向中來 源503能進行維修’例如更換標靶1〇或拆下來源5〇3之其他 組件以清理或維護。 如圖2所TjT ’離子化物理蒸鍍源5〇3爲塗層物質及用來產 生離予化塗層物質以藉由離子化物理蒸鍍裝置5〇〇沈積之離 子化能I的來源。標靶濺射功率經由一連接器2帶入殼體i 内。爲了沈積金屬或其他導電塗層物質,標靶濺射功率爲 由裝置500上一直流電供應源(圖中未示)供應之直流電功率 。要沈積不導電物質時,標靶功率係由一射頻功率供應源 提供。在來源503安裝於隔室5〇1頂上就其作業位置時,功 率連接器2與永久性安裝在隔室壁5〇2上之一連接器以配合 。因此,標靶功率無法在來源5〇3未在隔室5〇1上就位時呈 現夭“乾10上 負直流電饋線147穿過水密應變緩和襯套 149至一嵌在殼體丨内側絕緣塊4内之插座3,而一正饋線148 直接連接至殼體1,其通常保持在系統大地電位。 埠口 5在殼體1頂上,導體40 (圖7)穿過該埠口讓射頻連接 邵152連接至來源殼體丨頂上一感應耦合電漿(icp)產生器(圖 6-8)之射頻調諧器96 (圖2)。自動調諧器96爲一安裝於來源 殼體1頂上之商用單元(圖2)。大型内螺紋連接器4〇a經由連 接器40對射頻線圈總成450供應電力(圖7_8)。在殼體工之外 ,射頻纜線將射頻調諧器96連接至裝置5〇〇上—射頻產生哭 (圖中未示)。殼體1亦具備一互鎖開關6偵測射頻調諧器單元 96及線圈總成450是否存在。 互鎖開關7由一推捍機構7a致動,其由 彈簧加載銷 ---------訂--------- (請先閱讀背面之注意事項寫本頁) •鉍裝 寫太 卜紙張尺度適財關家標準(CNS)A4規格(21G X 297公爱) -15- 480529 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明(13 ) 66 (圖9和13)作動以在標靶1〇正確安裝時作動開關7。互鎖 2關7係用來確保在標靶1〇爲就位且鎖定之前無法供應水和 %力 斜置螺旋彈簧8用來對一圍繞隔室5 〇 1壁5 02頂部内 圓形開口 4 12之來源凸緣及暗區環總成47〇達成一電連接 i複數個(例如三到六個)手動夾9圍繞殼體丨等距間隔,在此 等手動夾ί干放時容許拆下來源凸緣及暗區環總成並更換 t靶10或進行其他維護,或是許可拆下並更換標靶或對 來源设體1内其他組件進行維護。埠口 15〇用來讓水進出, 且阜口 15 1用來連接在主來源殼體1外部之一水迴路。 “靶1 〇爲一標靶總成420之部分,該總成示於圖3。標靶 爲截頭圓錐形,且設計爲使製造成本降至最低。其有單 、上〇形%溝槽U定義上部眞空耦合及一細拋光表面427達 成下F耦口。標靶1〇之邵分428爲光滑。標靶可爲單一個 缸如“靶1 〇爲銅之案例所慣見,或者其可爲利用濺鍍工 私師所熟知之多種技術使一層來源物質結合於一結構性背 襯板構成。標靶之相反側定義一圓錐之擴張夾角,此角較 佳約110 ° 。 圓錐形標靶1〇乏11〇。夹角係在利用Kushner等人之HpEM 碼進仃大量電腦模型分析之後選出。此角度在壓力、功率 及車又佳約6至9英吋標靶_基體間距導致最佳沈積均勻度。 「較小夾角亦可能合乎所需,但小於9〇度的角度預料中會 ^ ^知速率降低而均勻度沒多大改進。較大夾角預料中 會使標靶利用程度變差且沈積均勻度也變差。 〇形%溝槽11位在標靶環内緣之標靶…上端圍繞標靶⑺ · 裝—------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 爾 -16- 480529
五、發明說明(14 ) (請先閱讀背面之注意事項再填寫本頁) 央開口 42 1。在ο形環溝槽丨i外侧提供堞狀特徵處12以容 许標乾ίο安裝於—冷卻水系統422 (圖9)而無須使用螺桿。 在開口 421内徑提供一梯級丨54,其與窗屏及窗總成44〇 (圖 6)内一相似梯級(在下文説明)組合避免金屬沈積在一覆蓋開 口 421之介電窗33上(亦在下文中説明)。 払靶ίο與一冷卻罩蓋13配合以定義冷卻水系統422。罩蓋 13在其内侧於一管道16兩侧上具有”方形密封環(quad nng),, 水封14和15。密封14和15在標靶10與罩蓋13組裝在一起時 接觸標乾ίο的後部。提供卡栓總成結構153與標靶1〇上堞狀 特徵處12配合使罩蓋13與標靶1〇連接。爲了使標靶1〇與罩 盖13接起來,在罩蓋13内提供槽缝17以容許罩蓋13落在堞 狀特徵處12上,在此之後標靶1〇和罩蓋13相互轉動以產生 一”果醬罐(jam jar)’’效應使部件1〇和13在轉過大約2〇。時上 緊’所轉角度略小於堞狀特徵處12與配合卡栓總成結構153 間角間距之一半。 經濟部智慧財產局員工消費合作社印製 標靶冷卻水經由琿口 1 55進入形成於罩蓋丨3前表面之一環 狀管道16内的歧管18進出冷卻罩蓋π,如圖3A所示。埠口 155和歧管18相互繞罩蓋π内管道16隔180。。歧管18比管 道深且每一歧管佔用管道16之一大約10。扇區。在每一歧 管18之兩側上有一溝槽i9a接受一梳狀物19。每一梳狀物19 爲二薄金屬鑲簽物,其上有一系列凹口 1 9b。此等凹口 1外 在水進入主管道16内時將水分成獨立流束,避免水流内形 成會導致冷卻效率降低或可能使水局部沸賸之停滯區。梳 狀物19的設計係由計算機流動力學模型分析決定。梳狀物 -17- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 480529
五、發明說明(15 經濟部智慧財產局員工消費合作社印製 19可拆下換成其他設計用於不同流率對壓力關係之梳狀物 而:用拆下並更換整個罩蓋13。在標靶壽命的結束時可將 罩盍13拆離不堪使用的標靶並再利用。水耦合係經由彈簧 加载水耦接件69 (圖9-10)(在下文説明)透過罩蓋13進入歧管 18達成。直流電功率經由一彈簧加載接觸短管(圖12)(在下 文說明)連接。 離子化物理吞鍍源503包括一磁控管磁體總成43 〇 (繪於圖 4),其包括一磁體組20連接於標靶總成42〇之背側。磁體組 2〇包括一鋼軛鐵21及排列成三個環的磁體22,此三環如圖 所示包括一内環22a、一中間環22b及一外環22c。由磁體22 ,生之合場線包括:一主要磁隧道26,其在標靶壽命初期 影響標靶侵蝕且沿環形標靶丨〇之一中間半徑以一圓形路徑 運行,及内磁隧逍27和外磁隧道28,二者使標靶侵蝕朝標 靶%之内外框緣散佈直至標靶壽命終結。因此佈置造成之 k蝕溝槽隨標靶侵蝕而加寬,使得標靶物質利用率提高。 兹22藉由黏著力保持在其位置。可使用非磁性環使磁 正確間隔,且此總成可裝在一具有便於安裝在來源殼體1 之形狀的模造塑膠體24内。 一替代磁性佈置示於圖5。此較簡單設計預料中使標靶利 用率比圖4所示低。磁體總成2〇較佳設計爲使一磁隧道之至 〆—4分將電漿困在標靶1 〇上以在標靶壽命中隨時發生一 枉1 〇淨知蝕使標乾〗0上不發生再沈積。達成此目的之— 方式爲將中間磁體環22b安置在離標靶夠遠處使其磁場不抵 销由在内外磁體環22a和22c之相反極間延伸之主隧道26尸 (請先閱讀背面之注意事項再填寫本頁) 裝--------訂-------- -18- 480529 ------------B7____ 五、發明說明(16 ) 線形成的隧道。 違磁體組含有數個平行於軸線鑽出之孔25用來承載水和 直流電功率的饋入件通到標靶。磁體22之總成塗佈一硬質 聚合物塗層如聚氨酯或包在黏合於磁體組2〇之非磁性金屬 或塑膠包覆件内。此塗層避免磁體22和軛鐵21在空氣中氧 化,同時避免磁體22 (其可爲燒結構造物)成爲一污染粒子 源。 離子化物理蒸鍍源503亦包括一窗及窗屏總成44〇,其示 於圖6。窗屏及窗總成44〇包括一介電窗33由一7公釐厚磨光 高純度鋁板構成及一窗屏26由一導電物質如鋁或銅構成。 就金屬塗層物質而言,窗屏26較佳爲金屬製且可作用如同 一法拉第屏。窗屏26有一體式冷卻水管道27形成於其内且 足義於冒屏26之一環形框緣部分與燒或熔接於窗屏%框緣 27a之環形管道罩環27a之間。數個槽缝28銑入窗展26之 内。槽缝28之橫斷面較佳成人字形(如圖6A所示),或爲擋 住視線並保護冒33不受隔室501内塗層金屬直接沈積之其他 形狀。槽缝28之尺寸經電腦模型分析最佳化使窗屏%對射 頻把里之牙透性與隔室5 〇 1處理區内濺射物質最少量到達介 電窗3 3間取得平衡。 對窗屏26管道27之水連接係經由旋入窗屏26内且藉由〇形 環3—0達成水封之不銹鋼短管29達成。每一短管29具有外螺 紋3 1及一錐形縮細端32,一光滑面層已在該端上。裝有短 管29之窗屏26總成以短管29穿過介電窗33之孔34組裝於窗 33,其中孔34與孔34a對正,孔34a.爲繞窗屏26框緣相隔 -19- 本紙張尺度適用中國國家標準(CNS)A4規格(21〇 X 297公釐)" ---- —----------裝 (請先閱讀背面之注意事項再填寫本頁) 訂---------線0· 經濟部智慧財產局員工消費合作社印制衣 480529 A7 經濟部智慧財產局員工消費合作社印製 五、發明說明(17 ) 180且與管道27連通。有二個孔34讓水短管穿過,一個當 作水入口而另一個當作水出口。鐵氟龍墊圈3 5及鋁滚花螺 母36鎖在短管29上且壓縮窗屏26與窗33間之〇形環37圍繞水 短官29形成一眞空密封。〇形環38位在螺母36之内,其不具 密封機能但當作彈簧協助避免螺母36過份上緊。 個用來將已自標革巴1 〇丨賤射出之物質離子化的濃密次電 裝由一射頻線圈總成45〇 (如圖7所示)激勵,該射頻線圈總 成包括一立體線圈39,該立體線圈之構造及電氣性能和特 質揭示於J0zef Brcka (本案發明人之一)於1999年3月26曰申 請之美國專利申請案09/277,526號,,Pr〇cess八卯以肘似AM Method For Improving Plasma Distribution And Performance in an Inductively Coupled Plasma”,茲明確將其納入參考。 饋X該線圈之射頻係由旋入線圈端件42插座4丨内之一對内 螺紋連接器40供應。饋入的水係經由一對螺紋管耦接件43 供應。該等耦接件受一具備〇形環溝槽45之凸緣44圍繞。 線圈39嵌在一高介電常數絕緣杯件仏内,該杯件例如用 鐵氟龍製成。杯件46在杯表面不同區域之材料厚度經計算 爲盡可能薄到與抑制對最接近導電表面產生電孤之厚度一 致。爲了進行此項計算,針對由鐵氟龍、一空氣隙、及任 何其他電介質如來源窗33構成之儀器堆計算有效場及空氣 中壓力-距離乘積。鐵氟龍厚度得設定爲使場強度永遠比導 致2氣分解所需的低(在合理的空氣隙値條件下)。此計算容 汴杯件厚度安全地減至最小。一最小厚度能使對電漿之耦 合爲最佳且放寬線圈39的尺寸限制。 -20-
(請先閱讀背面之注意事項再填寫本頁) _ 裝---— 訂------- 線·! 480529 A7 B7__ 五、發明說明(18 ) ""—~ 杯件46嵌於一鋁環47内。此環利用有肩螺桿62及彈簧 安裝於水冷總成422,此二者在作業時將該環壓抵身爲上述 冒及冒屏總成44〇之一部分的介電窗33。然後窗3 3壓抵標靶 上Ο形環4 8 (其位於溝槽11内)。此等彈簧加載補償了公差錯 配和機械性變形並藉此將眞空密封維持在一起,容許系統 降壓。 環47亦涉及一斜置螺旋彈簧49及一冷卻水管5〇。環叼内 有一個軸向孔5 1,來自窗屏之窗屏水短管29能穿過此二孔 (圖8)。在環47背側具備圍繞孔51之凹處52供鐵氟龍墊圈53 使用。 ‘ 一鋁圍蔽件54裝在線圈39上方且由一螺栓圓周保持住使 得有一經由斜置螺旋彈簧49至鋁環47之電連續性。其目的 局遊免水漏洩(若有的話)到存在於線圈上之高電壓並作爲來 源所發出射頻之一主要阻障。射頻能量僅能透過介電窗3 3 逸入隔室501之處理空間内。該圍蔽件在四個地方穿透,二 個埠口 55承載射頻饋線及二個埠口承載線圈39之水·饋線。 鐵氟龍邵件57通過水饋送埠56且經過〇形環45構成一水封。 此提供次要封鎖線使線圈水耦接件内之水漏洩不會導致水 與射頻7G件接觸。使用鐵氟龍絕緣件58讓線圈及射頻連接 器與圍蔽件電隔絕。鐵氟龍梳狀物59與絕緣螺样一起使用 將線圈固定於杯件46。如此使不同來源間有一致行爲。 如圖9所示,冷卻水經由安裝於一大塑膠板6〇上之組件分 配,該塑膠板亦支撑磁體總成430 (其爲在間隔件61上安裝) 及射頻源總成450 (其爲利用有肩螺捍安裝)。一個主要銘 (請先閱讀背面之注意事項再填寫本頁) 裝 --------------- .fe,濟苦智慧財產局員工消費合作社印4农 -21 - 本紙張尺度適用中國國家標準(CNS)A4規格(21〇 297公釐) 經濟部智慧財產局員工消費合作社印制衣 480529 五、發明說明( 歧官组64安裝於板6〇且經由螺紋連接自來源外部帶入水。 個:要歧g 65谷許通過線圈之水流由安裝於來源外側之 ^里恙/’、!_私查並答許水流連接至來源凸緣及暗區環總 成470内一冷卻管道。 標革巴H)之冷卻水通過短管總成68,如圖iq所示。總成^ 設計為使水耦接件69能穿過不大於耦合管本身外徑之孔組 裝;、此容許磁體組内孔25為最小直徑,如此使該等孔可能 造成I磁場擾動減至最小。總成68為彈簧加載,彈簧7〇在 壓入塑膠安裝塊60内之金屬杯件71間肖藉由定位環Μ保持 在水f 69上就位之墊圈72之間作用。依此方式,在作業中 、卻$下a木在〇形環74上,該〇形環困在標靶冷卻罩蓋 13内一特殊設計細部内。此細部為一雙重錐形孔,其與〗s〇 及SAE標準流體連接圍蔽件中所用的相似。 固屏26經由亦對孩冒屏達成一直流電連接423之特殊耦接 件75冷卻,如圖U所示。㈣接件特徵在於具備_面内〇形 環76之縮細凹處。整個配件由彈簧77加載;且在組裝起來 時,短管29之縮細表面受迫硬卡住〇形環% ;構成一水封。 斜置螺旋彈簧77有雙重功能。首先,其對窗屏糾成電連 接。其次’其執行-閃鎖功能,與短管29内—淺凹處接合 ,琢淺凹處在W屏26已安裝於水殼體422之後將窗屏大致維 持在疋位。取後,此耦接件有一縮細孔78用來達成電連接 。該耦接件穿過鐵氟龍墊圈53插入射頻總成内。支撐塊79 安裝於塊體60上並支撐該耦接件,且其亦對彈簧77施加壓 力。邊等塊體就其上端與接觸指丨56配合使整個總成接地, -1 -----------:·-裝------ (請先闓讀背面之注意事項再填寫本頁) 訂---------·· -22- 480529 五、發明說明(20 ) 且在來源組裝起來時經此藉由對來源殼 一法拉第屏。 、战佞觸而形成 塊體60亦含有供二個與水無關物件之支 —
流電接觸短管80,其類似於標革巴水輕接件經彈夢2是直 圖12所示。其中短管80受迫抵住標革巴冷卻罩其,、=另如 斜置螺旋彈簧81確保接觸。該短 ;2 B 〜工崎晉有一内螺纟圭 接器158。此直流電連接器接觸短管總成受—塑膠管:二 圍以避免在來源内有水漏我時被水嘴到。另—物 ^ 菁加載銷166 (圖9)穿過磁體组並抵住標靶冷卻罩μ’’早 。、在來源503爲组裝起來時,銷166之上端推擠上述之另°二 柱塞總成7a ’其因而作動—微開關7。此開關7之作動 標靶總成420正確地安裝,且因此可以放心打開冷卻水。 —永分‘配總成422含有數_接件及_可觀長度之鐵氣龍軟 管。萬-發生漏水,最好能將水排放來源之外並在能夠偵 測到的地方進行。因此,塊體6〇含有一排水溝槽圖案U ^ 該等溝槽在來源殼體1内之小孔83 (圖2)收尾。 經濟部智慧財產局員工消費合作社印製 應當對來源進行眞空漏洩檢查。内標靶0形環以及窗密封 〇形環相當難以接近。因此之故,塊體6〇亦具備不銹鋼毛細 管84其如圖13A所示埋在一些溝槽82裡面。在漏洩檢查過程 中,得使用管84對不可接近的〇形環附近饋送氦氣。 參照圖14,其中顯示來源凸緣及暗區環總成47〇。總成 470包括一來源凸緣67,其上安裝與夹9對應之固定部件% 。具備一型鍛冷卻水管471。上側有一凹處87具備一〇形環 溝槽88,一鐵氟龍絕緣體89嵌入其内,該鐵氟龍絕緣體 -23- 480529 A7 B7 五、發明說明(21 ) 身具備一0形環溝槽90。提供排水孔472自凹處87通到凸緣 外位’其在發生冷卻水漏洩時提供排水及視覺性警告。〇形 壤溝槽90裝載一 〇形環9〇a與標靶1〇交界,形成外眞空密封 。來源凸緣67之底侧有另一凹處讓暗區護屏9丨嵌入。此護 屏9 1藉由鎖入槽缝93内之有肩螺样92固定就位。要拆下護 屏91時,將螺桿92輕微鬆開然後輕微轉動護屏”並將其拿 起。護屏91設計爲不與來源凸緣67在接近〇形環溝槽⑽之區 域内接觸以避免該〇形環過熱。來源凸緣67利用一斜置螺旋 彈簧94對處理室電氣交界。一〇形環95當作眞空密封。 有數項特徵或考量點與離子化物理蒸鍍源5〇3有關。組裝 及拆解以供修復爲其中之一。就組裝而言,整個組裝完成 的來源内部(扣除法拉第屏總成44〇和標靶總成42〇)落入翻轉 向‘上的來源殼體總成内使直流電連接器158 (圖12)插入插座 4 (圖2)内。;上六根螺桿且將水連接至四個槔口 1 $ b 1 52 。將電饋線插上。然後來源5〇3備便可供使用。拆解步驟爲 組裝步驟的顚倒。此簡單组裝技術爲來源之模组化構造的 一個優點。常規性標靶更換爲另一項特點或考量點。在已 中斷冷卻水之後,將來源5〇3倒置並釋放夾9。然後將凸緣 總成拿起。然後得將標靶取出且之後可將法拉第屏總成拆 下。除非要鬆開暗區護厚9 1否則不需要工具。 靜電夹盤507和晶圓搬運系統5〇4協力將晶圓自一處搬移 土另一處。夾盤總成507包括一運轉支撑總成48〇,如圖15 所不,其包括晶圓支撑件、支架或夾盤97。一適用夾盤97 可由INVAX么司或其他地方取得。具備一流體通路讓冷卻 (請先閱讀背面之注意事項再填寫本頁) 一裝 訂 線. 經 濟 部 智 慧 財 產 局 員 工 消 費 合 作 社 印 製
480529 修正: 補充 第89124470號專利申請案 中文說明書修正頁(90年12月) A? B7 五、發明説明(22 ) 流體(例如GALDEN牌全氟化流體)通過。夾盤97為三極型, 具有二個埋入式電絕緣電極用來施加一夾持電壓,同時射 頻偏壓能經由、靜電夾盤電極施加於夾盤體。射頻因而耦合 至埋入式電極並因而耦合至晶圓。夾盤之所有金屬部分為 塗佈一專有電介質之鋁。背側氣體得通過一中央孔供應。 一熱電偶件安裝於夾盤之後部。 夾盤97具有數個平底擴孔且利用螺桿安裝於不銹鋼底座 98 ;有聚醯亞胺"vesper絕緣體保護夾盤不受螺桿損害並提. 供電絕緣。一絕緣塊99將夾盤與底座隔絕。 圖16繪出將夾盤安裝於其支撐結構。將不銹鋼底座98套 上支柱101,其支撐一環102。該環具有與支柱特徵處搭配 作用之特徵處以對該環賦予對夾盤之精確對準。該環具有 裝入陶瓷起針104之插座103,該等起針穿過夾盤内之孔。 環102在處理過程中架在支柱101上。在檯面降下至晶圓轉 移位置時,該環攔截一分離總成(在下文說明),且抬離支柱 ,導致起針向上穿過夾盤並將晶圓舉離夾盤備便轉移至一 搬運機。該底座有一下伸短管,在該管末端為一凸緣119能 夾在下文將說明之Z傳動總成490。 此檯具有二個護屏構造。在最簡單的案例中,一不銹鋼 護屏1〇5(見圖22)架在底座98(見圖17)之一梯級106上且屏蔽 夾盤不受金屬沈積。另一種選擇,一接地護屏由一環(未示) 補充,其直接架在夾盤上。該環可由鋁或不銹鋼製成且可( 或可不)塗佈一電介質,也許是與夾盤電介質所用相似之高 介電常數物質。該環耦合於經由夾盤電介質對夾盤施加之 -25- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 第8912ΦΤ70號專利申請案 中文說明書修正頁(90年12月) Α7
射頻功率。其優點為該護屏得與夾盤非常接近,從而更有 效地擋住金屬沈積物;且施加於該環之射頻功率使該環獲 得與晶圓相同'之偏壓,此使晶圓邊緣附近之電場扭曲減輕 。該環與該接地護屏重疊但有所距離。如此提供一金屬沈 積迴旋路徑並使物質不沈積在夾盤上。 晶圓轉移機構504 (示於圖17)利用螺紋支柱108接在隔室 壁總成502 (圖19)底座。一環1〇9藉由彈簧11〇保持在一抬高 位置。其藉由特徵處111和112保持在一精確位置。開槽支 柱Π 3 士裝於此環。當檯面或夹盤降下,支柱1 〇 1降入開槽 支柱之槽縫114内。環1〇2舉起且銷1〇4提高。在該等銷已提 高Π公釐時,支柱101到達槽縫U4底部。通常該轉移系統 會在此時插入一個撬起件(pick)以採集晶圓。檯面更為降下 會壓縮彈黃1 1 〇使得整個系統包括銷上晶圓降到赵起件上。 此時將承載晶圓之翹起件取下。風箱n 5形成一真空阻障同 時容許檯面升高和降下。運轉支擇總成480包括一剛性總成 Π 6藉由螺桿固定於夾盤,該剛性總成由三個鍍銀黃銅管 117具備規則間隔之尼龍間隔件118且在每一端具備一鍍銀 板構成。其具有三項功能:(1)夾盤電壓線,熱電偶線、溫 控流體管及背側氣體管之機械支撐;(2)對夾盤體之射頻功 率傳導;及(3)對夾盤後方空間輸送清洗用氮氣。在低溫作 業中’除非供應一清洗氣體清除濕氣不然凝結水會妨礙夾 盤作業。在此案例中在支撐管件内與夾盤交界處附近有小 橫孔。清洗氣體在管件下端連接。 用來垂直移動調整夾盤97高度之垂直升降機或Z向傳動系 -26- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) A7
五、發明說明(24 ) 、”先4 9 0示於圖1 8。其包含一管件12 〇具備一可利用失頭12 1與 棱面凸緣119耦接之上凸緣。一軌道122安裝於該管,—個 支撑在一托架124上之滑動件123架在該軌道内。一個安裝 在管件12〇下端之凸緣I26的球狀螺母125由一導螺桿127帶 動。讀螺桿由一馬達及齒輪箱組合12 8轉動。該馬達配有一 制動器(圖中未示)避免該馬達在關掉時反向傳動。馬達控制 系統爲習知類型。檯面位置利用一個安裝在導螺桿上端之 編碼器決定。托架124安裝於處理室。 一背側氣體傳送系統及組件圍蔽件1 29安裝於凸緣126下 方。此圍蔽件含有調節來往於夾盤之信號的電子系統。棱 面射頻自動調諧器130 (—商用型單元)安裝於外部。 在一實施例中,閥件及一通用壓力控制器(UPC)安裝於圍 蔽件129外部。該等組件控制背側氣體傳送。在將來該等組 件會移動另一個位置。一電漿捕捉器用來在背側氣體系統 内形成一絕緣制動室且避免在氣體管路内生成電漿。 經濟部智慧財產局員工消費合作社印製 眞空及氣體搬運系統505示於圖21之示意圖。其包括_隔 室和抽運系統。隔室501包容在一隔室壁總成5〇2内,明確 地説該隔室壁總成包括一眞空密封隔室圍蔽件131 (如圖19 和20所示),此圍蔽件爲習知不銹鋼設計。圍蔽件i 3丨有一 内隔離閥132耦接於轉移系統504之一搬運機。其中有分供 來源總成及檯面總成使用之上凸緣和下凸緣以及供眞命^十 量、工作氣體輸送等使用之適當凸緣。底座内一個八英忖 扁平(conflat)凸緣耦接於一隔離閥142,且此連接至—以約 110K作業之低溫冷卻面板143及一滿輪分子泵144。該滿於 -27- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 480529 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(25 ) 泵之速度得控制爲容許以低速(約24000 RPM)作業處理較高 氣體壓力同時在高泵速快速自大氣壓力抽汲降壓(泵速約 56000 RPM時額定値3501s-1)。該渦輪泵由一非油封型旋轉 泵145支援,後者亦用來將隔室降壓至1〇〇毫托或在排氣後 隔離閥可開放之壓力。此眞S佈置除了使用水聚/可變速滿 輪泵組合外相當傳統。 在隔室5 0 1排氣後恢復過程中,内部_素燈提供預熱除氣 (bake-out)能力。工作電漿亦用來提高模組内部的溫度,協 助預熱除氣。依此方式,在一典型標靶更換步驟之後數小 時之内達到10·8托或更低的壓力。 隔室具有外部水冷管道用來在正常作業中冷卻。一凸緣 用來讓來源升降機安裝。隔室藉由附加外部溶接肋件圍燒 此‘凸緣局部加勁,用來在來源由升降機舉高時支撑所賦予 的負荷。一個來源用直流電連接器16 2之殼體亦安裝於隔室 上。 具備及不具備反應氣體之模組的氣體系統示於圖2丨簡圖 中。氬氣對隔室之傳送係經由一簡單凸緣。反應氣體(若有 使用)通入一分歧”蛛網狀(spider)”管133,該管將反應氣體 运入處理空間内。此等管件終結於檯面總成相反侧上二個 位置。小罩蓋134架在氣體管件末端上以避免濺射物質沈積 在氣體管件上。 一濺射屏總成495如圖22所示。當中有五個護屏經歷拆卸 和清理。這些是前文所述法拉第屏及暗區護屏,前文所述 檯面護屏,以及二個隔室護屏136和.137。該等隔室護屏支 (請先閱讀背面之注意事項却填寫本頁) 【--------->π----I---- -28-
480529 經濟部智慧財產局員工消費合作社印製 五、發明說明(26 ) 4於私框lj8上。上護屏136 (其溫度通常提高至比下護屏 lj7问)二點支撑於自電樞升起之支柱139上。護屏138之特 徵處140架在該等支柱上,使護屏在隔室内對正但容許徑向 運動。此避兄因熱膨脹和沈積熱物質之後續不等熱膨脹在 屋屏内累和、應力。此等應力能導致粒子釋入系統内。該護 屏操須工具舲其拆下。下護屏137插入電樞丨38内且由其支 杯。自對正仃爲由電樞内斜切面14丨引起。拆下該護屏無須 工具。 叹屏乂内二間之抽没應在一賤射革巴之壽命全程中不管隔 置内沈積歷程如何皆受控制,也就是説,應當不管所要濺 錢晶圓數量皆以相同速率抽没。在大多數藏鏡系統中此並 未良好检制。此等系統内之抽汲可能透過隨隔室溫度改變 尺寸I間隙發生或透過護屏内用於其他用途之孔發生。 可能造成程序性問題,特別是在反應濺鍍當中。本設計一 除這些問題,目爲間隙由支柱139之長度設定。這些支柱爲 短且在最高熱負荷區域以外且因此不因熱膨脹而明顯改 長度。因此,護屏136和137間之間隙受到良好控制且抽 以一受控制方式發生在整個處理區域周圍。護屏136的高 控制來源暗區護屏與護屏136間之間隙。此間隙設計爲 (較佳大約1公釐)以減少金屬通過此間隙。護屏136裝入暗 護屏之一凹處内,形成一迴旋路徑攔截進入護屏間隙而 達隔室無保護區之金屬。良好的尺寸詳細規格爲達成如 所必需’一如對於部件熱膨脹之關注。 晶圓通過下護屏137内一槽缝丨46裝载。在處理過程中
I 此 免 變 汲 度 小 區 未 此
29- ^紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐 480529 第8912447〇號專利申請案 中文說明書修正頁(90年12月) 五、發明説明(27—) 此槽縫146由檯面護屏105或1〇6封閉。槽縫146亦可有一 繞物147以更有效率地攔截濺射金屬。 來源升降機'460示於圖23。來源升降機46〇為—能夠舉起 大約200磅重物之氣動起重機。其要求要將來源抬:隔 然後其必須繞一垂直軸線轉動。亦必須有一運動容許將來 源翻轉以卸下標靶。來源必須降下以便接近標靶。要求相 當程度的總成剛性以在將來源抬離隔室5〇1壁5〇2頂部時維 持來源的高度。在所用佈置中,有一固定的垂直軸'17〇搭載 一上邵總成171和一下部總成172。二者含有線性軸承能夠 在軸170上滑動和轉動。一氣動缸173能夠抬高和降下來源 。總成171預期中要垂直移動且在總成172僅有轉動時也轉 動,其中總成172藉由該氣缸保持與總成m對準。滾子174 當作軸承讓下部總成172在其上轉動。有凹下處175讓該等 滚子能落入。此在特定點提供一些抵抗總成轉動之阻力, 讓操作者偵測到正確作業位置。 總成176含有一對相向角軸承。軸177穿過該等軸承且由 一盍178及一對軸承預先加載之彈簧墊圈179固定。總成176 亦含有一凸輪狀特徵處,其連同一柱塞1 80確保來源僅能以 一万向轉動且能鎖定在其直立及倒轉取向。該升降機利用 凸緣181安裝於來源。 在一挺組500内沈積鈕及氮化钽然後在同一群集工具之另 一模組500内沈積銅的較佳工作參數如下表: 30- 工張尺度適用中國國家 X 297公釐) 訂 線 480529
五、發明說明(28 ) 薄膜 直流電功率 (仟瓦) 感應耦合電 漿功率 C仟瓦) 射頻檯面功 率 (瓦) 壓力 (毫托) 氮氣流 (總流量之%) 檯面溫度 (°〇 銅 8至15 1至5 0 至 100 50 至 75 -50 至 0 妲 8至12 1至5 0 至 150 80 至 120 50 至 100 氮化钽 8至12 1至5 0 至 200 80 至 120 3至25 50 至 100 習於此技藝者會了解到本説明書所示本發明實施得有變 動,且説明書中所述係以較佳實施例説明本發明。因此, 得進行添加和修改而不脱離本發明原則及意圖。 經濟部智慧財產局員工消費合作社印製 -31 - 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)

Claims (1)

  1. 480529 第89124470號專利申請案 A8 OQ 中文申請專利範圍修正本(90年12月) D8 々、申請專利範圍 1. 一種離子化物理蒸鍍裝置,其包含: .一真空室,其有^隔室壁圍繞該室内一真空處理空間 ,該隔室壁内有一開口在該室之一端; 一離子化物理蒸鍍源總成,其安置於該隔室壁開口内 且形成一真空密封; 一氣體供應系統,其與該室連接以便對該處理空間供 應一氣體;· 一真空系統,其與該室連接且作用將該處理空間内氣 體維持在一真空壓力級; 一射頻能量源,其在該室外側; 該離子化物理蒸鍍源包括: 一環狀塗層物質源,塗層物質自此供應至該處理空 間,該環狀源有一開放中心及至少一表面與該真空處 理空間連通, - 一窗總成,其包括一介電窗安置於該環狀源之開放 中心且與該隔室壁形成一真空密封圍蔽件之局部且有 一隔室側及一外側’及 一線圈,其在該室外側位於該室與該介電窗相鄰且 在其上之該端且與該射頻能量源連接以便在藉此供能 時將能量自該射頻能量源感應耦合通過該窗並進入該 處理空間以在該處理空間内維持一感應耦合電漿濃密 到足以在該處理空間内自該環狀源使塗層物質離子化; 一基體支撐件,其在該室内與該環狀塗層物質源以 該處理空間相向且其上有一晶圓支撐面面向該處理空 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)
    裝 ¥、申請專利範圍 間。 2 ·如申凊專利範圍第1項之裝置,其中·· 居環狀塗層物質源包括一截頭圓錐形濺射靶有一後表 面,一截頭圓錐形前濺射表面在該室内面向該處理空間 ,一内框緣及一外框緣,該外框緣比該内框緣接近該基 體支撐件之晶圓支撐面的平面。 3. 如申請專利範圍第2項之裝置,其中該濺射靶的外徑比該 基體支撐件之晶圓支撐面大。 ^ 4. 如申請專利範圍第2項之裝置,其中該離子物理蒸鍍源更 包括: 一截頭圓錐形永久磁體總成,其與該標靶後表面相鄰 且设計為在該標靶前表面附近產生一濺射電漿約束磁場。 5·如申請專利範圍第2項之裝置,其中具有大約11〇。之圓 錐擴張角。 _ 6. 如申請專利&圍第2項之裝置,其中該濺射乾與該隔室壁 形成一真空密封圍蔽物之局部’該隔室壁之後表面不^ 該處理空間接觸。 7. 如申請專利圍第6項之裝置,其中該離子化物理塞鍵源 總成更包括真空密封機構介於該濺射靶與隔室壁間及介 於該濺射靶與窗間。 8·如申請專利範圍第1項之裝置,其更包含: 一可更換薄金屬隔室護屏介於該處理空間與隔室壁之 間,該隔室護屏包括: _· ^ 一大致圓柱形部分圍繞該處理空間且在遠離該 480529 A8 B8 C8 _________D8 專利範圍 ~- 空間之熱曝照的複數個點支撐於長條形支撐件上,及 -環形末端部分HI繞該基體支撑件且與該圓柱形部 分重疊但不接觸以保護該隔室壁不纟纟附近受塗層物 質沈積且避免該等部>間因纟中一#分之熱膨脹而接 觸;且 該離子化物理蒸鍍源總成包括一環狀暗區護屏圍繞一 環狀塗層物質源且與該隔室護屏圓柱形部分有所距離且 非常接近地重疊以便保護該隔室壁不受塗層物質沈積在 其附近。 9.如申請專利範圍第1項之裝置,其中·· 在該窗與處理空間之間具備機構用來物理性屏蔽該窗 内側不受導電塗層物質沈積且維持射頻能量自該線圈有 效地感應耦合至該處理空間内。 1 0 ·如申請專利範圍第1至9項中任一項之·裝置,其中· 該線圈為一立體射頻線圈設計為使通過其線租延伸之 磁場線主要彎拱穿過該介電窗及處理空間。 11·如申請專利範圍第丨至9項中任一項之裝置,其中該離子 化物理蒸鍍源總成更包括: 一窗屏,其在該室内平行且非常接近該介電窗且設計 為實質屏蔽該窗不受塗層物質沈積並許可射頻能量自該 線圈通過該窗及護屏實質感應耦合至該處理空間内。 12 ·如申请專利範圍第1 1項之裝置,其中: 該窗屏為一導電法拉第屏,:其内具有設計為與該線圈 有關之複數個不導電特徵處以便許可射頻能量自該線圈 480529 ABCD 、申請專利範圍 通過該窗及護屏實質感應韓合至該處理空間内同時避免 射頻能量自該線圈實質感應耦合至該室内。 13 ·如申請專利範圍第丨丨項之裝置,其中: 該窗屏具有複數個人字紋槽縫設計為與該線圈有關以 許可射頻能量自該線圈通過該窗及護屏實質感應耦合至 該室内而不提供一穿過該等槽缝之視線路徑讓塗層物質 自該室運動至該窗上。 14·如申請專利範圍第丨丨項之裝置,其中: 該窗屏具有複數個槽縫且與該窗有所距離且該等槽縫 之尺寸促使電漿形成於該等槽缝與窗之間清除在該等槽 縫處沈積於該窗上之物質。 I1 2·如申請專利範圍第丨丨項之裝置,其中: 孩窗屏由鑄造金屬構成且具有與其整合在一起之冷卻 流體通路。 16·如申請專利範圍第1至9項中任一項之裝置,其中: 室 本 曰日 該氣體及/或真空系統包括一壓力控制器設計為將該 内真二壓力保持為夠南使電漿内離子在該處理空間内 =上熱化使得此等離子在該支撐件晶圓支撐面上之一 間 圓上的分佈、能量及方向性因跨越高密度電漿與晶圓 一電漿鞘場之電場而佔優勢。 力 m中^專利&圍第丨6項之裝置’其中該氣體供應及壓々 控制系統包括在物質沈積過程中將該真空室内壓力 在至少30毫托之機構。 : μ.如申請專利範圍第16項之裝置,其中該氣體供應及壓力 1 2 本紙張尺度適财®ϋϋ^^4_(2ι()χ 297^τ 480529 A8 B8 C8 -— D8____ 六、申請專利範圍 ^^---- 控制系統包括在物質沈積過程中將該真空室内壓力維持 在30亳托至130毫托·間之機構。 、 19.如申請專利範圍第丨至9項中任一項之裝置,其中該標靶 與該晶圓支撐件距離6英吋至9英忖。 2〇·如申請專利範圍第丨至9項中任一項之裝置,其中該離子 化物理蒸鍍源總成更包括一高介電常數材料之間隔件介 於該線圈與該窗之間。 2 1 ·如申請專利範圍第2〇項之裝置,其中該間隔件由一塑膠 材料(例如鐵氟龍)構成且實質填充該線圈與該介電窗間 之空間。 22.如申請專利範圍第1至9項中任一項之裝置,其中該基體 支撐件可動地安裝於該隔室壁且可相對於該標靶定位成 距離6英吋至9英叫^ 23·如申請專利範圍第1至9項中任一項之裝置,其中: 該基體支撐件包括一靜電夾盤以將一晶圓基體保持在 晶圓支撐平面進行處理,該靜電夾盤包括一雙極網柵及 多區晶圓偏壓系統連接於該雙極網柵。 24·如申請專利範圍第1至9項中任一項之裝置,其更包含下 列機構之一或多個: 加熱及冷卻機構,其在該晶圓支撐件内, 背側氣體傳導機構,其介於該晶圓支撐面與支撐於該 晶圓支撐面上晶圓支撐平面之一晶圓之間, 一非接觸蔭障環,其覆於該·晶圓支撐件上一基體之周 圍邊緣上。 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 480529 A8 B8 C8 D8 六、申請專利範圍 25.如申請專利範圍第1項之裝置,其中·· .該真空室在其頂部具有開口;且該離子化物理蒸鍍源 總成包括: 一殼體總成,其具有: 一外部接地連接器, 一外部標靶功率連接器, 一射頻功率源連接器, 外部冷卻流體再循環埠口, 一内部標靶功率端子, 至少二個内部射頻連接器, 複數個内部冷卻流體埠口,及 不導電支撐結構; 該射頻線圈總成可拆地固定於該殼體且其中該線圈為 一立體線圈可拆地跨該等内部射頻連接器連接,且該線 圈有一冷卻通路穿過該線圈可拆地跨該等内部冷卻流體 埠口中至少二個埠口連接; 一環形永久磁體總成,其可拆地固定於該殼體且圍繞 該射頻線圈總成; 該窗總成可拆地固定於該殼體或該線圈總成; 該環狀塗層物質源包括一環形標靶總成可拆地連接於 該殼體且在如此連接時具有與該窗形成一真空密封之機 構,該標靶總成包括一環形消耗性濺射靶及對該標靶形 成一液體密封冷卻通路之機構可拆地跨該等内部冷卻流 體埠口中至少二個埠口連接,該標|巴總成有一電連接器 -6- 本纸張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 480529 A8 B8 C8 D8 々、申請專利範圍 可拆地連接該殼體之内部標靶功率端子;及 .在連接該離子化物理蒸鍍源總成時於該標靶總成與一 真空處理室之隔室壁間形成一真空密封的機構。 26. 如申請專利範圍第25項之裝置,其中: 形成該標靶總成液體密封冷卻通路之機構包括一標靶 背側罩蓋,該罩蓋可脫離該標靶且在其自身與標靶間定 義該液體密封冷卻通路。 27. 如申請專利範圍第25項之裝置,其中: 該射頻線圈總成包括一高介電常數間隔件固定於該線 圈且自該線圈延伸至該窗。 28·如申請專利範圍第25項之裝置,其中: 該窗總成包括一導電護屏具有複數個不導電特徵處且 與該介電窗連接,該護屏經電接地或以其他方式電連接 於該殼體且具有冷卻通路穿過該護屏-可拆地跨該等内部 冷卻流體埠口中至少二個埠口連接。 29. 如申請專利範圍第25項之裝置,其中: 介於該標靶總成與一真空處理室之隔室壁間的該真空 密封形成機構包括一環形凸緣,該凸緣可拆地連接於該 殼體且其上具有連接於該殼體時與該標靶總成形成一密 封之機構以及在該離子化物理蒸鍍源總成安裝於一處理 室上時與該真空處理室之隔室壁形成一真空密封的機構。 30. 如申請專利範圍第25項之裝置,其中·· 該殼體總成之外部接地連接器包括一正直流電饋線連 接於該來源殼體且可連接至一處理裝置上之一接地部; 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)
    裝 •線 480529 A B c D 々、申請專利範圍 該外部標靶功率連接器包括一負直流電連接器安裝於 該殼體總成且定位為在該離子物理蒸鍍源總成安裝於一 處理裝置之隔室壁上時連接至一處理室壁上之一負端子 連接器; 該内部標靶功率端子包括一負直流電饋線固定於該殼 體總成且與地面隔絕; 該射頻功率源連接器包括一射頻調諧器安裝於該來源 殼體,具有可連接於一射頻功率源之射頻傳輸線,且具 有與其連接之内部射頻連接器; 該殼體總成上具有互鎖開關機構用來調節射頻功率以 及冷卻水在該線圈之適當連結處施加於該線圈,且其上 更具有互鎖機構用來調節直流電功率及冷卻水在該標靶 總成之適當連結處施加於該標靶總成; 該標靶總成為一截頭圓錐形環狀標·靶總成,其包括一 截頭圓錐形濺射靶,一標靶背側罩蓋設計為與該截頭圓 錐形標靶形成一水封以將該冷卻液體通路包在該罩蓋與 標靶之間,及卡栓連接結構圍繞該罩蓋及標靶之一周長 用來藉由一轉動運動將該標靶連接及拆離該罩蓋; 該窗總成包括一導電護屏具有複數個人字紋槽縫設計 為避免一穿過該等槽縫之視線路徑讓塗層物質自該室運 動至該窗上,該護屏連接於該窗且與該窗有所距離且該 等槽缝之尺寸促使電漿形成於該等槽縫與窗之間清除在 該等槽缝處沈積於該窗上之物質;及 該線圈總成包括一導電圍蔽件圍繞該線圈以便對自該 本纸張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)
    480529 A B c D 六、申請專利範圍 線圈向該圍蔽物外該窗外側發出之射頻提供一阻障,該 .圍蔽件具有穿透開口供該線圈之冷卻流體埠口及射頻端 子使用。 3 1. —種離子化物理蒸鍍源總成,其用來供應並離子化物質 以塗佈一半導體晶圓,該總成包含: 一殼體總成,其具有: 一外部接地連接器, 一外部標靶功率連接器, 一射頻功率源連接器, 外部冷卻流體再循環埠口, 一内部標靶功率端子, 至少二個内部射頻連接器, 複數個内部冷卻流體埠口,及 不導電支撐結構; - 一射頻線圈總成可拆地固定於該殼體且其包括: 一立體線圈,其可拆地跨該等内部射頻連接器連接, 且 該線圈有一冷卻通路穿過該線圈可拆地跨該等内部冷 卻流體埠口中至少二個埠口連接; 一環形永久磁體總成,其可拆地固定於該殼體且圍繞 該射頻線圈總成; 一窗總成,其可拆地固定於該殼體或該線圈總成,該 窗總成包括一實質平坦介電窗; 一環形標靶總成,其可拆地連接於該殼體且在如此連 -9 - 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) A8 B8 C8 D8 申請專利範圍 接有與該窗形成一真空密封之機構,該標靶總成包 括一環形消耗性濺射靶及對該標靶形成一液體密封冷卻 通路 < 機構可拆地跨該等内部冷卻流體埠口中至少二個 埠口連接,泫標乾總成有一電連接器可拆地連接該殼體 之内部標靶功率端子;及 在連接該離子化物理蒸鍍源總成時於該標靶總成與一 真2處理室之隔室壁間形成一真空密封的機構。 32·如申請專利範圍第31項之總成,其中: 形成該標乾總成液體密封冷卻通路之機構包括一標乾 背側罩蓋,該罩蓋可脫離該標靶且在其自身與標靶間定 ▲该液體密封冷卻通路。 3 3 ·如申凊專利範圍第3 2項之總成,其中: 該標Ιε總成包括可更換冷卻液體流量控制機構安裝於 該液體密封冷卻通路内以許可變更流經該通路之冷卻液 體流量。 34.如申請專利範圍第3 1項之總成,其中: 該射頻線圈總成包括一高介電常數間隔件固定於該線 圈且自該線圈延伸至該窗。 3 5 _如申請專利範圍第3 1項之總成,其中: 該窗總成包括一導電護屏具有複數個不導電特徵處且 與該介電窗連接,該護屏經電接地或以其他方式電連接 於該殼體且具有冷卻通路穿過該護屏可拆地跨該等内部 冷卻流體埠口中至少二個埠口連接。 3 6 ·如申請專利範圍第3 5項之總成,其中: -10- 本纸張尺度適用中國國家標準(CNS) Α4規格(210 X297公釐) ' ---------- D8 六、申請專利範圍 該導電護屏為一開槽法拉第屏,其内具有設計為與該 線圈有關之複數個不導電特徵處以便許可射頻能量自該 線圈實質感應耦合通過該窗及護屏同時避免射頻能量自 該線圈實質電容耦合通過該窗及護屏。 37·如申請專利範圍第35項之總成,其中: 該導電護屏連接於該介電窗以便一起自該殼體拆下。 3 8·如申請專利範圍第35項之總成,其中: 該窗總成包括使該護屏保持與該窗相隔一小段距離之 機構。 3 9·如申請專利範圍第35項之總成,其中: 孩標乾功率連接器為一連接至一直流電源之直流電連 接器;且 該標靶為一金屬標靶。 4〇·如申請專利範圍第31項之總成,其中·: 介於該標靶總成與一真空處理室之隔室壁間的該真空 搶封形成機構包括一環形凸緣,該凸緣可拆地連接於該 冗又體且其上具有連接於該殼體時與該標靶總成形成一密 封足機構以及在該離子化物理蒸鍍源總成安裝於一處理 ▲上時與該真芝處理室之隔室壁形成一真空密封的機構。 斗1·如申請專利範圍第40項之總成,其中: 二袤开y凸緣含有在藉由重力及藉由一在頂部有一來源 開口又處理室内有真空作用時之大氣壓力支撐於該處理 至足壁上茲開口周圍時有效地.將該離子化物理蒸鍍源總 成連接於該隔室壁的機構。 一 -11 - 480529 A8 B8 C8 D8 七、申請專利範圍 42.如申請專利範圍第3 1項之總成,其中: .該殼體總成之外部接地連接器包括一正直流電饋線連 接於該來源殼體且可連接至一處理裝置上之一接地部; 該外部標靶功率連接器包括一負直流電連接器安裝於 該殼體總成且定位為在該離子物理蒸鐘源總成安裝於一 處理裝置之隔室壁上時連接至一處理室壁上之一負端子 連接器; 該内部標靶功率端子包括一負直流電饋線固定於該殼 體總成且與地面隔絕; 該射頻功率源連接器包括一射頻調諧器安裝於該來源 殼體,具有可連接於一射頻功率源之射頻傳輸線,且具 有與其連接之内部射頻連接器; 該殼體總成上具有互鎖開關機構用來調節射頻功率以 及冷卻水在該線圈之適當連結處施加·於該線圈,且其上 更具有互鎖機構用來調節直流電功率及冷卻水在該標靶 總成之適當連結處施加於該標|£總成; 該標乾總成為一截頭圓錐形環狀標乾總成,其包括一 截頭圓錐形濺射靶,一標靶背側罩蓋設計為與該截頭圓 錐形標靶形成一水封以將該冷卻液體通路包在該罩蓋與 標靶之間,及卡栓連接結構圍繞該罩蓋及標靶之一周長 用來藉由一轉動運動將該標靶連接及拆離該罩蓋; 該窗總成包括一導電護屏具有複數個人字紋槽缝設計 為避免一穿過該等槽缝之視線路徑讓塗層物質自該室運 動至該窗上,該護屏連接於該窗且與該窗有所距離且該 -12- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)
    r 々、申請專利範圍 等槽缝之尺寸促使電漿形成於該等槽缝與窗之間清除在 該等槽縫處沈積於該窗上之物質;及 該線圈總成包括一導電圍蔽件圍繞該線圈以便對自該 線圈向該圍蔽物外該窗外側發出之射頻提供一阻障,該 圍蔽件具有穿透開口供該線圈之冷卻流體璋口及射頻端 子使用。 43. 如申請專利範圍第40項之總成,其更包含: 複數個可手動操作繫結件,其用來將該環形凸緣固定 於該殼體及將該標靶總成可釋放地固定於該離子化物理 蒸鍍源。 44. 一種物理蒸鍍裝置之可更換隔室護屏,其包含: 一大致圓柱形薄金屬部分,其設計為包圍一真空處理 室内一處理空間且支撐於在圍繞該室沿周圍相隔遠離該 處理空間熱曝照之複數個點之至少三個長條形支撐件當 中的複數個支撐件上;及 一環形末端部分,其設計為圍繞該室内一基體支撐件 且與該圓柱形部分重疊但不接觸以保護該室之壁不在其 附近受塗層物質沈積且避免該等部分間因其中一部分之 熱膨脹而接觸。 45. —種環狀塗層物質源,其包含: 一截頭圓錐形濺射靶,其具有: 一截頭圓錐形前濺射表面,其具有大約110°之圓錐 擴張角, 一中央開口, -13- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 480529 ABCD 六、申請專利範圍 一大致圓柱形向後延伸内框緣,其與該中央開口相 鄰且其内有一環封溝槽定義一後真空耦接件,該内框 緣在其外側具有複數個沿周圍相隔之堞狀特徵處以容 許孩標靶組合於一冷卻流體罩蓋且在其内側有一梯級 圍繞該開口且該梯級上有一真空密封面, 一大致環形碟狀向外延伸外框緣,其上有一面前細 抱光表面定義一前真空輕接件,及 一後表面,其有一内環形冷卻流體密封表面鄰近該 内框緣,一外環形冷卻流體密封表面鄰近該外框緣及 一光滑環形冷卻表面介於該内環形冷卻流體密封表面 與外環形冷卻流體密封表面之間。 46· —種濺射靶總成,其包含如申請專利範圍第仏項之塗層 物質源且更包含: 一截頭圓錐形冷卻流體罩蓋,其設計為與該標靶後表 面相接,該罩蓋具有: 一中央開口, 一内框緣,其與該中央開口相鄰且在其内側具有沿 周圍相隔之卡栓總成結構設計為與該標靶内框緣上堞 狀特徵處接合以在該標靶相對於罩蓋轉動數分之一圈 時使該罩蓋連接於標靶, 一外框緣, 一前表面,其有一内環形流體密封鄰近該罩蓋内框 緣設計為在該標靶相對於該罩蓋轉動至一旋緊位置時 抵住該標靶之内環形冷卻流體密封表面形成一冷卻流 本纸張尺度適用中國國家標準(CNS) A4規格(21〇χ跗7公釐) 480529 A8 B8 C8 D8 、申請專利範圍 體密封,一外環形流體密封鄰近該罩蓋外框緣設計為 .在該標靶相對於該罩蓋轉動至一旋緊位置時抵住該標 靶之外環形冷卻流體密封表面形成一冷卻流體密封, 及一環形冷卻流體管道介於該等内外環形密封之間。 47. 如申請專利範圍第46項之濺射靶總成,其中該罩蓋更具 有: 一對冷卻流體埠口,其穿透該罩蓋且與該管道連通; 複數個溝槽,每一溝槽有一梳狀流量限制件可拆地安 裝於其内且其内具有複數個分流凹口;及 一標靶功率連接器,其自此向後延伸。 48. —種塗層物質護屏,其用來保護一半導體晶圓處理裝置 内一介電窗同時許可射頻能量穿透耦合,該護屏包含: 一平坦金屬圓碟,其有一前側,一背側,一環形框緣 部分在其内包住一冷卻流體通路及複數個冷卻流體埠口 在其背側上與該冷卻流體通路連通,及一圓形平坦中央 部分具有複數個穿透平行槽縫在其内包住冷卻流體通路 與該框緣内冷卻流體通路連通。 49. 如申請專利範圍第48項之護屏,其中該等槽缝具有人字 紋形斷面。 50. 如申請專利範圍第48項之護屏,其中該碟為銅製且有一 鍍銘表面。 51. —種介電窗總成,其用來讓射頻能量穿過耦合至一包含 如申請專利範圍第48項之護屏的半導體晶圓處理裝置内 ,且其更包含: -15- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)
    •線 々、申請專利範圍 一平坦圓介電窗,其具有複數個穿透孔,該窗在自該 護屏伸出定義護屏流體埠口之結構上連接於該護屏,該 窗與該護屏有所距離且定向為平行於該護屏,該窗更在 其與護屏相向之側的邊緣有一環形真空密封表面。 52. —種離子化物理蒸鍍方法,其包含以下步驟: 維持一真空室之壓力在50毫托至120毫托; 自在一真空處理室内處理空間之一端的一個塗層物質 環使該物質之粒子釋入該處理空間内,該處理物質環由 銅或鋰構成; 使射頻能量自該室之外一線圈感應耦合通過在該塗層 物質環中央之一塗層物質内開口至該處理空間内; 藉由該耦合射頻能量在該處理空間内形成一感應耦合 電漿,該電漿濃密到足以使該處理空間内之大部分塗層 物質熱離子化; - 以電氣方式將塗層物質正離子自該電漿朝基體導引並 至基體上。 53. —種維護離子化物理蒸鍍裝置之方法,其包含以下步驟: 提供一面下離子化物理蒸鍍源總成覆蓋一真空處理室 頂上之一開口,該總成具有: 一殼體總成,其具有標靶功率連接器、射頻功率連 接器及冷卻流體連接器, 一射頻線圈總成,其可拆地固定於該殼體且連接於 該等射頻功率連接器及冷卻流體連接器, 一環形永久磁體總成,其可拆地固定於該殼體且包 -16· 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 480529 A8 B8 C8 D8 六、申請專利範圍 圍該射頻線圈總成; 一窗總成,其可拆地固定於該殼體或線圈總成,該 窗總成包括一實質平坦介電窗及一導電護屏,該護屏 之内有複數個不導電特徵處且連接於該介電窗,該護 屏經電接地或以其他方式電連接於該殼體且具有穿透 之冷卻通路可拆地連接於該殼體之冷卻流體連接器, 該護屏設計為與該線圈有關以便許可射頻能量自該線 圈實質感應耦合通過該窗及護屏,且該護屏連接於該 介電窗以便可與該介電窗一同拆離該殼體, 一環形標乾總成,其可拆地連接於該殼體且具有在 如此連接時與該窗形成一真空密封之機構,該標靶總 成包括一環形消耗性濺射靶及對該標靶形成一液體密 封冷卻通路之機構可拆地跨該等内部冷卻埠口中至少 二個埠口連接,該標靶總成可拆地電連接該殼體之標 靶功率連接器和該殼體之冷卻流體連接器,及 一環形凸緣,其繫結於該殼體且包括在該離子化物 理蒸鍍源總成支撐於一真空處理室壁上時於該標靶總 成與真空處理室壁間形成一真空密封之機構; 自該室壁頂部解封該離子化物理蒸鍍源總成且將該離 子化物理蒸鍍源總成自該室壁頂部舉起; 倒轉該離子化物理蒸鍍源總成之取向使其為面上; 用手自該來源殼體鬆開該凸緣以釋放並拆下該凸緣及 標乾總成; 更換該標總成内之標乾; -17- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 申請專利範圍 用手將該凸緣重新繫結於該凸緣殼體並藉此將該凸緣 .及標靶總成固定於該離子化物理蒸鍍源總成; 倒轉該離子化物理蒸鍍源總成直至其為面下; 將該離子化物理蒸鍍源總成降下至該室壁頂上。 54.如申請專利範圍第53項之方法,其更包含以下步騾: 在拆下該標靶總成後更換標靶總成之前,拆下該窗總 成且自其拆下並更換該護屏,然後重新裝回該窗總成。 5 5 ·如申凊專利範圍第w項之方法,其中: 該護屏為銅製且有一鍍層表面; 該方法更包含以下步驟: 在拆下該標靶總成後更換標靶總成之前,拆下該窗總 成且自其拆下該護屏,藉由自護屏溶掉該鍍層表面之方 式重建該護屏或先前自一離子化物理蒸鍍源拆下之相似 護屏以藉此去除護屏上沈積物,然後重新鍍上該護屏表 面並將重建後護屏裝到該窗總成,然後將該窗總成重新 裝回該離子化物理蒸鍍源。 56·如申請專利範圍第53項之方法,其更包含以下步驟: 在拆下該標靶總成後更換標靶窗總成之前,拆下該磁 體總成並換上一個不同構造的磁體總成。 5 7.如申請專利範圍第53項之方法,其更包含以下步騾·· 在拆下該窗總成後更換窗總成之前,拆下並維護該離 子化物理蒸鍍源總成之其他組件。 M· —種屏蔽離子化物理蒸鍍裝置之介電窗的方法,其包含 以下步驟: -18- i紙張尺度適用中s國家標準(CNS) A4規格(21())<297公^· 480529 A8 B8 C8 D8
    離子化物理蒸鍍源内 中央框緣以及穿透一 在一離子物理蒸鍍處理裝置之一 提供一圓形金屬護屏,該護屏有一 個由該框緣圍繞之中央區的複數個槽縫,該護屏在其内 有冷卻/荒體通路且其具有將該等冷卻通路連接至一冷卻 流體外部再循環源之埠口,該護屏有一與其構成金屬不 同材料之鍍層表面; 自該離子化物理蒸鍍源拆下該護屏; 在拆下該護屏之後,藉由自該護屏溶掉該鍍層表面並 藉此去除護屏上沈積物然後重新鍍上護屏表面之方式重 建該護屏;然後 將該重建後護屏裝到一離子化物理蒸鍍處理裝置之離 子化物理蒸鍍源内。 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐)
TW089124470A 1999-11-18 2000-11-18 Method and apparatus for ionized physical vapor deposition TW480529B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/442,600 US6287435B1 (en) 1998-05-06 1999-11-18 Method and apparatus for ionized physical vapor deposition

Publications (1)

Publication Number Publication Date
TW480529B true TW480529B (en) 2002-03-21

Family

ID=23757416

Family Applications (1)

Application Number Title Priority Date Filing Date
TW089124470A TW480529B (en) 1999-11-18 2000-11-18 Method and apparatus for ionized physical vapor deposition

Country Status (8)

Country Link
US (3) US6287435B1 (zh)
EP (1) EP1243016B1 (zh)
JP (1) JP3959273B2 (zh)
KR (1) KR100396456B1 (zh)
CN (1) CN1425187B (zh)
AU (1) AU1660601A (zh)
TW (1) TW480529B (zh)
WO (1) WO2001037310A2 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI398196B (zh) * 2008-07-04 2013-06-01 Au Optronics Corp 防電弧保護裝置以及其組裝方法
TWI490356B (zh) * 2013-05-02 2015-07-01 Everdisplay Optronics Shanghai Ltd 電磁蒸鍍裝置
US11489105B2 (en) 2019-11-12 2022-11-01 Applied Materials, Inc. Physical vapor deposition of piezoelectric films

Families Citing this family (427)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1034566A1 (en) * 1997-11-26 2000-09-13 Applied Materials, Inc. Damage-free sculptured coating deposition
US20060137821A1 (en) * 2004-12-28 2006-06-29 Lam Research Coporation Window protector for sputter etching of metal layers
JP4256587B2 (ja) * 1998-04-13 2009-04-22 東京エレクトロン株式会社 低減インピーダンスチャンバ
US6287435B1 (en) * 1998-05-06 2001-09-11 Tokyo Electron Limited Method and apparatus for ionized physical vapor deposition
US6720261B1 (en) * 1999-06-02 2004-04-13 Agere Systems Inc. Method and system for eliminating extrusions in semiconductor vias
US6733513B2 (en) 1999-11-04 2004-05-11 Advanced Bioprosthetic Surfaces, Ltd. Balloon catheter having metal balloon and method of making same
US8458879B2 (en) * 2001-07-03 2013-06-11 Advanced Bio Prosthetic Surfaces, Ltd., A Wholly Owned Subsidiary Of Palmaz Scientific, Inc. Method of fabricating an implantable medical device
US6936066B2 (en) * 1999-11-19 2005-08-30 Advanced Bio Prosthetic Surfaces, Ltd. Complaint implantable medical devices and methods of making same
US6531030B1 (en) * 2000-03-31 2003-03-11 Lam Research Corp. Inductively coupled plasma etching apparatus
US6417626B1 (en) * 2001-03-01 2002-07-09 Tokyo Electron Limited Immersed inductively—coupled plasma source
US7744735B2 (en) * 2001-05-04 2010-06-29 Tokyo Electron Limited Ionized PVD with sequential deposition and etching
DE10134513A1 (de) * 2001-07-16 2003-01-30 Unaxis Balzers Ag Hebe-und Stützvorichtung
US6620736B2 (en) * 2001-07-24 2003-09-16 Tokyo Electron Limited Electrostatic control of deposition of, and etching by, ionized materials in semiconductor processing
US6666982B2 (en) 2001-10-22 2003-12-23 Tokyo Electron Limited Protection of dielectric window in inductively coupled plasma generation
CH695807A5 (de) * 2001-11-20 2006-08-31 Unaxis Balzers Ag Quelle für Vakuumbehandlungsprozess.
US6984574B2 (en) * 2002-01-23 2006-01-10 Mosel Vitelic, Inc. Cobalt silicide fabrication using protective titanium
US6946054B2 (en) * 2002-02-22 2005-09-20 Tokyo Electron Limited Modified transfer function deposition baffles and high density plasma ignition therewith in semiconductor processing
US6730174B2 (en) 2002-03-06 2004-05-04 Applied Materials, Inc. Unitary removable shield assembly
US7901545B2 (en) * 2004-03-26 2011-03-08 Tokyo Electron Limited Ionized physical vapor deposition (iPVD) process
WO2003097894A2 (en) * 2002-05-14 2003-11-27 Tokyo Electron Limited Sputtering cathode adapter
KR100480357B1 (ko) * 2002-07-10 2005-03-30 아이티엠 주식회사 동기화된 이온 빔 소스와 듀얼 마그네트론 스퍼터를가지는 박막 형성 장치
US20040060582A1 (en) * 2002-09-18 2004-04-01 Dainippon Screen Mfg.Co., Ltd. Substrate processing apparatus
AU2003270817B2 (en) 2002-09-26 2009-09-17 Vactronix Scientific, Llc High strength vacuum deposited nitionol alloy films, medical thin film graft materials and method of making same
JP4443819B2 (ja) * 2002-10-02 2010-03-31 パナソニック株式会社 プラズマドーピング方法
US20040129221A1 (en) * 2003-01-08 2004-07-08 Jozef Brcka Cooled deposition baffle in high density plasma semiconductor processing
ES2338560T3 (es) 2003-05-07 2010-05-10 Advanced Bio Prosthetic Surfaces, Ltd. Implantes metalicos implantables y procedimientos para fabricarlos.
US6929720B2 (en) * 2003-06-09 2005-08-16 Tokyo Electron Limited Sputtering source for ionized physical vapor deposition of metals
US7001491B2 (en) * 2003-06-26 2006-02-21 Tokyo Electron Limited Vacuum-processing chamber-shield and multi-chamber pumping method
US7182816B2 (en) * 2003-08-18 2007-02-27 Tokyo Electron Limited Particulate reduction using temperature-controlled chamber shield
KR101001743B1 (ko) * 2003-11-17 2010-12-15 삼성전자주식회사 헬리컬 자기-공진 코일을 이용한 이온화 물리적 기상 증착장치
US7273533B2 (en) * 2003-11-19 2007-09-25 Tokyo Electron Limited Plasma processing system with locally-efficient inductive plasma coupling
JP4541014B2 (ja) * 2004-01-13 2010-09-08 キヤノンアネルバ株式会社 プラズマ支援スパッタ成膜装置
US7240981B2 (en) * 2004-02-27 2007-07-10 Hewlett-Packard Development Company, L.P. Wide array fluid ejection device
US20090321247A1 (en) * 2004-03-05 2009-12-31 Tokyo Electron Limited IONIZED PHYSICAL VAPOR DEPOSITION (iPVD) PROCESS
US7084573B2 (en) * 2004-03-05 2006-08-01 Tokyo Electron Limited Magnetically enhanced capacitive plasma source for ionized physical vapor deposition
US7700474B2 (en) * 2006-04-07 2010-04-20 Tokyo Electron Limited Barrier deposition using ionized physical vapor deposition (iPVD)
US7892406B2 (en) * 2005-03-28 2011-02-22 Tokyo Electron Limited Ionized physical vapor deposition (iPVD) process
US7628864B2 (en) * 2004-04-28 2009-12-08 Tokyo Electron Limited Substrate cleaning apparatus and method
US7691243B2 (en) * 2004-06-22 2010-04-06 Tokyo Electron Limited Internal antennae for plasma processing with metal plasma
US7556718B2 (en) * 2004-06-22 2009-07-07 Tokyo Electron Limited Highly ionized PVD with moving magnetic field envelope for uniform coverage of feature structure and wafer
US20060054494A1 (en) * 2004-09-16 2006-03-16 Veeco Instruments Inc. Physical vapor deposition apparatus for depositing thin multilayer films and methods of depositing such films
US7534301B2 (en) * 2004-09-21 2009-05-19 Applied Materials, Inc. RF grounding of cathode in process chamber
US7399943B2 (en) * 2004-10-05 2008-07-15 Applied Materials, Inc. Apparatus for metal plasma vapor deposition and re-sputter with source and bias power frequencies applied through the workpiece
US7214619B2 (en) * 2004-10-05 2007-05-08 Applied Materials, Inc. Method for forming a barrier layer in an integrated circuit in a plasma with source and bias power frequencies applied through the workpiece
US7268076B2 (en) * 2004-10-05 2007-09-11 Applied Materials, Inc. Apparatus and method for metal plasma vapor deposition and re-sputter with source and bias power frequencies applied through the workpiece
US7279421B2 (en) * 2004-11-23 2007-10-09 Tokyo Electron Limited Method and deposition system for increasing deposition rates of metal layers from metal-carbonyl precursors
US7959984B2 (en) * 2004-12-22 2011-06-14 Lam Research Corporation Methods and arrangement for the reduction of byproduct deposition in a plasma processing system
FR2880633B1 (fr) * 2005-01-13 2007-04-13 Anelva Corp Systeme de depot par pulverisation cathodique aide par plasma
KR101239776B1 (ko) * 2005-02-03 2013-03-06 어플라이드 머티어리얼스, 인코포레이티드 타깃에 인가되는 rf 소스 파워에 의한 물리 기상 증착플라즈마 반응기
US7820020B2 (en) * 2005-02-03 2010-10-26 Applied Materials, Inc. Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece with a lighter-than-copper carrier gas
US20080314320A1 (en) * 2005-02-04 2008-12-25 Component Re-Engineering Company, Inc. Chamber Mount for High Temperature Application of AIN Heaters
WO2006093953A1 (en) * 2005-02-28 2006-09-08 Tosoh Smd, Inc. Sputtering target with an insulating ring and a gap between the ring and the target
US8974868B2 (en) * 2005-03-21 2015-03-10 Tokyo Electron Limited Post deposition plasma cleaning system and method
US20060218680A1 (en) * 2005-03-28 2006-09-28 Bailey Andrew D Iii Apparatus for servicing a plasma processing system with a robot
US7319316B2 (en) 2005-06-29 2008-01-15 Lam Research Corporation Apparatus for measuring a set of electrical characteristics in a plasma
US20070029193A1 (en) * 2005-08-03 2007-02-08 Tokyo Electron Limited Segmented biased peripheral electrode in plasma processing method and apparatus
US20070042628A1 (en) * 2005-08-17 2007-02-22 Daniel Lyon Sanitary, live loaded, pass through fitting apparatus
US20070068795A1 (en) * 2005-09-26 2007-03-29 Jozef Brcka Hollow body plasma uniformity adjustment device and method
US20070069383A1 (en) * 2005-09-28 2007-03-29 Tokyo Electron Limited Semiconductor device containing a ruthenium diffusion barrier and method of forming
US7713876B2 (en) * 2005-09-28 2010-05-11 Tokyo Electron Limited Method for integrating a ruthenium layer with bulk copper in copper metallization
US7700484B2 (en) * 2005-09-30 2010-04-20 Tokyo Electron Limited Method and apparatus for a metallic dry-filling process
US7348266B2 (en) * 2005-09-30 2008-03-25 Tokyo Electron Limited Method and apparatus for a metallic dry-filling process
US20070074968A1 (en) * 2005-09-30 2007-04-05 Mirko Vukovic ICP source for iPVD for uniform plasma in combination high pressure deposition and low pressure etch process
DE112006003218T5 (de) * 2005-12-07 2008-10-23 Ulvac Corp., Chigasaki Filmherstellvorrichtung und Verfahren zum Herstellen eines Films
US7591935B2 (en) * 2005-12-14 2009-09-22 Tokyo Electron Limited Enhanced reliability deposition baffle for iPVD
US8454749B2 (en) * 2005-12-19 2013-06-04 Tokyo Electron Limited Method and system for sealing a first assembly to a second assembly of a processing system
KR100753493B1 (ko) * 2006-01-21 2007-08-31 서강대학교산학협력단 세정장치
US20070169703A1 (en) * 2006-01-23 2007-07-26 Brent Elliot Advanced ceramic heater for substrate processing
US7618888B2 (en) * 2006-03-24 2009-11-17 Tokyo Electron Limited Temperature-controlled metallic dry-fill process
US7432195B2 (en) * 2006-03-29 2008-10-07 Tokyo Electron Limited Method for integrating a conformal ruthenium layer into copper metallization of high aspect ratio features
US20070231489A1 (en) * 2006-03-29 2007-10-04 Tokyo Electron Limited Method for introducing a precursor gas to a vapor deposition system
US7892358B2 (en) * 2006-03-29 2011-02-22 Tokyo Electron Limited System for introducing a precursor gas to a vapor deposition system
US20070235319A1 (en) * 2006-04-07 2007-10-11 Tokyo Electron Limited Multi-processing using an ionized physical vapor deposition (ipvd) system
US7588667B2 (en) * 2006-04-07 2009-09-15 Tokyo Electron Limited Depositing rhuthenium films using ionized physical vapor deposition (IPVD)
US7771790B2 (en) * 2006-08-30 2010-08-10 Tokyo Electron Limited Method and system for fabricating a nano-structure
US7569491B2 (en) * 2006-08-30 2009-08-04 Tokyo Electron Limited Method for enlarging a nano-structure
US20080067058A1 (en) * 2006-09-15 2008-03-20 Stimson Bradley O Monolithic target for flat panel application
US7473634B2 (en) * 2006-09-28 2009-01-06 Tokyo Electron Limited Method for integrated substrate processing in copper metallization
US7749398B2 (en) * 2006-09-29 2010-07-06 Tokyo Electron Limited Selective-redeposition sources for calibrating a plasma process
US7959775B2 (en) * 2006-09-29 2011-06-14 Tokyo Electron Limited Thermal stress-failure-resistant dielectric windows in vacuum processing systems
US7776748B2 (en) * 2006-09-29 2010-08-17 Tokyo Electron Limited Selective-redeposition structures for calibrating a plasma process
JP5013400B2 (ja) * 2006-09-29 2012-08-29 国立大学法人東北大学 塗布膜コーティング装置
US7942112B2 (en) * 2006-12-04 2011-05-17 Advanced Energy Industries, Inc. Method and apparatus for preventing the formation of a plasma-inhibiting substance
US20080197015A1 (en) * 2007-02-16 2008-08-21 Terry Bluck Multiple-magnetron sputtering source with plasma confinement
US7767023B2 (en) * 2007-03-26 2010-08-03 Tokyo Electron Limited Device for containing catastrophic failure of a turbomolecular pump
US20080237860A1 (en) * 2007-03-27 2008-10-02 Tokyo Electron Limited Interconnect structures containing a ruthenium barrier film and method of forming
US20080242088A1 (en) * 2007-03-29 2008-10-02 Tokyo Electron Limited Method of forming low resistivity copper film structures
DE102007016222B3 (de) * 2007-04-04 2008-11-06 Semikron Elektronik Gmbh & Co. Kg Leistungshalbleitermodul in Druckkontaktausführung sowie Verfahren zur Herstellung desselben
US7704879B2 (en) * 2007-09-27 2010-04-27 Tokyo Electron Limited Method of forming low-resistivity recessed features in copper metallization
US7884012B2 (en) * 2007-09-28 2011-02-08 Tokyo Electron Limited Void-free copper filling of recessed features for semiconductor devices
JP4405588B2 (ja) * 2007-12-28 2010-01-27 パナソニック株式会社 プラズマドーピング装置及び方法並びに半導体装置の製造方法
US7776740B2 (en) 2008-01-22 2010-08-17 Tokyo Electron Limited Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device
JP2009173975A (ja) * 2008-01-22 2009-08-06 Canon Anelva Corp 金属微粒子の生成方法、金属含有ペーストの製造方法及び金属薄膜配線の形成方法
SG188140A1 (en) * 2008-02-08 2013-03-28 Lam Res Corp Adjustable gap capacitively coupled rf plasma reactor including lateral bellows and non-contact particle seal
US20090217872A1 (en) * 2008-02-28 2009-09-03 Applied Materials, Inc. Backside coating prevention device, coating chamber device for coating plate-shaped substrates, and method of coating
EP2096192A1 (en) * 2008-02-28 2009-09-02 Applied Materials, Inc. Backside coating prevention device.
US8247030B2 (en) * 2008-03-07 2012-08-21 Tokyo Electron Limited Void-free copper filling of recessed features using a smooth non-agglomerated copper seed layer
US20090242385A1 (en) * 2008-03-28 2009-10-01 Tokyo Electron Limited Method of depositing metal-containing films by inductively coupled physical vapor deposition
US20090242383A1 (en) * 2008-03-31 2009-10-01 Tokyo Electron Limited Apparatus and method for rf grounding of ipvd table
US20090242396A1 (en) * 2008-03-31 2009-10-01 Tokyo Electron Limited Adjustable magnet pack for semiconductor wafer processing
KR101007711B1 (ko) * 2008-05-19 2011-01-13 주식회사 에스에프에이 플라즈마 처리장치
US8194384B2 (en) * 2008-07-23 2012-06-05 Tokyo Electron Limited High temperature electrostatic chuck and method of using
US7871929B2 (en) * 2008-07-30 2011-01-18 Tel Epion Inc. Method of forming semiconductor devices containing metal cap layers
US8299391B2 (en) * 2008-07-30 2012-10-30 Applied Materials, Inc. Field enhanced inductively coupled plasma (Fe-ICP) reactor
US7776743B2 (en) * 2008-07-30 2010-08-17 Tel Epion Inc. Method of forming semiconductor devices containing metal cap layers
US20100078312A1 (en) * 2008-09-26 2010-04-01 Tango Systems, Inc. Sputtering Chamber Having ICP Coil and Targets on Top Wall
JP2010148632A (ja) * 2008-12-25 2010-07-08 Sharp Corp 洗浄装置
JP5014324B2 (ja) * 2008-12-26 2012-08-29 信越化学工業株式会社 固体合成用高周波熱プラズマトーチ
US20100177454A1 (en) * 2009-01-09 2010-07-15 Component Re-Engineering Company, Inc. Electrostatic chuck with dielectric inserts
US8361334B2 (en) * 2009-03-18 2013-01-29 Medtronic, Inc. Plasma deposition to increase adhesion
US8524097B2 (en) * 2009-03-18 2013-09-03 Medtronic, Inc. Plasma deposition to increase adhesion
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5398358B2 (ja) * 2009-05-29 2014-01-29 三菱重工業株式会社 基板支持台の構造及びプラズマ処理装置
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CA2776387C (en) * 2009-10-08 2019-04-30 Perkinelmer Health Sciences, Inc. Coupling devices and methods of using them
US9502222B2 (en) 2010-04-16 2016-11-22 Viavi Solutions Inc. Integrated anode and activated reactive gas source for use in magnetron sputtering device
JP5619666B2 (ja) * 2010-04-16 2014-11-05 ジェイディーエス ユニフェイズ コーポレーションJDS Uniphase Corporation マグネトロン・スパッタリング・デバイスで使用するためのリング・カソード
US20110278260A1 (en) 2010-05-14 2011-11-17 Applied Materials, Inc. Inductive plasma source with metallic shower head using b-field concentrator
CN101881636B (zh) * 2010-06-03 2012-12-05 北京中电科电子装备有限公司 一种半导体专用设备的弹性传感装置
CN103140913B (zh) * 2010-10-29 2016-09-28 应用材料公司 用于物理气相沉积腔室的沉积环及静电夹盘
US8859422B2 (en) 2011-01-27 2014-10-14 Tokyo Electron Limited Method of forming copper wiring and method and system for forming copper film
US8399353B2 (en) 2011-01-27 2013-03-19 Tokyo Electron Limited Methods of forming copper wiring and copper film, and film forming system
JP6054314B2 (ja) 2011-03-01 2016-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板搬送及びラジカル閉じ込めのための方法及び装置
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
WO2012118897A2 (en) 2011-03-01 2012-09-07 Applied Materials, Inc. Abatement and strip process chamber in a dual loadlock configuration
KR20140021628A (ko) * 2011-03-30 2014-02-20 도쿄엘렉트론가부시키가이샤 Cu 배선의 형성 방법
JP5647336B2 (ja) * 2011-04-04 2014-12-24 キヤノンアネルバ株式会社 プラズマ処理装置
JP5870568B2 (ja) 2011-05-12 2016-03-01 東京エレクトロン株式会社 成膜装置、プラズマ処理装置、成膜方法及び記憶媒体
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9966236B2 (en) 2011-06-15 2018-05-08 Lam Research Corporation Powered grid for plasma chamber
JP2014523969A (ja) 2011-06-27 2014-09-18 ソレラス・リミテッド スパッタリングターゲット
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5644719B2 (ja) * 2011-08-24 2014-12-24 東京エレクトロン株式会社 成膜装置、基板処理装置及びプラズマ発生装置
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10573500B2 (en) 2011-12-09 2020-02-25 Seagate Technology Llc Interchangeable magnet pack
US9347129B2 (en) 2011-12-09 2016-05-24 Seagate Technology Llc Interchangeable magnet pack
CN103184421A (zh) * 2011-12-30 2013-07-03 鸿富锦精密工业(深圳)有限公司 真空溅射靶磁芯
USD665491S1 (en) * 2012-01-25 2012-08-14 Applied Materials, Inc. Deposition chamber cover ring
CN104137248B (zh) 2012-02-29 2017-03-22 应用材料公司 配置中的除污及剥除处理腔室
US8647485B2 (en) * 2012-03-30 2014-02-11 Applied Materials, Inc. Process kit shield for plasma enhanced processing chamber
JP2014017345A (ja) * 2012-07-09 2014-01-30 Tokyo Electron Ltd Cu配線の形成方法
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US20140248718A1 (en) * 2013-03-04 2014-09-04 Jisoo Kim Patterning of magnetic tunnel junction (mtj) film stacks
CN104051210B (zh) * 2013-03-12 2016-05-11 中微半导体设备(上海)有限公司 一种减少门效应的等离子体处理装置
US9620339B2 (en) * 2013-03-15 2017-04-11 Applied Materials, Inc. Sputter source for semiconductor process chambers
KR101449450B1 (ko) 2013-03-15 2014-10-14 주식회사 선익시스템 유기 발광 다이오드 제조공정용 금속재료 공급장치
CN104112640B (zh) * 2013-04-16 2016-12-28 北京北方微电子基地设备工艺研究中心有限责任公司 一种磁控溅射设备及磁控溅射方法
JP6135455B2 (ja) * 2013-10-25 2017-05-31 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
CN103663997B (zh) * 2013-11-20 2017-03-01 中国南玻集团股份有限公司 玻璃镀膜装置及其底板模组
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN104073774B (zh) * 2014-03-28 2017-06-30 能源X控股有限公司 一种制备纳米多孔结构薄膜的装置及其应用
CN105206558B (zh) * 2014-05-27 2018-09-18 北京北方华创微电子装备有限公司 晶片边缘的保护机构、反应腔室及半导体加工设备
US9450330B2 (en) 2014-06-30 2016-09-20 Agilent Technologies, Inc. Connector assembly for an inductively coupled plasma source
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
WO2016040547A1 (en) * 2014-09-11 2016-03-17 Massachusetts Institute Of Technology Processing system for small substrates
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6609425B2 (ja) * 2015-06-17 2019-11-20 株式会社日立ハイテクノロジーズ プラズマ処理装置
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
CN105420679B (zh) * 2015-11-16 2018-04-03 江苏中腾石英材料科技有限公司 一种孪生对靶磁控溅射制备覆铜陶瓷基板的装置及方法
CN106920732B (zh) * 2015-12-25 2018-10-16 中微半导体设备(上海)有限公司 一种电极结构及icp刻蚀机
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10373804B2 (en) * 2017-02-03 2019-08-06 Applied Materials, Inc. System for tunable workpiece biasing in a plasma reactor
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
EP3631837B1 (en) 2017-06-01 2021-01-27 Oerlikon Surface Solutions AG, Pfäffikon Target assembly for safe and economic evaporation of brittle materials
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) * 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11676798B2 (en) * 2019-04-08 2023-06-13 Lam Research Corporation Cooling for a plasma-based reactor
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) * 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN110952063B (zh) * 2019-12-01 2022-02-08 广东金辉铝板幕墙有限公司 一种用于铝板加工防偏转多弧离子复合镀膜机
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US20210391150A1 (en) * 2020-06-10 2021-12-16 Plasma-Therm Llc Plasma Source Configuration
CN113808898B (zh) * 2020-06-16 2023-12-29 中微半导体设备(上海)股份有限公司 耐等离子体腐蚀零部件和反应装置及复合涂层形成方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11846013B2 (en) * 2020-07-31 2023-12-19 Applied Materials, Inc. Methods and apparatus for extended chamber for through silicon via deposition
CN114078680B (zh) * 2020-08-20 2023-09-29 中微半导体设备(上海)股份有限公司 等离子体处理装置
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20230245854A1 (en) * 2021-02-10 2023-08-03 Lam Research Corporation Hybrid liquid/air cooling system for tcp windows
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US20230033058A1 (en) * 2021-07-29 2023-02-02 Applied Materials, Inc. Reactor with inductively coupled plasma source
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN113928872A (zh) * 2021-09-27 2022-01-14 中国电子科技集团公司第十一研究所 用于分子束外延设备的料渣收集装置
US20240170256A1 (en) * 2022-11-22 2024-05-23 Tokyo Electron Limited VHF Broadband Coaxial Adapter

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4461688A (en) * 1980-06-23 1984-07-24 Vac-Tec Systems, Inc. Magnetically enhanced sputtering device having a plurality of magnetic field sources including improved plasma trapping device and method
US4431901A (en) * 1982-07-02 1984-02-14 The United States Of America As Represented By The United States Department Of Energy Induction plasma tube
US4657654A (en) * 1984-05-17 1987-04-14 Varian Associates, Inc. Targets for magnetron sputter device having separate confining magnetic fields to separate targets subject to separate discharges
DE3566194D1 (en) 1984-08-31 1988-12-15 Hitachi Ltd Microwave assisting sputtering
JPS61190070A (ja) 1985-02-20 1986-08-23 Hitachi Ltd スパツタ装置
GB8629634D0 (en) 1986-12-11 1987-01-21 Dobson C D Reactive ion & sputter etching
US4795879A (en) * 1987-04-13 1989-01-03 The United States Of America As Represented By The United States Department Of Energy Method of processing materials using an inductively coupled plasma
US4834860A (en) 1987-07-01 1989-05-30 The Boc Group, Inc. Magnetron sputtering targets
US4911814A (en) 1988-02-08 1990-03-27 Nippon Telegraph And Telephone Corporation Thin film forming apparatus and ion source utilizing sputtering with microwave plasma
US4990229A (en) 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5078851A (en) * 1989-07-26 1992-01-07 Kouji Nishihata Low-temperature plasma processor
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5069770A (en) 1990-07-23 1991-12-03 Eastman Kodak Company Sputtering process employing an enclosed sputtering target
US5178739A (en) 1990-10-31 1993-01-12 International Business Machines Corporation Apparatus for depositing material into high aspect ratio holes
US5171415A (en) * 1990-12-21 1992-12-15 Novellus Systems, Inc. Cooling method and apparatus for magnetron sputtering
US6063233A (en) * 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
DE4125110C2 (de) 1991-07-30 1999-09-09 Leybold Ag Magnetron-Zerstäubungskathode für Vakuumbeschichtungsanlagen
US5262028A (en) 1992-06-01 1993-11-16 Sierra Applied Sciences, Inc. Planar magnetron sputtering magnet assembly
DE4235064A1 (de) 1992-10-17 1994-04-21 Leybold Ag Vorrichtung zum Erzeugen eines Plasmas mittels Kathodenzerstäubung
US5433812A (en) 1993-01-19 1995-07-18 International Business Machines Corporation Apparatus for enhanced inductive coupling to plasmas with reduced sputter contamination
US5524908A (en) * 1994-09-14 1996-06-11 W. L. Gore & Associates Multi-layer EMI/RFI gasket shield
US5569363A (en) * 1994-10-25 1996-10-29 Sony Corporation Inductively coupled plasma sputter chamber with conductive material sputtering capabilities
US5653812A (en) * 1995-09-26 1997-08-05 Monsanto Company Method and apparatus for deposition of diamond-like carbon coatings on drills
US5763851A (en) 1995-11-27 1998-06-09 Applied Materials, Inc. Slotted RF coil shield for plasma deposition system
JPH09228038A (ja) 1996-02-23 1997-09-02 Balzers Prozes Syst Gmbh 中空のターゲットを備えた、陰極スパッタによりサブストレートを被覆するための装置
TW327236B (en) 1996-03-12 1998-02-21 Varian Associates Inductively coupled plasma reactor with faraday-sputter shield
DE19635136A1 (de) * 1996-08-30 1998-03-05 Galvano T Electroforming Plati HF-durchlässiges Vakuumgefäß mit integriertem Faraday-Schirm
US6254737B1 (en) 1996-10-08 2001-07-03 Applied Materials, Inc. Active shield for generating a plasma for sputtering
US6367410B1 (en) * 1996-12-16 2002-04-09 Applied Materials, Inc. Closed-loop dome thermal control apparatus for a semiconductor wafer processing system
US5800688A (en) 1997-04-21 1998-09-01 Tokyo Electron Limited Apparatus for ionized sputtering
AU6977998A (en) 1997-04-21 1998-11-13 Tokyo Electron Arizona, Inc. Method and apparatus for ionized sputtering of materials
US5855745A (en) 1997-04-23 1999-01-05 Sierra Applied Sciences, Inc. Plasma processing system utilizing combined anode/ ion source
US6083363A (en) * 1997-07-02 2000-07-04 Tokyo Electron Limited Apparatus and method for uniform, low-damage anisotropic plasma processing
US6051122A (en) * 1997-08-21 2000-04-18 Applied Materials, Inc. Deposition shield assembly for a semiconductor wafer processing system
US6565717B1 (en) 1997-09-15 2003-05-20 Applied Materials, Inc. Apparatus for sputtering ionized material in a medium to high density plasma
EP0908921A1 (en) 1997-10-10 1999-04-14 European Community Process chamber for plasma enhanced chemical vapour deposition and apparatus employing said process chamber
WO1999034658A1 (fr) * 1997-12-24 1999-07-08 Gunze Limited Element transparent de blindage contre des ondes electromagnetiques et son procede de production
US6197165B1 (en) * 1998-05-06 2001-03-06 Tokyo Electron Limited Method and apparatus for ionized physical vapor deposition
US6080287A (en) * 1998-05-06 2000-06-27 Tokyo Electron Limited Method and apparatus for ionized physical vapor deposition
US6287435B1 (en) * 1998-05-06 2001-09-11 Tokyo Electron Limited Method and apparatus for ionized physical vapor deposition
US6254745B1 (en) 1999-02-19 2001-07-03 Tokyo Electron Limited Ionized physical vapor deposition method and apparatus with magnetic bucket and concentric plasma and material source
US6523493B1 (en) * 2000-08-01 2003-02-25 Tokyo Electron Limited Ring-shaped high-density plasma source and method
US6398929B1 (en) * 1999-10-08 2002-06-04 Applied Materials, Inc. Plasma reactor and shields generating self-ionized plasma for sputtering
US6446572B1 (en) * 2000-08-18 2002-09-10 Tokyo Electron Limited Embedded plasma source for plasma density improvement

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI398196B (zh) * 2008-07-04 2013-06-01 Au Optronics Corp 防電弧保護裝置以及其組裝方法
TWI490356B (zh) * 2013-05-02 2015-07-01 Everdisplay Optronics Shanghai Ltd 電磁蒸鍍裝置
US11489105B2 (en) 2019-11-12 2022-11-01 Applied Materials, Inc. Physical vapor deposition of piezoelectric films
TWI817054B (zh) * 2019-11-12 2023-10-01 美商應用材料股份有限公司 壓電膜的物理氣相沉積

Also Published As

Publication number Publication date
KR20010093257A (ko) 2001-10-27
EP1243016B1 (en) 2011-08-17
AU1660601A (en) 2001-05-30
CN1425187A (zh) 2003-06-18
KR100396456B1 (ko) 2003-09-02
WO2001037310A2 (en) 2001-05-25
CN1425187B (zh) 2010-10-13
EP1243016A2 (en) 2002-09-25
JP2003514126A (ja) 2003-04-15
US6719886B2 (en) 2004-04-13
US6458252B1 (en) 2002-10-01
WO2001037310A3 (en) 2002-04-11
US6287435B1 (en) 2001-09-11
JP3959273B2 (ja) 2007-08-15
US20020104751A1 (en) 2002-08-08

Similar Documents

Publication Publication Date Title
TW480529B (en) Method and apparatus for ionized physical vapor deposition
TW517096B (en) Apparatus for ionized physical vapor deposition
TWI692537B (zh) 具有多陰極的沉積系統以及製造彼之方法
KR100659828B1 (ko) 이온화 물리적 증착 방법 및 장치
JP3939499B2 (ja) イオン化物理蒸着の方法および装置
TW452821B (en) Ionized physical vapor deposition method and apparatus with magnetic bucket and concentric plasma and material source
TW523794B (en) Magnetron with a rotating center magnet for a vault shaped sputtering target
US20140246314A1 (en) Configurable variable position closed track magnetron
CN109338317B (zh) 用于物理气相沉积(pvd)处理系统的靶材冷却
CN104969331B (zh) 物理气相沉积射频直流开/闭环可选的磁控管
US9605341B2 (en) Physical vapor deposition RF plasma shield deposit control
WO2016018505A1 (en) Magnetron assembly for physical vapor deposition chamber
EP1744346A1 (en) Magnetron sputtering system for large-area substrates having removable anodes
KR20210052600A (ko) 웨이퍼 프로세싱 증착 차폐 부품
US11932934B2 (en) Method for particle removal from wafers through plasma modification in pulsed PVD
CN107004580A (zh) 用于物理气相沉积的电介质沉积的设备
US20090242383A1 (en) Apparatus and method for rf grounding of ipvd table
TW202242946A (zh) 用於消除電弧及改善pvd處理的均勻氣體分佈的氣體注入處理套件
WO1998037569A1 (en) Magnetic circuit for magnetron sputtering
EP1780766A1 (en) Improved magnetron sputtering system for large-area substrates having removable anodes
JPH0925568A (ja) スパッタ装置

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees