JP3959273B2 - イオン化物理蒸着法の方法および装置 - Google Patents

イオン化物理蒸着法の方法および装置 Download PDF

Info

Publication number
JP3959273B2
JP3959273B2 JP2001537766A JP2001537766A JP3959273B2 JP 3959273 B2 JP3959273 B2 JP 3959273B2 JP 2001537766 A JP2001537766 A JP 2001537766A JP 2001537766 A JP2001537766 A JP 2001537766A JP 3959273 B2 JP3959273 B2 JP 3959273B2
Authority
JP
Japan
Prior art keywords
chamber
vacuum
source
ring
target
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2001537766A
Other languages
English (en)
Other versions
JP2003514126A (ja
Inventor
ドリュウェリー、ジョン、スティーブン
レイノルズ、グリン
ラッセル、デレク、アンドリュー
ブルカ、ヨゼフ
ビュコヴィク、ミルコ
グラッパーハウス、マイケル、ジェイムズ
セリオ、フランク、マイケル、ジュニア
ギトルマン、ブルース、ディヴィッド
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2003514126A publication Critical patent/JP2003514126A/ja
Application granted granted Critical
Publication of JP3959273B2 publication Critical patent/JP3959273B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • C23C14/354Introduction of auxiliary energy into the plasma
    • C23C14/358Inductive energy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3435Applying energy to the substrate during sputtering
    • C23C14/345Applying energy to the substrate during sputtering using substrate bias
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3402Gas-filled discharge tubes operating with cathodic sputtering using supplementary magnetic fields
    • H01J37/3405Magnetron sputtering
    • H01J37/3408Planar magnetron sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/3414Targets
    • H01J37/3426Material
    • H01J37/3429Plural materials

Description

【0001】
本出願は1999年11月18日出願のJohn Dreweryその他の米国特許出願第09/442,600号に対する優先権を主張し、これは参照により本明細書に明示的に組み込まれる。
【0002】
(発明の分野)
本発明はイオン化物理蒸着法(IPVD)に、特にターゲットからコーティング材料をスパッタリングし、スパッタリングした材料をイオン化して、イオン化したコーティング材料を基板の表面に配向することによって、フィルム、特に金属フィルムを半導体ウェーハ基板上に付着させる方法および装置に関する。
【0003】
(発明の背景)
イオン化物理蒸着法は、シリコン・ウェーハ上に高いアスペクト比の構造を添加し、裏打ちすることに特定の有用性を有するプロセスである。IPVDでは、半導体ウェーハ上に薄いコーティングを付着させるため、付着させる材料をソースからスパッタリングするか、他の方法で気化し、次にコーティングされるウェーハに到達する前に、気化した材料の大部分をプラス・イオンに変換する。このイオン化は、真空室内のプロセス・ガス中で生成される高密度プラズマによって達成される。プラズマは、高周波電力を与えた励起コイルを通って処理室の真空に入る高周波エネルギーを磁気結合させることによって生成することができる。このように生成されたプラズマを、ソースとウェーハとの間の領域に集中させる。ウェーハにマイナスのバイアスを加える方法などによって、コーティング材料のプラス・イオンに電磁力を加える。このようなマイナスのバイアスは、プラズマ内にウェーハを浸漬させるか、高周波電圧をウェーハに印加させることを理由に、電気的に絶縁されたウェーハで発生することができる。バイアスによって、コーティング材料のイオンがウェーハに向かって加速され、したがってウェーハに対してほぼ直角の角度でウェーハに付着するコーティング材料の割合が増加する。これによって、ウェーハ表面の深くて狭い穴および溝を含むウェーハの微細構成に金属を付着させることができ、このような微細構成の底部および側壁が良好に覆われる。
【0004】
本出願の譲渡人によって提案される特定のシステムが、1997年4月21日出願の米国特許出願第08/844,751号、第08/837,551号および第08/844,756号に開示され、これは参照により明示的に本明細書に組み込まれる。このようなシステムは真空室を含み、これは通常は円筒形で、誘電材料または窓で形成された湾曲外壁の部分を設ける。螺旋状の導電コイルを、誘電窓の外側で室の周囲に、それと同心円状に配置し、コイルの軸方向の範囲は誘電壁の軸方向の範囲の大きい部分となる。使用時には、適切な整合システムを通して高周波電源からコイルにエネルギーを与える。誘電窓によって、コイルから室に入るエネルギーを結合しながら、コイルをプラズマとの接触から隔離することができる。窓は、遮蔽の配置構成によって金属コーティング材料の付着から保護され、遮蔽は通常は金属で形成し、室の内部領域へ高周波電界が通過させながら、磁界によって発生した循環電流の導通路を形成する傾向がある金属の、誘電窓への付着を防止することができる。このような電流は、オーム加熱を招き、コイルからプラズマへのプラズマ励起エネルギーの磁気結合を減少させるので、望ましくない。この励起エネルギーの目的は、室の内部領域に高密度プラズマを発生させることである。結合が減少すると、プラズマ密度が低下し、プロセスの結果が劣化する。
【0005】
このようなIPVDシステムでは、材料を、例えばターゲットからスパッタリングし、ターゲットは通常は直流電源によってプラズマに対してマイナスに荷電される。ターゲットは、磁気回路またはターゲットにスパッタリングするためにターゲット上にプラズマを限定する他の磁気構造を組み込んだ平面のマグネトロン設計であることが多い。材料は、高周波電源および整合ネットワークによって通常、高周波バイアスが加えられるウェーハ支持体またはテーブル上に支持されたウェーハに到達する。
【0006】
多少異なる幾何学的形状は、真空室の内部に配置されたコイルによって発生するプラズマを使用する。このようなシステムは、誘電室窓も誘電壁を保護する特殊な遮蔽も必要としない。このようなシステムは、Barnesその他によって米国特許第5,178,739号で説明され、それは参照により明示的に本明細書に組み込まれる。室がコイルの外側にあり、Barnesその他の特許で開示されたシステムも有するシステムは、真空室の内側または外側で誘導コイルまたは他の結合要素を使用し、それはスパッタリング・ターゲットとウェーハの面間の空間に物理的に配置され、それを占有する。
【0007】
コイルのような結合要素を真空室の内部に設けても、外部に設けても、システムの寸法は、高周波エネルギー結合要素をソースと基板の間に設置できるようにするため、十分なソースと基板間の距離が必要であることによって制限されてきた。コイルまたは他の結合要素を設置するために、ウェーハの周囲に十分な直径がなければならない。結合要素のための空間を設ける必要があるために、ソースと基板間の距離を増加させた直接の結果として、このようなシステムでは付着の十分な一様性を獲得することが困難である。一様性を改善するために室の高さを減少させると、室の中心領域のプラズマ密度が失われ、コーティング材料のイオン化のパーセンテージが低下する。また、実際には、システム全体が制限された半径内に適合しなければならない。その結果、高周波コイルの近傍から金属表面まで生じる熱により問題が頻繁にあり、これは追加の冷却を必要とし、技術および生産の費用が増加して、電力が無駄になる。
【0008】
コイルが室内にあるIPVD装置は、コイルがプラズマによって腐食し、したがってターゲットからスパッタリングされるものと同じタイプのターゲット等級の材料で構成しなければならないという追加の欠点を有する。さらに、真空室内に配置するコイルの十分な冷却が必要である。このコイルの冷却に液体を使用すると、コイルに不均一な腐食またはアーク放電が浸透して、液体がシステム内に漏れるという危険があり、これは非常に望ましくなく、システムの洗浄および再検証に長い時間がかかる結果となるようである。さらに、室内の励起コイルもプラズマに容量結合され、励起電力の使用が非効率になって、イオン・エネルギー・スペクトルが拡張され、プロセスに望ましくない影響を与えることがある。
【0009】
半導体デバイスを小型化した結果、直径がコンマ何ミクロンのアスペクト比が高い穴の底部に、接点への低抵抗接続部を形成する必要性が生じるようになった。そのため、タンタルおよび窒化タンタルなどの材料のバリア層上に銅などの導電性の高い金属を使用する必要性が増大した。このような材料を先行技術で付着させる技術は、完全には満足できるものではなかった。
【0010】
PVD方法による材料の付着は、先行技術では、スパッタリング室内に一様な幾何学的形状のプラズマ濃度を生成し、付着膜の分布一様性に直接影響を与えるため、スパッタリング・ソースの重要な設計を要した。先行技術のアプローチでは、それらの目的のために他の性能パラメータを妥協する結果となった。
【0011】
上述した考慮事項および問題の結果、IPVC処理システム内で密度の高いコーティング材料イオン化プラズマにエネルギーをより効率的に結合し、室の最適寸法を損なうことなく、好ましくはコイルまたは他の結合要素を真空室内に配置せずにこれを実行する必要がある。
【0012】
(発明の概要)
本発明の1つの目的は、コイルまたは他の結合要素の配置が処理装置の室の幾何学的形状に悪影響を与えないIPVD方法およびIPVD装置を提供することである。本発明の別の目的は、IPVDの性能のために、より効率的で効果的な方法および装置を提供することである。
【0013】
本発明の原理によると、IPVD装置に、コーティング材料の原子または微粒子を含む蒸気を真空室内の処理空間に発生させるため、コーティング材料のリング形ソースを設ける。リング形ソースの中心に、室内に入る高周波エネルギーを反応性結合する結合要素を設けて、処理空間内に反応性結合した高密度プラズマを発生させ、処理空間を通過するコーティング材料をイオン化する。コーティング材料のイオンは、静電界または電磁界または他の影響で、処理空間のソースとは反対端にある室内の基板に向かって浮遊する。基板から、例えばセンチメートルのオーダーの特定距離内に到達したこれらのイオンは、シース電界に遭遇して、基板に向かって加速し、したがって高いパーセンテージのコーティング材料が基板に対して直角の角度で基板に到達し、それによって基板の底部および側部の裏打ち、または基板表面にあるアスペクト比が高い機構の充填の有効性が上昇する。
【0014】
本発明の1つの実施形態では、コーティング材料ソースは、スパッタリング・ターゲットであることが好ましく、誘電窓が配置された中心開口を設ける。窓の背後で、室の真空の外側にプラズマ・ソースが配置され、これは高周波エネルギー源の出力に接続された結合要素、好ましくはコイルを含む。結合要素は、材料ソースの中心の開口にある窓を通過して、エネルギー源から供給され、コーティング材料ソースと、室のコーティング材料ソースとは反対側にある基板支持体上の半導体ウェーハなどの基板との間にある室の領域に入るエネルギーを結合するよう構成され、これは電磁結合であることが好ましい。
【0015】
本発明の装置は、中心のセラミック窓を囲む環状スパッタリング・ターゲットを含む。この環状ターゲットは、円錐台形の形状であることが好ましい。マグネトロンの磁石アセンブリをターゲットの背後に配置して、磁界をターゲット上に、好ましくは中心で中心開口を囲む環状ターゲットの表面上の環状トンネルの形状で限定するプラズマを生成する。
【0016】
結合要素は、環状スパッタリング・ターゲットの中心開口にある誘電窓の後部外面の背後で、その近傍に配置することが好ましい。例えば13.56MHzの高周波エネルギーをコイルに加えて、室内のターゲットと基板間に高密度の電磁結合プラズマを励起する。ターゲットの表面でマグネトロンの磁石の磁界によって捕捉される主要スパッタリング・プラズマは、ターゲットからのコーティング材料を、高密度の2次プラズマによって占有された処理空間の領域にスパッタリングし、ここで材料の有意の部分が電子を除去され、コーティング材料のプラス・イオンを形成する。マイナスのバイアス電圧を基板ホルダー上のウェーハに加え、これは接近する入射角が基板に直角の状態で、2次プラズマの領域からのスパッタリング材料のプラス・イオンを基板の表面上へと引き寄せ、したがってこれはウェーハ基板の溝および穴に肺って、これらの穴および溝の底部をコーティングすることができる。
【0017】
本発明の装置および方法の特定の実施形態は、室内の3次元領域で高密度の電磁結合された3次元プラズマにエネルギーを与える3次元コイルを使用するIPVDソースを含む。室は、30から130mTorrの真空圧で作動して、基本的にプラズマを熱中性子化し、したがってコーティング材料のイオンをプラズマ内で形成して、基板上に直角に配向し、それによってターゲットおよび磁石の液状がコーティングの一様性に及ぼす影響を低下させることができる。IPVDソースは、窓を通して室内に結合され、TEFLONスペーサなどの高誘電性材料を通り、次に環状ターゲットの中心で室壁の円形開口を閉鎖する真空バリアを形成するクォーツなどの誘電窓を通る。室の内側には、自身内にコイルの導体に対して配向された山形スロットを有する窓遮蔽がある。遮蔽は窓を付着物、特に金属製コーティング材料の付着物から保護しながら、電磁結合高周波エネルギーを室内に通過させる。遮蔽は、さらにファラデー遮蔽としても機能し、コイルからプラズマへの容量結合を防止して、電束圧縮による加熱を回避する。遮蔽は一体冷却器を有して、アルミニウムでめっきした鋳造銅で形成され、したがって遮蔽は、アルミニウム・コーティングを化学的に溶解させて、蓄積物を除去し、次に再使用のために銅遮蔽をアルミニウムで再めっきすることにより、再調整することができる。窓および遮蔽のアセンブリは、着脱式の組合せを形成する。窓が遮蔽のスリットに隣接して、スリットのこの箇所に形成されるプラズマによって自動洗浄されるよう、窓と遮蔽は隔置される。
【0018】
ターゲットは、好ましくは円錐台形で、円錐台形の壁は水平面または窓の面に対して約35°傾斜する。ターゲット表面に3本の、そして好ましくは3本のみの磁気トンネルを生成する永久磁石パックを使用し、主要な中心トンネルがターゲットの寿命の所期に優勢で、環状ターゲットの平均半径を腐食させ、2つの側部トンネルがターゲットの寿命の後部を引き継いで、ターゲットの環の内縁および外縁に隣接する溝を腐食させる。
【0019】
装置は、垂直動作するためにZテーブル動作駆動装置に装着したウェーハ・ホルダを使用し、6から9インチ(15.24〜22.86cm)のターゲットと基板の間隔(TSS)を設け、移送モジュールから移送アームへのウェーハのハンドオフを提供することが好ましい。支持体に静電チャックを設け、支持体から遠隔地にGALDEN流体ループを通して支持体と接続され、別の流体ループを通してヒート・シンクと接続されたペルティエ装置を使用してウェーハの加熱および冷却を提供する。静電チャックは3極で、チャックのグリッドが電極として働いて、ウェーハに2ゾーン・バイアスを与え、イオン化してスパッタリングした材料をウェーハに引き寄せる。ウェーハの縁の周囲にシャドー・リングを設けて、非接触の縁のマスキングを提供する。
【0020】
室は、相互に対して機械的に浮遊する2つの部分に着脱式の遮蔽インサートを有し、異なる加熱による異なる膨張に対応する。遮蔽アセンブリは交換可能な組合せの一部である。装置は、特に、銅をタンタルおよび窒化タンタル上に付着させ、下にあるタンタルおよび窒化タンタルのバリア層をパターン化したウェーハ上に付着させるのに有用であり、Taはイオン化したPVDによって付着させて、TaNは同じ室内でPVDによって付着させ、その後に同じ道具の移送モジュールに取り付けた同様のモジュールのイオン化PVDによって銅を付着させる。このようにして付着さえた銅は、その後にCu充填の多くの方法のいずれか、特に電気めっきを実行するのに適している。プロセスは、以下で説明するように、圧力、温度、ガス、バイアス力および/または電圧レベル、スパッタリング電力レベル、IC電力レベルなどのプロセスのパラメータを使用して実行することが好ましい。
【0021】
本発明による装置構造では、コーティング材料ソースと基板との間に、スパッタリングされる種を適切にイオン化し、それとともにウェーハ上の付着の一様性を良好にするために最適の間隔を設けるよう、処理室の寸法を決定することができる。
【0022】
本発明は、IPVDプロセスを最適化するために処理室を構成する際の設計選択肢に、より大きい自由度を提供し、上記の背景で述べた困難を克服しながら、それを実行する。
【0023】
本発明の以上およびその他の目的および利点は、図面に関する以下の詳細な説明から、さらに容易に明白になる。
【0024】
(発明の詳細な説明)
本発明の1つの実施形態によるイオン化物理蒸着(IPVD)装置500を、図1に示す。IPVD装置500は、室壁アセンブリ502によって制限された真空室501を含む。室501には、コーティング材料を蒸気の形態でスパッタリング室501のボリューム内に供給し、スパ他リング材料蒸気をイオン化するIPVDソース503、処理中にウェーハを保持する静電チャック・ウェーハ支持システム507、処理のためにウェーハを装填および除去するウェーハ取扱いシステム504、504a、室501を真空圧レベルまで排気する真空およびガス取扱いシステム505(図20から図21)、ターゲットを取り出して再配置し、ソースの他の保守を実行するIPVDソース・ホイスト460、および本明細書で述べる、および他の方法により装置500で実行する方法およびプロセスに従って装置500の他のシステムを操作する制御システム509を含む。
【0025】
装置500は、以下のような特徴および作業状態を提供することができる保守可能なモジュールである。つまり、(1)10-8未満の基底真空、(2)30から130mTorrの不活性ガス作業圧力、(3)0〜50mTorrの分圧での反応性ガスの提供、(4)6から9インチ(15.24〜22.86cm)のターゲットと基板の可変間隔、(5)背面でガス加熱または冷却する静電チャック操作、および(6)粒子生成を防止するため、スパッタリング材料が良好に接着する表面を有する着脱式の洗浄可能なコンポーネントへの付着を制限する遮蔽である。
【0026】
IPVDソース503の一般的概念が米国特許出願第09/073,141号に記載され、それは参照により明示的に本明細書に組み込まれる。ソース503の特定の具現例は、その出願で詳細に記述されたタイプのリング形ターゲット10、特に円錐台形のターゲットを含む。基本的に、IPVDソース503の原則目的は、以下の特徴および特性を提供することにある。つまり(1)ルーチン作業を実行するために、必要なオペレータの努力を最低限に抑え、可能な限り最小の工具のセットにし、(2)高周波および直流電力を可能な限り水または他の冷却流体から分離し、(3)設計および操作を比較的単純にし、(4)内部のソース・アセンブリ全体の迅速な交換を含め、ソースの迅速な修理または交換を可能にし、(5)モジュール式の内部アセンブリを提供し、(6)操作環境への放射線の漏れを防止するため、高周波遮蔽の完全性を維持することである。
【0027】
IPVDソース503は、壁502の頂部に載り、室壁502の頂部で円形の開口412の周を囲む真空が漏れないシールを壁502との間に形成するソース・フランジおよび暗空間リング・アセンブリ470が設けられる。IPVDソースは、環状ターゲット10および高周波ソース・アセンブリ450を含み、これは室501内の電磁結合されたプラズマにエネルギーを与える。高周波ソース・アセンブリはターゲット10の環の内側でウェーハ100の反対側にある開口421内に位置し、ウェーハは、例えば200mmまたは300mmのウェーハで、ウェーハ支持システム507の静電チャック97上に装着される。ソース503は、好ましくはアルミニウム溶接物であるソース・ハウジング1(図2)を含むソース・ハウジング・アセンブリ410を含む。ソース・ハウジング1は、ソース503の作動部分を装着する構造、および図1Aで示すように装置500に設置し、そこから取り外すためにソース・ホイスト・アセンブリ460によるソース503の係合、持ち上げ、および下降を可能にする結合構造411を含む。ソース・ホイスト460によって持ち上げられると、IPVDソース503は、ホイスト460によって回転し、次に下向きの作動方向(図1および図1A)から図1Bの上向きの方向に反転させることができ、そこでソース503は、例えばターゲット10を公開するか、洗浄または保守のためにソース503の他のコンポーネントを外すため、ソース503を保守することができる。
【0028】
図2で示すように、IPVDソース503は、IPVD装置500で付着させるためにイオン化コーティング材料を生成するコーティング材料およびイオン化エネルギーのソースである。ターゲット・スパッタリング電力は、コネクタ2を介してハウジング1にもたらされる。金属または他の導電性コーティング材料を付着させるために、ターゲット・スパッタリング電力は装置500の直流電源(図示せず)によって供給される直流電力である。非導電性材料を付着さえる場合、ターゲット電力は高周波電源から提供される。電力コネクタ2は、ソース503を室501の頂部の作動位置に設置すると、室壁502に永久的に装着されたコネクタ2aと対合する。その結果、ターゲット電力は、ソース503が室501の所定の位置にないと、ターゲット10に生じることができない。マイナスの直流給電線147が、水密性の歪み取りブッシュ149を通過して、ハウジング1内の絶縁ブロック4に装着されたソケット3へ至り、プラスの給電線148は、通常はシステムの接地電位に維持されているハウジング1に直接接続される。
【0029】
口5をハウジング1の頂部に設け、高周波接続部152の導体40(図4)が、それを通ってソース・ハウジング1の頂部にある電磁結合プラズマ(ICP)発生器(図6から図8)の高周波同調器96(図2)に至る。自動同調器96はソース・ハウジング1の頂部に装着された商用ユニットである(図2)。大型のメス型コネクタ40aが、コネクタ40を介して高周波コイル・アセンブリ450に電力を供給する(図7〜図8)。ハウジング1の外側で、高周波ケーブルが、高周波同調器96を装置500の高周波発生器(図示せず)に接続する。ハウジング1には、高周波同調器ユニット96およびコイル・アセンブリ450の存在を検出するインターロック・スイッチ6も設ける。
【0030】
押し棒機構7aによって起動されるインターロック・スイッチ7を設け、押し棒機構はばね押しピン166(図9および図13)によって起動され、ターゲット10が適切に設置されると、スイッチ7を起動する。インターロック7は、ターゲット10が所定の位置にあって、ロック・ダウンされていない限り、水および電力が供給できないことを保証するために設ける。室501の壁502の頂部で円形開口412を囲むソース・フランジおよび暗空間リング・アセンブリ470と電気接続する傾斜コイルばね8を設ける。複数、例えば3個から6個の手動クリップ9を、ハウジング1の周囲に等間隔で設け、これを解放すると、ソース・フランジおよび暗空間リング・アセンブリ470を取り外して、ターゲット10を交換するか、他の方法で保守する、あるいはターゲット10の取り外しおよび交換、またはソース・ハウジング1内の他のコンポーネントの保守を可能にすることができる。水の出入りのために口150を設け、主ソース・ハウジング1の外部で水ループと接続するために、口151を設ける。
【0031】
ターゲット10は、図3に図示されるターゲット・アセンブリ420の一部である。ターゲット10は円錐台形であり、製造費を最低限に抑えるよう設計される。これは、上部真空継手を画定する1つの上部Oリング溝11、および下部継手を作成する仕上げの良好な表面427を有する。ターゲット10の背面428は滑らかである。ターゲット10は、ターゲット10が銅である場合によくあるように一体式であるか、スパッタリング技術者によく知られた幾つかの技術のいずれかによって、ソース材料の層を構造的裏打ちプレートに結合することによって形成してもよい。ターゲットの対向する側部は、円錐の発散の開先角度を画定し、これは約110°であることが好ましい。
【0032】
円錐ターゲット10の110°の開先角度は、Kushnerその他のHPEMコードを使用した包括的なコンピュータ・モデリングの後に選択された。このアングルにより、複数の圧力、電力、および好ましくは約6から9インチ(15.24〜22.86cm)のターゲットと基板との間隔で、最適な付着の一様性が得られる。これより小さい開先角度が望ましいこともあるが、90°未満の角度は、付着速度が低下し、一様性がそれほど改善されないと予想される。開先角度が大きくなると、ターゲットの利用率が低下し、付着の一様性が低下すると予想される。
【0033】
ターゲット10の中心開口421を囲むターゲットの環の内縁で、ターゲット10の上部末端にOリング溝11を設ける。Oリング溝11の外側に、胸壁のようなぎざぎざがある機構12を設けて、ねじを使用せずにターゲット10を冷却水システム422(図9)に組み付けられるようにする。開口421の直径の内側に段154を設け、これは、以下で説明する窓遮蔽および窓アセンブリ440(図6)にある同様の段との組合せで、これも以下で説明する開口421を覆う誘電窓33への金属の付着を防止する。
【0034】
ターゲット10は、冷却カバー13と対合して冷却水システム422を画定する。このカバー13は、通路16の各側でその内側に「四リング」水シール14および15を有する。これらのシール14、15は、ターゲット10とカバー13とを組み立てると、ターゲット10の背面と接触する。ターゲット10の胸壁のようなぎざぎざがある機構12と対合して、カバー13をターゲット10と接続する差し込みアセンブリ構造153を設ける。ターゲット10とカバー13とを接合するため、カバー13にスロット17を設けて、カバー13を胸壁のようなぎざぎざがある機構12の上に落とせるようにし、その後、ターゲット19およびカバー13を相互に対して回転して、部品10、13を約20°回転した場合にこの部品同士を締め付ける「ジャム瓶」効果を生成するが、この角度は、胸壁のようなぎざぎざがある機構12とそれに対合する差し込みアセンブリ構造153との角度間隔の半分より多少小さい。
【0035】
ターゲットを冷却する水は、口155を介して冷却カバー13に出入りして、図3Aに示すように、カバー13の前面のリング形通路16に形成されたマニホルド18に入る。これらの口155およびマニホルド18は、カバー13の通路16の周囲で相互から180°に位置する。マニホルド18は通路16より深く、それぞれが通路16の約10°のセクタを占有する。各マニホルド18の各側には、コーム19を受ける溝19aがある。各コーム19は、一連の切欠き19bを担持する薄い金属インサートである。これらの切欠き19bは、水が主通路16に入ると、それを別個の流れに分割し、冷却効率の低下または場合によって水の局所的沸騰を招く可能性がある停滞領域が水流に形成されるのを防止する。コーム19の設計は、コンピュータによる流れの動力学モデリングによって決定される。カバー13全体を取り外して、交換するのではなく、コーム19を取り外して、異なる流量と圧力との関係に合わせて設計した他のコームと交換してもよい。ターゲットの寿命が終了したら、カバー13を寿命切れのターゲットから外して、再使用することができる。以下で説明するばね押し水継手69(図9から図10)を介して、カバー13を通ってマニホルド18に入る水継手を作成する。以下で説明するばね押しスタブ80(図12)を介して、直流電力を接続する。
【0036】
IPVDソース503は、図4に示すマグネトロン磁石アセンブリ430を含み、これはターゲット・アセンブリ420の背部に接続する磁石パック20を含む。磁石パック20は、鋼のヨーク21と、図示のように内部リング22a、中間リング22bおよび外部リング22cを含む3つのリングの状態で配置された一連の磁石22とを含む。その結果、磁石22によって生成された磁力線は、主磁力トンネル26を含み、これはターゲットの寿命の最初にターゲットの腐食に影響を与えて、環状ターゲット10の中間半径に沿った円形路を通り、さらに内部および外部磁力トンネル27および28を含み、これはターゲットの寿命の最後に向かって、ターゲットの環の内縁および外縁へと向かってターゲットの腐食を分散させる。この配置構成による腐食溝は、ターゲットの腐食につれて広がり、ターゲット材料の利用率向上をもたらす。磁石22は、接着剤結合によってその位置に保持される。非磁気リング23を使用して磁石を適正に隔置し、ソース・ハウジング1への装着に都合がよい形状を有する成形プラスチック材料の本体24に、アセンブリを入れてもよい。
【0037】
代替磁石構成を図5に示す。より単純なこの設計は、図4に図示したおのよりターゲット利用率が低下すると予想される。磁石アセンブリ20は、ターゲット10の正味腐食がターゲット寿命にわたって常に生じ、したがってターゲット10上に正味再付着が生じないよう、磁気トンネルの少なくとも一部がターゲット10上でプラズマをトラップするように設計することが好ましい。これを達成する一つの方法は、中間磁石リング22bの磁界が、内部および外部磁気リング22aおよび22cの対向する極間に延在する主トンネル26の磁力線によって形成されるトンネルを相殺しないよう、中間磁石リングをターゲットから十分離して配置することによって実行する。
【0038】
磁石パックは、水および直流電力のフィードスルーを担持しターゲットへとつながる軸に平行に先行された幾つかの穴25を含む。磁石のアセンブリ22は、ポリウレタンなどの硬質ポリマ・コーティングで被覆するか、非磁気金属またはプラスチックのクラッド部片に封入し、それを磁石パック20に結合する。このコーティングは、磁石22およびヨーク21が空気中で酸化されるのを防止し、焼結構造である場合もある磁石22が汚染粒子源になることも防止する。
【0039】
IPVCソース503は、図6に示す窓および窓遮蔽アセンブリ440も含む。遮蔽および窓アセンブリ440は、厚さ7mmのラップ仕上げした高純度アルミニウム板で形成した誘電窓33、およびアルミニウムまたは銅などの導電性材料で形成した窓遮蔽26を含む。金属コーティング材料の場合、遮蔽26は金属で作成することが好ましく、ファラデー遮蔽として機能することができる。遮蔽26は、自身内に形成されて、遮蔽26の環状縁部分と、遮蔽26の縁27aに鑞付けまたは溶接した環状流路カバー・リング27aとの間に画定された一体の冷却水流路27を有する。幾つかのスロット28を遮蔽26にフライス加工する。スロット28は、図6Aに示すように断面が山形であるか、視線路を遮断し、室501内からのコーティング材料が窓33に直接付着するのを防止する他の何らかの断面を有することが好ましい。スロット28の寸法は、高周波エネルギーに対する遮蔽26の透過性と、室の処理領域から誘電窓33へのスパッタリング材料の最小透過性とのバランスをとるようコンピュータのモデリングによって最適化される。
【0040】
遮蔽26の流路27への水接続は、遮蔽26にねじ込まれてOリング30で水シールを作成するステンレス鋼スタブ29によって作成される。各スタブ29は外ねじ31および円錐テーパ状端部32を有し、そこに平滑仕上げを施してある。スタブ29を取り付けた遮蔽26のアセンブリは、穴34を通してスタブ29を誘電窓33に挿入することによって、窓33に組み付けられ、穴34は穴34aと整列し、これは遮蔽26の縁の周囲で180°隔置され、流路27と連絡する。水スタブが通過する穴34が2つあり、1つは水の入口、1つは水の出口用である。テフロン(登録商標)のワッシャ35およびアルミニウムの刻み付きナット36をスタブ29にねじ込み、窓遮蔽26と窓33の間でOリング37を圧縮し、水スタブ29の周囲に真空シールを形成する。Oリング38をナット36内に設け、これは密封機能を有さないが、ばねの機能を果たし、ナット36の過度の締め付けを防止するのに役立つ。
【0041】
ターゲット10からスパッタリングされたイオン化材料のための2次高密度プラズマは、図7に示す高周波コイル・アセンブリ450によって励起され、これは3次元コイル39を含み、その形状および電気的性能および特性は、1999年3月26日に出願され、Process Apparatus And Method For Improving Plasma Distribution And Performance in an Inductively Coupled Plasmaと題した本出願の発明者であるJozef Breka発明の米国特許出願第09/277,526号に記載され、これは参照により明示的に本明細書に組み込まれる。コイルへの高周波給電は、コイルの端部片42のソケット41にねじ込まれた1対のオス・コネクタ40によって提供される。給水は、1対のねじ付きパイプ継手43を通して提供される。これらの継手は、Oリング溝45を有するフランジ44によって囲まれる。
【0042】
コイル39を、テフロン(登録商標)などの材料で作成した誘電性の高い絶縁カップ46内に装着する。カップ表面の様々な区域で、カップ46の肉厚は、最も近い導電性表面へのアークを抑制することに矛盾なく、可能な限り薄くなるよう計算される。この計算を実行するには、テフロン(登録商標)、空気ギャップ、およびソース窓33などの他の誘電体で構成される積重ねについて、有効電界および空気中の圧力と距離との積を計算する。テフロン(登録商標)の厚さは、空気ギャップの妥当な値について、空気の絶縁破壊を生じるのに必要な値より、電界が常に小さくなるよう設定することができる。この計算によって、カップの厚さを安全な状態で最小限に抑えることができる。最小厚さによって、プラズマとの最適結合が可能になり、コイル39の寸法に対する制限が緩和される。
【0043】
カップ46をアルミニウム・リング47内に装着する。このリングは、肩ねじ62およびばね63を使用して水冷アセンブリ422に装着し、ねじとばねは、使用時には上述した窓および遮蔽アセンブリ440の一部である誘電窓33にリングを押し付ける。この窓33は、溝11内に配置されたターゲットの上部Oリング48に押し付けられる。このばね荷重は、不整合および機械的変形を補償し、したがって真空遮蔽をまとめ、ポンプでシステムの空気を抜けるようにする。
【0044】
リング47は、冷却水用の傾斜コイルばね39および管50も特徴とする。リング47には2つの軸方向の穴51があり、窓遮蔽からの遮蔽水スタブ29が、これを通過することができる(図8)。テフロン(登録商標)・ワッシャ53のために、リング47の背面にある穴51の周囲に窪み52を設ける。
【0045】
アルミニウム・エンクロージャ54がコイル39に装着され、傾斜コイルばね49を通してアルミニウム・リング47まで電気導通があるよう、ボルトの円によって押さえつけられる。その目的は、水が漏れた場合に、それがコイル上の高電圧に到達するのを防止し、ソースからの高周波放出に対する1次バリアとして作用することである。高周波エネルギーは、誘電窓33を通してのみ室501の処理空間へと逃げることができる。エンクロージャは、4カ所で穿孔され、2つ口55は高周波給電線を担持し、2つの口56はコイル39への給水線を担持する。テフロン(登録商標)部品57が給水口56を通過し、Oリング45上を通過して水シールを作成する。これは、コイルの水継手内の水漏れによって水が高周波要素と接触しないよう、2次封じ込めを提供する。テフロン(登録商標)絶縁部片58を使用して、コイルおよび高周波コネクタをエンクロージャから電気的に隔離する。テフロン(登録商標)・コーム59を絶縁ねじと一緒に使用して、コイルをカップ46に押さえつける。これによって、ソースが異なっても挙動が一致する。
【0046】
図9に示すように、冷却水は、大きいプラスチック板60に装着された構成要素を介して分配され、プラスチック板は、スペーサ61上でそれに装着された磁石アセンブリ430、および肩ねじ62を使用してそれに装着された高周波ソース・アセンブリ450も支持する。主アルミニウム・マニホルド・ブロック64を板60に装着し、ねじ付き接続部を介してソースの外部から水を導入する。2次マニホルド65によって、コイルを通る水の流れを、ソースの外側に装着した流センサでチェックすることができ、水流をソース・フランジおよび暗空間リング・アセンブリ470の冷却流路と接続することもできる。
【0047】
ターゲット10の冷却水は、図10に示すように、スタブ・アセンブリ68を通過する。アセンブリ68は、継手管自身の外径以下の大きさの穴を通して水継手69を組み付けることができるよう設計され、これによって磁石パックの穴25を最小直径にすることができ、これは、これらの穴によって引き起こされるような磁界の攪乱を最小限にする。アセンブリ68にはばねが装填され、ばね70は、プラスチック装着ブロック60に押し込まれた金属カップ71と保持リング73によって水管69上の所定の位置に保持されたワッシャ72との間に作用する。この方法で、作動時には、冷却管の下端が、ターゲット冷却カバー13の特殊設計の細部に押し込まれたOリング74に載る。細部は、ISOおよびSAE規格の流体接続グランドで使用するものと同様の二重テーパ穴である。
【0048】
窓遮蔽26は特殊継手75を介して冷却され、それは図11に示すように、遮蔽とも直流接続する。継手は、内向きのOリング76を有するテーパ状窪みを特徴とする。取付け具全体は、ばね77によってばね押しされ、組み立てると、スタブ29のテーパ状表目がOリング76に強力に押し付けられ、水シールを作成する。傾斜コイルばね77は二重の機能を果たす。第1に、遮蔽26と電気接続する。第2に、ラッチ機能を果たして、スタブ29の浅い窪みと契合し、水ハウジング422に設置された後、遮蔽26をほぼ所定の位置に保持する。最後に、この継手は、電気接続するためのテーパ状穴78を有する。継手は、テフロン(登録商標)・ワッシャ53を通して高周波アセンブリに挿入される。支持ブロック79がブロック60に装着されて、継手を支持し、ばね77へ圧力も加える。これらのブロックは、その上端に接触フィンガ156が取り付けられ、これはソース・ハウジング1と接触することにより、アセンブリ全体を接地し、ソースを組み立てると、これを通してファラデー遮蔽を接地する。
【0049】
ブロック60は、2つの水に関係ない品目の支持体も含む。一方は直流接点スタブ80で、これは図12に示すように、ターゲット水継手と同様にばねで押される。この場合、スタブ80はターゲット冷却カバーに押し付けられ、別の傾斜コイルばね81によって接触が確保される。スタブの上端にはオス・コネクタ158を取り付ける。この直流コネクタ・スタブ・アセンブリは、ソースの水漏れ時にこれを噴霧から保護するプラスチック管159に囲まれる。他方の品目はばね押しピン166(図9)であり、これは磁石パックを通過して、ターゲット冷却カバー13(図3)に当たる。ソース503を組み立てると、このピン166の上端が、上述した別のプランジャ・アセンブリ7aに載り、これがマイクロスイッチ7を起動する。このスイッチ7の起動は、ターゲット・アセンブリ420が適正に設置され、したがって冷却水出しても安全であることを示す。
【0050】
水分配アセンブリ422は幾つかの継手および長いテフロン(登録商標)・ホースを含む。漏れが発生した場合は、水をソースの外部に排出し、検出できるどこかで受けることが望ましい。したがって、ブロック60は、ソース・ハウジング1の小さい穴83(図2)で終了する排水溝のパターン82を含む。
【0051】
ソースの真空漏れチェックを実施しなければならない。内部のターゲットOリングおよび窓シールのOリングは非常にアクセスしにくい。この理由から、ブロック60にはステンレス鋼の毛管も設け、これを図13Aに示すような溝82の一部に埋め込む。漏れチェックの間、これらの管84を使用して、アクセスできないOリングの近傍にヘリウムを供給することができる。
【0052】
図14を参照すると、ソース・フランジおよび暗視野リング・アセンブリ470が図示されている。このアセンブリ470は、クリップ9に対応する固定部品86が装着されたソース・フランジ67を含む。圧伸加工した冷却水管471を設ける。上側は、テフロン(登録商標)絶縁体89を挿入したOリング溝88を有する窪み87を有し、絶縁体自身にOリング溝90を設ける。窪み87からフランジの外径へつながる水抜き穴472を設け、これは冷却水が漏れた場合に、排水および可視警告を提供する。Oリング溝90は、ターゲット10と接触して機能するOリング90aを有し、外部真空シールを形成する。ソース・フランジ67の下側は別の窪みを有し、これに暗空間遮蔽91が装着される。この遮蔽91は、スロット機構93に締め付けた肩ねじ92によって所定の位置に保持される。遮蔽91を外すには、ねじ92をわずかに緩め、次に遮蔽をわずかに回転させて持ち上げる。遮蔽91は、Oリング溝88の付近の領域でソース・フランジ67と接触しないよう設計され、そのOリングの過熱を防止する。ソース・フランジ67は、傾斜コイルばね94を使用してプロセス室と電気的に接触して機能する。Oリング95が真空シールを作成する。
【0053】
IPVDソース503に関する幾つかの特徴または考慮事項がある。修理のための組立および取り外しが一つである。組立時には、直流コネクタ158(図12)がソケット4(図2)に挿入されるよう、完全に組み立てたソースの内部(ファラデー遮蔽アセンブリ440およびターゲット・アセンブリ420を除く)を、ひっくり返したソース・ハウジング・アセンブリ内へ落とす。6本のねじを挿入して、水を4つの口151、152に接続する。給電線を差し込む。これでソース503を使用する準備が整う。取り外しは、組立の反対である。この単純な組立技術は、ソースのモジュール構造の利点である。定期的なターゲットの交換も、このような特徴または考慮事項である。冷却水を吐き出した後、ソース503をひっくり返してクリップ9を解放する。次に、フランジ・アセンブリを外して持ち上げる。これで、ターゲットを持ち上げて、ファラデー遮蔽アセンブリを外すことができる。暗空間遮蔽91を解放する以外に、工具は必要ない。
【0054】
静電チャック507とウェーハ移送システム504が協働して、ウェーハを一方から他方へ移送する。チャック・アセンブリ507は、図15に示すようなサービス支持アセンブリ480を含み、これはウェーハ支持体、ホルダまたはチャック97を含む。適切なチャック97は、INVAX Inc.または他の供給源から獲得することができる。例えばGALDENブランドの過フッ素化流体などの冷却流体が通過するための流体通路を設ける。チャック97は3極タイプであり、チャック電圧を加えるために埋め込んだ2本の電気絶縁電極を有し、静電チャックの電極によって高周波バイアスをチャック本体に加えることができる。これによって、高周波が埋め込んだ電極を通してウェーハに結合することができる。チャックの金属部品は全て、独占権下にある誘電体でアルミニウム被覆する。中心穴を通してバックサイド・ガスを設けることができる。サーモカップルをチャックの背面に装着する。
【0055】
チャック97は幾つかの座ぐり穴を有し、ねじを使用してステンレス鋼のベース98に装着され、チャックをねじによる損傷から保護し、電気絶縁を提供するポリイミド「vespel」絶縁体がある。絶縁ブロック99がチャックをベースから絶縁する。
【0056】
図16は、チャックの支持構造への装着を示す。ステンレス鋼のベース98に受けペグ101を取り付け、これがリング102を支持する。リングは、受けペグ上の機構と一緒に作動してリングとチャックとを正確に位置合わせする機構を有する。このリングは、セラミックのリフト・ピン104を取り付けたソケット103を有し、ピンはチャックの穴を通過する。リング102は、プロセス中にはペグ101上に載る。テーブルをウェーハ移送位置へと下降するにつれ、リングが下記のような別個のアセンブリ阻止して、ペグから持ち上げられ、チャックを通してピンを上昇させ、チャックからウェーハを持ち上げて、ハンドラへ移送する準備を整える。ベースは、下方向に延在する短い管を有し、その端部は、下記のZ駆動アセンブリ490に締め付けることができるフランジ119である。
【0057】
このテーブルの遮蔽の2つの形状を図22に示す。最も単純なケースでは、ステンレスの遮蔽105がベース98の段に載り、金属が付着しないようチャックを遮蔽する。あるいは、接地した遮蔽106を、チャックの真上に載るリング107で補う。このリングは、アルミニウムまたはステンレス鋼で作成することができ、誘電材料で被覆しても、しなくてもよく、誘電材料は、場合によってはチャックの誘電体に使用するものと同様の高い比誘電率である。このリングは、チャック誘電体を通してチャックに加えられる高周波電力に結合される。この利点は、遮蔽がチャックに非常に近接することができ、したがって金属付着をより効果的に遮断し、高周波電力がリングに加えられて、ウェーハと同じバイアスを達成し、これによってウェーハ縁に近い電界の歪みが減少することである。リングは接地された遮蔽と重複するが、それからは分離される。これは、金属が付着するための回旋路を提供し、材料がチャック上に付着するのを防止する。
【0058】
図18に示すウェーハ移送機構を、ねじ付きペグ108を使用してチャンバ壁アセンブリ502(図19)のベースに取り付ける。リング109は、ばね110によって隆起位置に保持される。これは、機構111および112によって正確な位置に保持される。スロット付きペグをこのリングに装着する。テーブルまたはチャックを下降させると、ペグ101がスロット付きペグのスロット114内に下降する。リング102を持ち上げて、ピン104を上昇させる。ピンを11mm持ち上げると、ペグ101がスロット114の底部に到達する。通常、移送システムはこの時点でピックを挿入し、ウェーハを収集する。テーブルがさらに下降してばね110を圧縮し、ピン上のウェーハを含むシステム全体をピック上に下降させる。次にウェーハを担持したピックを取り出す。蛇腹115が真空バリアを形成しながら、テーブルの上昇および下降を可能にする。サービス支持アセンブリ480は、一定間隔のナイロンのスペーサ118を有する3本の銀めっき真鍮管117で形成された剛性アセンブリ116を含み、各端にある銀めっき板がねじでチャックに固定される。これは3つの機能を有する。それは(1)チャック電圧線、サーモカップル線、温度制御流体管、およびバックサイド・ガス管の機械的支持、(2)チャック本体への高周波電力導通、および(3)チャックの背後にある空間への窒素パージ・ガスの導通である。低い作業温度では、ガス・パージを提供して水分を滲出させない限り、水の凝結がチャックの作動を阻害する。このケースでは、チャックとの界面付近の支持管に小さい十字穴がある。
【0059】
チャック97を垂直に移動させ、その高さを調節するための垂直エレベータまたはZ駆動システム490を、図18に示す。これは、クランプ121を使用してテーブル・フランジ119に結合することができる上部フランジを有する管120で構成される。レール122を、ブラケット124上に支持されたスライダ123に載った管に装着する。管120の下端でフランジ126に装着されたボール・ナットを、親ねじ127で打ち込む。このねじは、モータと歯車箱との組合せ128で回転させる。モータは、モータの電源断時にその逆駆動を防止するブレーキ(図示せず)を装備する。モータ制御システムは従来通りのものである。テーブルの位置は、親ねじの上端に装着されたエンコーダを使用して決定する。ブラケット124をプロセス室に装着する。
【0060】
バックサイド・ガス送出システムおよびコンポーネント・エンクロージャ129を、フランジ126の下に装着する。このエンクロージャは、チャックとの間で送信される信号を調整する電子機器を含む。テーブル高周波減衰器130、つまり商用ユニットを外部に装着する。
【0061】
1つの実施形態では、弁および汎用圧力制御装置(UPC)をエンクロージャ129の外部に装着する。これらのコンポーネントがバックサイド・ガス送出を制御する。将来、これらのコンポーネントは他の位置に移動する。プラズマ・アレスタを使用して、バックサイド・ガス・システムに絶縁破断を形成し、ガス・ライン中のプラズマ形成を防止する。
【0062】
真空およびガス処理システム505を図21の線図に示す。これは、室および給送システムを含む。室501は室壁アセンブリ502に含まれ、これは特に、図19および図20に示すような空密室エンクロージャ131を含み、これは従来通りのステンレス鋼の設計である。エンクロージャ131は、移送システム504のハンドラと結合する内部絶縁弁132を有する。ソースおよびテーブル・アセンブリ用の上部および下部フランジ、および真空測定、プロセス・ガス入力などのための適切なフランジがある。ベースの8インチ(20.32cm)のconflatフランジが絶縁弁142に結合し、これは約110Kで作動する極低温冷却したパネルおよびターボ分子ポンプ144に接続される。ターボ・ポンプの速度を制御して、低速(約2400RPM)作動時に比較的高いガス圧で処理できるようにしながら、高いポンプ速度(約56000RPMで名目350ls-1)で大気圧からの空気抜きを提供する。ターボ・ポンプを、オイルでない密封タイプの回転ポンプ145で支持し、これは室を100mTorr程度まで空気抜きするのにも使用し、この圧力で換気した後、絶縁弁を開くことができる。真空構成は、水ポンプ/可変速度ターボ・ポンプの組合せを使用すること以外、極めて従来通りである。
【0063】
室501を換気した後の回復中に、内部ハロゲン・ランプが焼出し機能を提供する。プロセス・プラズマも使用して、モジュール内部の温度を上昇させ、焼出しを補助する。この方法で、10-8トル以下の圧力が、典型的なターゲット変更手順の後、数時間以内に達成される。
【0064】
室は、通常の動作中に冷却するための外部ウェーハ冷却流路を有する。ソース・ホイストを装着するためのフランジを設ける。室は、外部の溶接リブを追加することによって、このフランジの周囲を局所的に補強し、ホイストでソースを上昇させる場合に加わる荷重を支持する。ソースの直流コネクタ162のハウジングも、室に装着する。
【0065】
反応ガスがあるモジュールおよび反応ガスがないモジュールのガス・システムを、図21の線図に示す。室へのアルゴン・ガスの送出は、単純なフランジを介する。反応ガスを使用する場合、これは分岐した「スパイダ」管133内へと通り、これはガスをプロセス空間へ搬送する。管は、テーブル・アセンブリの対向する側にある2つの位置で終了する。小さいカバー134がガス管の端部に載って、スパッタリングされた材料がガス管に付着するのを防止する。
【0066】
スパッタ遮蔽アセンブリ495を図22に示すように設ける。これは、取り外して洗浄される5つの遮蔽の間にある。これは、上述したファラデーおよび暗空間遮蔽、上述したテーブル遮蔽、および2つの室遮蔽136、137である。これらの室遮蔽は電機子138上に支持される。上部遮蔽136は、通常は底部遮蔽137より高い温度まで上昇し、電機子から隆起したペグ139の3点で支持される。遮蔽138上の機構がこれらのペグに載り、遮蔽を室内でセンタリングするが、迅速に移動できるようにする。これは、熱膨張による遮蔽内の応力蓄積、およびその後の異なる熱膨張率での高温材料の付着を防止する。このような応力は、粒子をシステム内に放出することがある。遮蔽を取り外すのに工具は不要である。下部遮蔽137を電機子138に挿入し、それで支持する。電機子の面取り141によって、自動センタリング挙動が生じる。遮蔽を取り外すのに工具は不要である。
【0067】
遮蔽内の空間のポンピングは、室内の付着の履歴に関係なく、スパッタ・ターゲットの寿命を通して制御下でなければならない。つまり、ポンピングは、被覆するウェーハの数に関係なく、同じ速度でなければならない。大部分のスパッタリング・システムでは、これは良好に制御されていない。これらのシステムのポンピングは、室の温度とともに寸法が変化するギャップ、または他の目的のために遮蔽に設けた穴を通して実行する。これにより、特に反応性スパッタリングの場合にプロセスの問題を招くことがある。この設計はこれらの問題を防止する。ギャップがペグ139の長さによって設定されるからである。これは短く、熱負荷が最高になる領域の外側にあるので、熱膨張によって長さが大きく変化しない。その結果、遮蔽136、137間のギャップは良好に制御され、ポンピングは、制御された方法でプロセス領域の全周で実行される。このギャップは、ギャップを通過する金属を減少させるため、小さくなるように設計され、それは1ミリメートルのオーダーであることが好ましい。遮蔽136は、暗空間遮蔽の窪みに填り、室の保護されていない領域に到達する前に、遮蔽間のギャップに入る金属を阻止する回旋路を形成する。これを達成するために、部品の熱膨張への関心と同様、優れた寸法仕様が必要である。
【0068】
ウェーハは、下部遮蔽136のスロット137を通して装填される。処理中、このスロット146はテーブル遮蔽106または106によって閉鎖される。スロット146は、スパッタリングされた金属をより効率的に阻止するため、囲み147を有してもよい。
【0069】
ソース・ホイスト460を図23に示す。ソース・ホイスト460は、約200ポンド(90.8kg)の重量を上昇させることができる空気圧リフトである。ソースを室から持ち上げ、次に垂直軸を中心に回転する必要がある。ターゲットを外す前にソースをひっくり返すことができる動作もなければならない。ソースは、ターゲットへのアクセスを容易にするため、下降しなければならない。室501の壁502の頂部から持ち上げる時に、ソースを水平に維持するために、アセンブリの十分な剛性が必要である。使用する配置構成では、上部および下部アセンブリ171、172を担持する固定垂直シャフト170がある。これらの品目は両方とも、シャフト170上で滑動し、回転することができる線形軸受を含む。空気圧シリンダ173によって、ソースを上下させることができる。品目171は、垂直に移動し、回転もするよう意図され、品目172は回転のみで、シリンダによって品目171との位置合わせが維持される。ローラ174は軸受として作用し、その上で上部アセンブリ172が回転する。ローラを中に落とすことができる窪み付きの機構174がある。これは、特定の点においてアセンブリの回転に対する多少の抵抗を提供し、オペレータが操作のための適正な位置を検出できるようにする。
【0070】
アセンブリ176は、1対の対向する角軸受を含む。シャフト177がこれを通過し、軸受に予め加重するばねワッシャ179およびキャップ178によって保持される。この配置構成によって回転式接合部になり、半径方向の剛性が非常に高くなる。アセンブリ176はカム・ホィール機構も含み、これはプランジャ180との組合せで、ソースが一方向にしか回転できず、直立および逆方向にはロックできることを保証する。フランジ181を使用して、ホイストをソースに装着する。
【0071】
1つのモジュール500でタンタルおよび窒化タンタルを付着させ、次に同じクラスタ・ツールの別のモジュールで銅を付着させるための好ましいプロセス・パラメータは、以下の通りである。
【表1】
Figure 0003959273
【0072】
本発明の実現方法を変更することができ、本発明は好ましい実施形態で説明されていることが、当業者には理解される。したがって、本発明の原理および意図から逸脱することなく追加および改修を実行することができる。
【図面の簡単な説明】
【図1】 本発明によるIPVD装置の1つの実施形態の概略断面図である。
【図1A】 IPVDソースが除去された装置を示す、図1と同様の断面図である。
【図1B】 ソース・フランジおよびターゲット・アセンブリが除去された装置のIPVDソースを示す、図1Aと同様の図である。
【図1C】 断面を切り取った線が下記の図13に図示された、図1の装置のIPVDソースの部分断面図である。
【図1D】 図1CのIPVDソースの分解斜視図である。
【図2】 図1Cおよび図1DのIPVDソースのハウジング部分の分解斜視図である。
【図3】 図1Cおよび図1DのIPVDソースのターゲット・アセンブリ部分の分解斜視図である。
【図3A】 図3のターゲット・アセンブリのカバーの部分の組立分解斜視図である。
【図4】 図1Cおよび図1DのIPVDソースのマグネトロン磁石アセンブリの拡大概略断面図である。
【図5】 代替磁石アセンブリを示す図4と同様の拡大断面図である。
【図6】 図1Cおよび図1DのIPVDソースの遮蔽および窓アセンブリの分解斜視図である。
【図6A】 図6の円で囲んだ部分の断面図である。
【図7】 図1Cおよび図1DのIPVDソースの高周波ソース・アセンブリの断面図である。
【図8】 図7の高周波ソース・アセンブリの分解斜視図である。
【図9】 断面を切り取った線が下記の図13に図示された、図1Cおよび図1DのIPVDアセンブリの冷却マニホルド装着アセンブリの軸方向断面図である。
【図10】 断面を切り取った線が下記の図13に図示された、図9のアセンブリの流体結合アセンブリ部分の1つの実施形態の拡大断面図である。
【図11】 断面を切り取った線が下記の図13に図示された、図6の窓および遮蔽アセンブリのための図9のアセンブリの装着コネクタ・アセンブリの拡大断面図である。
【図12】 断面を切り取った線が下記の図13に図示された、図1Cおよび図1DのIPVDソースの図9のアセンブリの直流接点スタブ部分の拡大断面図である。
【図13】 図1Cおよび図1DのIPVDアセンブリの磁石および冷却マニホルド装着アセンブリ部分の上面図である。
【図13A】 図13の図13Aとマークした円で囲んだ部分の拡大図である。
【図14】 図1Cおよび図1DのIPVDソース・アセンブリのIPVDソース・フランジおよび暗空間遮蔽の分解斜視図である。
【図15】 図1の装置の静電チャック・ウェーハ支持体アセンブリの下斜視図である。
【図16】 図15のウェーハ支持体のための図1の装置のウェーハ支持体および持ち上げアセンブリ部分の側分解斜視図である。
【図17】 図16のウェーハ支持体アセンブリ部分の軸方向断面図である。
【図18】 図1の装置のウェーハ支持体垂直位置調節アセンブリの斜視図である。
【図19】 図1の装置の真空室壁アセンブリの上斜視図である。
【図20】 特に図19の真空室壁アセンブリおよび装置のガス真空システム部分を示す、図1の装置の下部分の側斜視図である。
【図21】 図20のガス真空システムの線図である。
【図22】 図1の装置の室壁アセンブリのスパッタリング遮蔽部分の分解斜視図である。
【図23】 図1の装置のIPVDソース・ホイスト機構の分解斜視図である。

Claims (17)

  1. 円錐台形のスパッタリング・ターゲットを有するリング形コーティング材料ソースにして、
    円錐台形のスパッタリング前面と、
    中心開口と、
    中心開口に隣接し、背面真空継手を画定するリング・シール溝を有する、概ね円筒形で後方に延在する内縁であり、内縁は、その外側で周方向に隔置された複数の胸壁のようなぎざぎざがある機構を有し、これによってターゲットを冷却流体カバーに取り付けることができ、開口の周囲の内側に段を有して、自身上に真空密封表面を有する、内縁と、
    前部真空継手を画定する前向きで仕上げの良好な表面を有する、概ね環状の円盤形で外側に延在する外縁と、
    内縁の近傍の内部環状冷却流体密封面、外縁の近傍の外部環状冷却流体密封面、および内部と外部の環状冷却流体密封面の間の滑らかな環状冷却面を有する背面と、を備えるリング形コーティング材料ソース。
  2. さらに、
    ターゲットの背面に取り付けるよう構成された円錐台形の冷却流体カバーを備え、カバーは、
    中心開口と、
    カバーの中心開口に隣接し、ターゲットをカバーに対して何分の1回転かすると、ターゲットの内縁にある胸壁のようなぎざぎざがある機構と係合して、カバーをターゲットに接続するよう構成され、内側で周方向に隔置された差し込みアセンブリ構造を有する内縁と、
    外縁と、
    カバーの内縁の近傍にあって、ターゲットをカバーに対して締め付け位置まで回転すると、ターゲットの内部環状冷却流体密封面に対して冷却流体シールを形成するよう構成された内部環状シールと、カバーの外縁の近傍にあって、ターゲットをカバーに対して締め付け位置まで回転すると、ターゲットの外部環状冷却流体密封面に対して冷却流体シールを形成するよう構成された外部環状流体シールと、内部環状シールと外部環状シールとの間の環状冷却流体流路とを有する前面とを備える、請求項1に記載のリング形コーティング材料ソースを備えるスパッタリング・ターゲット・アセンブリ。
  3. カバーがさらに、
    流路と連絡する1対の冷却流体口と、
    流路内に着脱式に装着され、複数の流分割用切欠きを有する、一つ以上の櫛状の流制限部と、
    後方に延在するターゲット電力コネクタと、を有する、請求項2に記載のスパッタリング・ターゲット・アセンブリ。
  4. 請求項1に記載のリング形コーティング材料ソースを備える、イオン化物理蒸着装置にして、
    真空室であり、真空室内の真空処理空間を囲む室壁を有し、室壁は、真空室の一方端に開口を有する、真空室と、
    室壁の開口に配置され、室壁の開口の真空密封を形成するIPVCソース・アセンブリと、
    処理空間にガスを供給するよう真空室に接続されるガス供給システムと、
    真空室に接続され、処理空間のガスを真空圧力レベルに維持するよう動作する真空システムと、
    真空室の外側の高周波エネルギー源とを備え、
    IPVDソース・アセンブリが、
    コーティング材料を真空処理空間に供給するリング形コーティング材料ソースであり、開放中心、および真空処理空間と連絡する少なくとも1つの表面を有する、リング形コーティング材料ソースと、
    リング形ソースの開放中心に配置された誘電窓を含み、室壁と共に真空密エンクロージャの一部を形成して、室の側部および外側を有する窓アセンブリと、
    誘電窓に隣接してその外側にある室の前記一方端で、室の外側にあり、高周波エネルギー源によって励起されると、そこから窓を通して処理空間に入るエネルギーを電磁結合するよう高周波エネルギー源と接続され、処理空間のリング形ソースからのコーティング材料をイオン化するのに十分な密度の電磁結合プラズマを処理空間内に維持するコイルとを含み、
    さらに、イオン化物理蒸着装置が、
    室の内側で、処理空間のリング形コーティング材料ソースの反対にあり、自身上に処理空間に面するウェーハ支持表面を有する基板支持体を備える、イオン化物理蒸着装置。
  5. スパッタリング・ターゲットが、基板支持体のウェーハ支持表面より大きい外径を有する、請求項4に記載のイオン化物理蒸着装置。
  6. IPDVソース・アセンブリが、さらに、
    ターゲットの背面に隣接して、ターゲットの前面付近にスパッタリング・プラズマを限定する磁界を生成する円錐台形の永久磁石アセンブリを含む、請求項4に記載のイオン化物理蒸着装置。
  7. スパッタリング・ターゲットの前方と後方の真空接続が、室並びに窓アセンブリと共にそれぞれ真空密エンクロージャの一部を形成して、ターゲットの背面は処理空間と接触しない、請求項4に記載のイオン化物理蒸着装置。
  8. IPVDソース・アセンブリが、更に、スパッタリング・ターゲットと室壁との間と、スパッタリング・ターゲットと誘電窓との間とに、真空密封手段を備える、請求項1に記載の装置。
  9. 請求項1に記載のリング形コーティング材料ソースを備える、イオン化物理蒸着装置にして、
    真空室であり、真空室内の真空処理空間を囲む室壁を有し、室壁は、真空室の一方端に開口を有する、真空室と、
    室壁の開口に配置され、室壁の開口の真空密封を形成するIPVCソース・アセンブリと、
    処理空間にガスを供給するよう真空室に接続されるガス供給システムと、
    真空室に接続され、処理空間のガスを真空圧力レベルに維持するよう動作する真空システムと、
    室の内側で、処理空間のリング形コーティング材料ソースの反対にあり、自身上に処理空間に面するウェーハ支持表面を有し、ターゲットの外縁は、内縁よりもウェーハ支持表面の平面に近い、基板支持体と、を備え、
    IPVDソース・アセンブリが、
    室の外側の高周波エネルギー源と、
    リング形コーティング材料ソースと、
    ターゲットに対して何分の1回転かすることによりターゲットの内縁と外縁の少なくとも一方にねじり止めされ、ターゲットの背面により部分的に形成される冷却流体路を形成するターゲットカバーと、
    ターゲットの背面に隣接して、ターゲットの前面付近にスパッタリング・プラズマを限定する磁界を生成する円錐台形の永久磁石アセンブリと、
    リング形ソースの開放中心に配置された誘電窓を含み、室壁と共に真空密エンクロージャの一部を形成して、室の側部および外側を有する窓アセンブリと、
    誘電窓に隣接してその外側にある室の前記一方端で、室の外側にあり、高周波エネルギー源によって励起されると、そこから窓を通して処理空間に入るエネルギーを電磁結合するよう高周波エネルギー源と接続され、処理空間のリング形ソースからのコーティング材料をイオン化するのに十分な密度の電磁結合プラズマを処理空間内に維持するコイルとを含む、
    イオン化物理蒸着装置。
  10. スパッタリング・ターゲットが、基板支持体のウェーハ支持表面より大きい外径を有する、請求項9に記載のイオン化物理蒸着装置。
  11. 約110°の円錐形の発散を有する、請求項9に記載のイオン化物理蒸着装置。
  12. IPVDソース・アセンブリが、室の内側の窓の付近に、コイルから室内への高周波エネルギーの有意の容量結合を防止するよう配置される導電性ファラデー遮蔽を備える、請求項9に記載のイオン化物理蒸着装置。
  13. 半導体ウェファーを被覆するための材料を供給しイオン化するための、請求項1に記載のリング形コーティング材料ソースを備えるIPVDソース・アセンブリであり、更に、ハウジング・アセンブリを備え、
    ハウジング・アセンブリが、外部接地コネクタと、外部ターゲット電力コネクタと、高周波電源コネクタと、外部冷却流体再循環口と、内部ターゲット電力端子と、少なくとも2つの内部高周波コネクタと、複数の内部冷却流体口と、非導電性支持構造とを有し、
    さらに、ハウジングに着脱式に固定される高周波コイル・アセンブリを備え、高周波コイル・アセンブリが、内部高周波コネクタを介して着脱式に接続される3次元コイルを含み、3次元コイルは、内部冷却流体口のうち少なくとも2つを介して着脱式に接続される冷却通路を有し、
    さらに、ハウジングに着脱式に固定されて、高周波コイル・アセンブリを囲む環状永久磁石アセンブリと、ハウジングまたはコイル・アセンブリに着脱式に固定された窓アセンブリとを備え、窓アセンブリがほぼ平坦な誘電窓を含み、
    ターゲットは、ハウジングに着脱式に固定され、このように接続されると、窓とともに真空密シールを形成する手段を有する環状ターゲット・アセンブリであり、ターゲット・アセンブリが、内部冷却口のうち少なくとも2つを介して着脱式に接続されるターゲット用の液密冷却通路を形成する環状消耗スパッタリング・ターゲットを含み、ターゲット・アセンブリが、ハウジングの内部ターゲット電力端子に着脱式に接続された電気コネクタを有し、
    さらに、IPVDソース・アセンブリが接続されると、ターゲット・アセンブリと真空処理室の壁との間に空密シールを形成する手段を備える、IPVDソース・アセンブリ。
  14. 請求項1に記載のリング形コーティング材料ソースを備える、イオン化物理蒸着装置にして、
    真空室であり、真空室内の真空処理空間を囲む室壁を有し、室壁は、真空室の一方端に開口を有する、真空室と、
    室壁の開口に配置され、室壁の開口の真空密封を形成するIPVCソース・アセンブリと、
    処理空間にガスを供給するよう真空室に接続されるガス供給システムと、
    真空室に接続され、処理空間のガスを真空圧力レベルに維持するよう動作する真空システムと、
    真空室の外側の高周波エネルギー源とを備え、
    IPVDソース・アセンブリが、
    コーティング材料を真空処理空間に供給するリング形コーティング材料ソースであり、開放中心、および真空処理空間と連絡する少なくとも1つの表面を有する、リング形コーティング材料ソースと、
    リング形ソースの開放中心に配置された誘電窓を含み、室壁と共に真空密エンクロージャの一部を形成して、室の側部および外側を有する窓アセンブリと、
    誘電窓に隣接してその外側にある室の前記一方端で、室の外側にあり、高周波エネルギー源によって励起されると、そこから窓を通して処理空間に入るエネルギーを電磁結合するよう高周波エネルギー源と接続され、処理空間のリング形ソースからのコーティング材料をイオン化するのに十分な密度の電磁結合プラズマを処理空間内に維持するコイルとを含み、
    さらに、イオン化物理蒸着装置が、
    室の内側で、処理空間のリング形コーティング材料ソースの反対にあり、自身上に処理空間に面するウェーハ支持表面を有する基板支持体を備え、
    更に、ガス供給システムと真空システムの少なくとも一方が、プラズマ内のイオンが処理空間内で熱中性子化するよう十分に高く室内の真空圧を維持する圧力コントローラーを備えて、ウェーハ支持表面上のウェーハ上へのエネルギー分散と方向付けとが高密度プラズマとウェーハとの間のプラズマ遮蔽を貫通する電界に支配される、イオン化物理蒸着装置。
  15. 請求項1に記載のリング形コーティング材料ソースを備える、イオン化物理蒸着装置にして、
    真空室であり、真空室内の真空処理空間を囲む室壁を有し、室壁は、真空室の一方端に開口を有する、真空室と、
    室壁の開口に配置され、室壁の開口の真空密封を形成するIPVCソース・アセンブリと、
    処理空間にガスを供給するよう真空室に接続されるガス供給システムと、
    真空室に接続され、処理空間のガスを真空圧力レベルに維持するよう動作する真空システムと、
    真空室の外側の高周波エネルギー源とを備え、
    IPVDソース・アセンブリが、
    コーティング材料を真空処理空間に供給するリング形コーティング材料ソースであり、開放中心、および真空処理空間と連絡する少なくとも1つの表面を有する、リング形コーティング材料ソースと、
    リング形ソースの開放中心に配置された誘電窓を含み、室壁と共に真空密エンクロージャの一部を形成して、室の側部および外側を有する窓アセンブリと、
    誘電窓に隣接してその外側にある室の前記一方端で、室の外側にあり、高周波エネルギー源によって励起されると、そこから窓を通して処理空間に入るエネルギーを電磁結合するよう高周波エネルギー源と接続され、処理空間のリング形ソースからのコーティング材料をイオン化するのに十分な密度の電磁結合プラズマを処理空間内に維持するコイルと、
    コイルと窓との間のカップ状高誘電率材料を含み、コイルはカップ状高誘電率材料内に配置され、電導表面に隣接する領域のカップ状高誘電率材料の厚さは薄いがコイルから電導表面への影響を防ぐには十分であり、
    さらに、イオン化物理蒸着装置が、
    室の内側で、処理空間のリング形コーティング材料ソースの反対にあり、自身上に処理空間に面するウェーハ支持表面を有する基板支持体と、窓と処理区間との間の遮蔽体とを備え、遮蔽体は、導電性コーティング材料の窓内側への付着を防止し、コイルからの高周波エネルギーの処理空間内への効果的伝達を維持する、イオン化物理蒸着装置。
  16. 請求項1に記載のリング形コーティング材料ソースを備える、イオン化物理蒸着装置にして、
    真空室であり、真空室内の真空処理空間を囲む室壁を有し、室壁は、真空室の一方端に開口を有する、真空室と、
    室壁の開口に配置され、室壁の開口の真空密封を形成するIPVCソース・アセンブリと、
    処理空間にガスを供給するよう真空室に接続されるガス供給システムと、
    真空室に接続され、処理空間のガスを真空圧力レベルに維持するよう動作する真空システムと、
    真空室の外側の高周波エネルギー源とを備え、
    IPVDソース・アセンブリが、
    コーティング材料を真空処理空間に供給するリング形コーティング材料ソースであり、開放中心、および真空処理空間と連絡する少なくとも1つの表面を有する、リング形コーティング材料ソースと、
    リング形ソースの開放中心に配置された誘電窓を含み、室壁と共に真空密エンクロージャの一部を形成して、室の側部および外側を有する窓アセンブリと、
    誘電窓に近接し且つ並列して室内に配置される窓遮蔽であり、コーティング材料の付着から窓を遮蔽し且つ処理空間内への窓や遮蔽を通じてのコイルからの高周波エネルギーの誘導を可能にし、金属で形成され、窓とコーティング材料ソースの開放中心を通じて冷却流体ソースに接続される冷却流体通路を備える、窓遮蔽と、
    誘電窓に隣接してその外側にある室の前記一方端で、室の外側にあり、高周波エネルギー源によって励起されると、そこから窓を通して処理空間に入るエネルギーを電磁結合するよう高周波エネルギー源と接続され、処理空間のリング形ソースからのコーティング材料をイオン化するのに十分な密度の電磁結合プラズマを処理空間内に維持するコイルと、
    室の内側で、処理空間のリング形コーティング材料ソースの反対にあり、自身上に処理空間に面するウェーハ支持表面を有する基板支持体と、を有する、イオン化物理蒸着装置。
  17. 請求項1に記載のリング形コーティング材料ソースを備える、イオン化物理蒸着装置にして、
    真空室であり、真空室内の真空処理空間を囲む室壁を有し、室壁は、真空室の一方端に開口を有する、真空室と、
    室壁の開口に配置され、室壁の開口の真空密封を形成するIPVCソース・アセンブリと、
    処理空間にガスを供給するよう真空室に接続されるガス供給システムと、
    真空室に接続され、処理空間のガスを真空圧力レベルに維持するよう動作する真空システムと、
    真空室の外側の高周波エネルギー源とを備え、
    IPVDソース・アセンブリが、
    コーティング材料を真空処理空間に供給するリング形コーティング材料ソースであり、開放中心、および真空処理空間と連絡する少なくとも1つの表面を有する、リング形コーティング材料ソースと、
    リング形ソースの開放中心に配置された誘電窓を含み、室壁と共に真空密エンクロージャの一部を形成して、室の側部および外側を有する窓アセンブリと、
    誘電窓に隣接してその外側にある室の前記一方端で、室の外側にあり、高周波エネルギー源によって励起されると、そこから窓を通して処理空間に入るエネルギーを電磁結合するよう高周波エネルギー源と接続され、処理空間のリング形ソースからのコーティング材料をイオン化するのに十分な密度の電磁結合プラズマを処理空間内に維持するコイルと、
    室の内側で、処理空間のリング形コーティング材料ソースの反対にあり、自身上に処理空間に面するウェーハ支持表面を有する基板支持体と、を備え、基板支持体は、処理のためのウェーハ支持平面内にウェーハを保持する静電チャックを備え、静電チャックは、2極グリッド、および2極グリッドに接続された複数ゾーン・システムを含む、イオン化物理蒸着装置。
JP2001537766A 1999-11-18 2000-11-17 イオン化物理蒸着法の方法および装置 Expired - Fee Related JP3959273B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/442,600 US6287435B1 (en) 1998-05-06 1999-11-18 Method and apparatus for ionized physical vapor deposition
US09/442,600 1999-11-18
PCT/US2000/031756 WO2001037310A2 (en) 1999-11-18 2000-11-17 Method and apparatus for ionized physical vapor deposition

Publications (2)

Publication Number Publication Date
JP2003514126A JP2003514126A (ja) 2003-04-15
JP3959273B2 true JP3959273B2 (ja) 2007-08-15

Family

ID=23757416

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001537766A Expired - Fee Related JP3959273B2 (ja) 1999-11-18 2000-11-17 イオン化物理蒸着法の方法および装置

Country Status (8)

Country Link
US (3) US6287435B1 (ja)
EP (1) EP1243016B1 (ja)
JP (1) JP3959273B2 (ja)
KR (1) KR100396456B1 (ja)
CN (1) CN1425187B (ja)
AU (1) AU1660601A (ja)
TW (1) TW480529B (ja)
WO (1) WO2001037310A2 (ja)

Families Citing this family (418)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010032498A (ko) * 1997-11-26 2001-04-25 조셉 제이. 스위니 손상없는 스컵쳐 코팅 증착
US20060137821A1 (en) * 2004-12-28 2006-06-29 Lam Research Coporation Window protector for sputter etching of metal layers
KR100598631B1 (ko) * 1998-04-13 2006-07-07 도쿄 일렉트론 가부시키가이샤 임피던스가 감소된 챔버
US6287435B1 (en) * 1998-05-06 2001-09-11 Tokyo Electron Limited Method and apparatus for ionized physical vapor deposition
US6720261B1 (en) * 1999-06-02 2004-04-13 Agere Systems Inc. Method and system for eliminating extrusions in semiconductor vias
US6733513B2 (en) 1999-11-04 2004-05-11 Advanced Bioprosthetic Surfaces, Ltd. Balloon catheter having metal balloon and method of making same
US6936066B2 (en) * 1999-11-19 2005-08-30 Advanced Bio Prosthetic Surfaces, Ltd. Complaint implantable medical devices and methods of making same
US8458879B2 (en) 2001-07-03 2013-06-11 Advanced Bio Prosthetic Surfaces, Ltd., A Wholly Owned Subsidiary Of Palmaz Scientific, Inc. Method of fabricating an implantable medical device
US6531030B1 (en) * 2000-03-31 2003-03-11 Lam Research Corp. Inductively coupled plasma etching apparatus
US6417626B1 (en) * 2001-03-01 2002-07-09 Tokyo Electron Limited Immersed inductively—coupled plasma source
US7744735B2 (en) * 2001-05-04 2010-06-29 Tokyo Electron Limited Ionized PVD with sequential deposition and etching
DE10134513A1 (de) * 2001-07-16 2003-01-30 Unaxis Balzers Ag Hebe-und Stützvorichtung
US6620736B2 (en) * 2001-07-24 2003-09-16 Tokyo Electron Limited Electrostatic control of deposition of, and etching by, ionized materials in semiconductor processing
US6666982B2 (en) 2001-10-22 2003-12-23 Tokyo Electron Limited Protection of dielectric window in inductively coupled plasma generation
CH695807A5 (de) * 2001-11-20 2006-08-31 Unaxis Balzers Ag Quelle für Vakuumbehandlungsprozess.
US6984574B2 (en) * 2002-01-23 2006-01-10 Mosel Vitelic, Inc. Cobalt silicide fabrication using protective titanium
US6946054B2 (en) * 2002-02-22 2005-09-20 Tokyo Electron Limited Modified transfer function deposition baffles and high density plasma ignition therewith in semiconductor processing
US6730174B2 (en) 2002-03-06 2004-05-04 Applied Materials, Inc. Unitary removable shield assembly
US7901545B2 (en) * 2004-03-26 2011-03-08 Tokyo Electron Limited Ionized physical vapor deposition (iPVD) process
US6998033B2 (en) * 2002-05-14 2006-02-14 Tokyo Electron Limited Sputtering cathode adapter assembly and method
KR100480357B1 (ko) * 2002-07-10 2005-03-30 아이티엠 주식회사 동기화된 이온 빔 소스와 듀얼 마그네트론 스퍼터를가지는 박막 형성 장치
US20040060582A1 (en) * 2002-09-18 2004-04-01 Dainippon Screen Mfg.Co., Ltd. Substrate processing apparatus
CA2499961C (en) 2002-09-26 2014-12-30 Advanced Bio Prosthetic Surfaces, Ltd. High strength vacuum deposited nitinol alloy films, medical thin film graft materials and method of making same
JP4443819B2 (ja) * 2002-10-02 2010-03-31 パナソニック株式会社 プラズマドーピング方法
US20040129221A1 (en) * 2003-01-08 2004-07-08 Jozef Brcka Cooled deposition baffle in high density plasma semiconductor processing
AU2004238270B2 (en) 2003-05-07 2011-02-03 Advanced Bio Prosthetic Surfaces, Ltd. Metallic implantable grafts and method of making same
US6929720B2 (en) * 2003-06-09 2005-08-16 Tokyo Electron Limited Sputtering source for ionized physical vapor deposition of metals
US7001491B2 (en) * 2003-06-26 2006-02-21 Tokyo Electron Limited Vacuum-processing chamber-shield and multi-chamber pumping method
US7182816B2 (en) * 2003-08-18 2007-02-27 Tokyo Electron Limited Particulate reduction using temperature-controlled chamber shield
KR101001743B1 (ko) * 2003-11-17 2010-12-15 삼성전자주식회사 헬리컬 자기-공진 코일을 이용한 이온화 물리적 기상 증착장치
US7273533B2 (en) * 2003-11-19 2007-09-25 Tokyo Electron Limited Plasma processing system with locally-efficient inductive plasma coupling
JP4541014B2 (ja) * 2004-01-13 2010-09-08 キヤノンアネルバ株式会社 プラズマ支援スパッタ成膜装置
US7240981B2 (en) 2004-02-27 2007-07-10 Hewlett-Packard Development Company, L.P. Wide array fluid ejection device
US7892406B2 (en) * 2005-03-28 2011-02-22 Tokyo Electron Limited Ionized physical vapor deposition (iPVD) process
US7084573B2 (en) * 2004-03-05 2006-08-01 Tokyo Electron Limited Magnetically enhanced capacitive plasma source for ionized physical vapor deposition
US20090321247A1 (en) * 2004-03-05 2009-12-31 Tokyo Electron Limited IONIZED PHYSICAL VAPOR DEPOSITION (iPVD) PROCESS
US7700474B2 (en) * 2006-04-07 2010-04-20 Tokyo Electron Limited Barrier deposition using ionized physical vapor deposition (iPVD)
US7628864B2 (en) * 2004-04-28 2009-12-08 Tokyo Electron Limited Substrate cleaning apparatus and method
US7556718B2 (en) * 2004-06-22 2009-07-07 Tokyo Electron Limited Highly ionized PVD with moving magnetic field envelope for uniform coverage of feature structure and wafer
US7691243B2 (en) * 2004-06-22 2010-04-06 Tokyo Electron Limited Internal antennae for plasma processing with metal plasma
US20060054494A1 (en) * 2004-09-16 2006-03-16 Veeco Instruments Inc. Physical vapor deposition apparatus for depositing thin multilayer films and methods of depositing such films
US7534301B2 (en) * 2004-09-21 2009-05-19 Applied Materials, Inc. RF grounding of cathode in process chamber
US7214619B2 (en) * 2004-10-05 2007-05-08 Applied Materials, Inc. Method for forming a barrier layer in an integrated circuit in a plasma with source and bias power frequencies applied through the workpiece
US7399943B2 (en) * 2004-10-05 2008-07-15 Applied Materials, Inc. Apparatus for metal plasma vapor deposition and re-sputter with source and bias power frequencies applied through the workpiece
US7268076B2 (en) * 2004-10-05 2007-09-11 Applied Materials, Inc. Apparatus and method for metal plasma vapor deposition and re-sputter with source and bias power frequencies applied through the workpiece
US7279421B2 (en) * 2004-11-23 2007-10-09 Tokyo Electron Limited Method and deposition system for increasing deposition rates of metal layers from metal-carbonyl precursors
US7959984B2 (en) 2004-12-22 2011-06-14 Lam Research Corporation Methods and arrangement for the reduction of byproduct deposition in a plasma processing system
FR2880633B1 (fr) * 2005-01-13 2007-04-13 Anelva Corp Systeme de depot par pulverisation cathodique aide par plasma
KR101239776B1 (ko) * 2005-02-03 2013-03-06 어플라이드 머티어리얼스, 인코포레이티드 타깃에 인가되는 rf 소스 파워에 의한 물리 기상 증착플라즈마 반응기
US20060172536A1 (en) * 2005-02-03 2006-08-03 Brown Karl M Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece
US20080314320A1 (en) * 2005-02-04 2008-12-25 Component Re-Engineering Company, Inc. Chamber Mount for High Temperature Application of AIN Heaters
US7922881B2 (en) * 2005-02-28 2011-04-12 Tosoh Smd, Inc. Sputtering target with an insulating ring and a gap between the ring and the target
US8974868B2 (en) * 2005-03-21 2015-03-10 Tokyo Electron Limited Post deposition plasma cleaning system and method
US20060218680A1 (en) * 2005-03-28 2006-09-28 Bailey Andrew D Iii Apparatus for servicing a plasma processing system with a robot
US7319316B2 (en) 2005-06-29 2008-01-15 Lam Research Corporation Apparatus for measuring a set of electrical characteristics in a plasma
US20070029193A1 (en) * 2005-08-03 2007-02-08 Tokyo Electron Limited Segmented biased peripheral electrode in plasma processing method and apparatus
US20070042628A1 (en) * 2005-08-17 2007-02-22 Daniel Lyon Sanitary, live loaded, pass through fitting apparatus
US20070068795A1 (en) * 2005-09-26 2007-03-29 Jozef Brcka Hollow body plasma uniformity adjustment device and method
US7713876B2 (en) * 2005-09-28 2010-05-11 Tokyo Electron Limited Method for integrating a ruthenium layer with bulk copper in copper metallization
US20070069383A1 (en) * 2005-09-28 2007-03-29 Tokyo Electron Limited Semiconductor device containing a ruthenium diffusion barrier and method of forming
US7700484B2 (en) * 2005-09-30 2010-04-20 Tokyo Electron Limited Method and apparatus for a metallic dry-filling process
US7348266B2 (en) * 2005-09-30 2008-03-25 Tokyo Electron Limited Method and apparatus for a metallic dry-filling process
US20070074968A1 (en) * 2005-09-30 2007-04-05 Mirko Vukovic ICP source for iPVD for uniform plasma in combination high pressure deposition and low pressure etch process
JPWO2007066511A1 (ja) * 2005-12-07 2009-05-14 株式会社アルバック 成膜装置及び成膜方法
US7591935B2 (en) * 2005-12-14 2009-09-22 Tokyo Electron Limited Enhanced reliability deposition baffle for iPVD
US8454749B2 (en) * 2005-12-19 2013-06-04 Tokyo Electron Limited Method and system for sealing a first assembly to a second assembly of a processing system
KR100753493B1 (ko) * 2006-01-21 2007-08-31 서강대학교산학협력단 세정장치
US20070169703A1 (en) * 2006-01-23 2007-07-26 Brent Elliot Advanced ceramic heater for substrate processing
US7618888B2 (en) * 2006-03-24 2009-11-17 Tokyo Electron Limited Temperature-controlled metallic dry-fill process
US20070231489A1 (en) * 2006-03-29 2007-10-04 Tokyo Electron Limited Method for introducing a precursor gas to a vapor deposition system
US7432195B2 (en) * 2006-03-29 2008-10-07 Tokyo Electron Limited Method for integrating a conformal ruthenium layer into copper metallization of high aspect ratio features
US7892358B2 (en) * 2006-03-29 2011-02-22 Tokyo Electron Limited System for introducing a precursor gas to a vapor deposition system
US20070235319A1 (en) * 2006-04-07 2007-10-11 Tokyo Electron Limited Multi-processing using an ionized physical vapor deposition (ipvd) system
US7588667B2 (en) * 2006-04-07 2009-09-15 Tokyo Electron Limited Depositing rhuthenium films using ionized physical vapor deposition (IPVD)
US7771790B2 (en) * 2006-08-30 2010-08-10 Tokyo Electron Limited Method and system for fabricating a nano-structure
US7569491B2 (en) * 2006-08-30 2009-08-04 Tokyo Electron Limited Method for enlarging a nano-structure
US20080067058A1 (en) * 2006-09-15 2008-03-20 Stimson Bradley O Monolithic target for flat panel application
US7473634B2 (en) * 2006-09-28 2009-01-06 Tokyo Electron Limited Method for integrated substrate processing in copper metallization
US7776748B2 (en) * 2006-09-29 2010-08-17 Tokyo Electron Limited Selective-redeposition structures for calibrating a plasma process
US7749398B2 (en) * 2006-09-29 2010-07-06 Tokyo Electron Limited Selective-redeposition sources for calibrating a plasma process
US7959775B2 (en) * 2006-09-29 2011-06-14 Tokyo Electron Limited Thermal stress-failure-resistant dielectric windows in vacuum processing systems
JP5013400B2 (ja) * 2006-09-29 2012-08-29 国立大学法人東北大学 塗布膜コーティング装置
US7942112B2 (en) * 2006-12-04 2011-05-17 Advanced Energy Industries, Inc. Method and apparatus for preventing the formation of a plasma-inhibiting substance
US20080197015A1 (en) * 2007-02-16 2008-08-21 Terry Bluck Multiple-magnetron sputtering source with plasma confinement
US7767023B2 (en) * 2007-03-26 2010-08-03 Tokyo Electron Limited Device for containing catastrophic failure of a turbomolecular pump
US20080237860A1 (en) * 2007-03-27 2008-10-02 Tokyo Electron Limited Interconnect structures containing a ruthenium barrier film and method of forming
US20080242088A1 (en) * 2007-03-29 2008-10-02 Tokyo Electron Limited Method of forming low resistivity copper film structures
DE102007016222B3 (de) * 2007-04-04 2008-11-06 Semikron Elektronik Gmbh & Co. Kg Leistungshalbleitermodul in Druckkontaktausführung sowie Verfahren zur Herstellung desselben
US7704879B2 (en) * 2007-09-27 2010-04-27 Tokyo Electron Limited Method of forming low-resistivity recessed features in copper metallization
US7884012B2 (en) * 2007-09-28 2011-02-08 Tokyo Electron Limited Void-free copper filling of recessed features for semiconductor devices
WO2009084160A1 (ja) * 2007-12-28 2009-07-09 Panasonic Corporation プラズマドーピング装置及び方法並びに半導体装置の製造方法
JP2009173975A (ja) * 2008-01-22 2009-08-06 Canon Anelva Corp 金属微粒子の生成方法、金属含有ペーストの製造方法及び金属薄膜配線の形成方法
US7776740B2 (en) 2008-01-22 2010-08-17 Tokyo Electron Limited Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device
WO2009099660A2 (en) 2008-02-08 2009-08-13 Lam Research Corporation Adjustable gap capacitively coupled rf plasma reactor including lateral bellows and non-contact particle seal
US20090218214A1 (en) * 2008-02-28 2009-09-03 Applied Materials, Inc. Backside coating prevention device, coating chamber comprising a backside coating prevention device, and method of coating
US20090217872A1 (en) * 2008-02-28 2009-09-03 Applied Materials, Inc. Backside coating prevention device, coating chamber device for coating plate-shaped substrates, and method of coating
US8247030B2 (en) * 2008-03-07 2012-08-21 Tokyo Electron Limited Void-free copper filling of recessed features using a smooth non-agglomerated copper seed layer
US20090242385A1 (en) * 2008-03-28 2009-10-01 Tokyo Electron Limited Method of depositing metal-containing films by inductively coupled physical vapor deposition
US20090242396A1 (en) * 2008-03-31 2009-10-01 Tokyo Electron Limited Adjustable magnet pack for semiconductor wafer processing
US20090242383A1 (en) * 2008-03-31 2009-10-01 Tokyo Electron Limited Apparatus and method for rf grounding of ipvd table
KR101007711B1 (ko) * 2008-05-19 2011-01-13 주식회사 에스에프에이 플라즈마 처리장치
TWI398196B (zh) * 2008-07-04 2013-06-01 Au Optronics Corp 防電弧保護裝置以及其組裝方法
US8194384B2 (en) * 2008-07-23 2012-06-05 Tokyo Electron Limited High temperature electrostatic chuck and method of using
US7871929B2 (en) * 2008-07-30 2011-01-18 Tel Epion Inc. Method of forming semiconductor devices containing metal cap layers
US8299391B2 (en) * 2008-07-30 2012-10-30 Applied Materials, Inc. Field enhanced inductively coupled plasma (Fe-ICP) reactor
US7776743B2 (en) * 2008-07-30 2010-08-17 Tel Epion Inc. Method of forming semiconductor devices containing metal cap layers
US20100078312A1 (en) * 2008-09-26 2010-04-01 Tango Systems, Inc. Sputtering Chamber Having ICP Coil and Targets on Top Wall
JP2010148632A (ja) * 2008-12-25 2010-07-08 Sharp Corp 洗浄装置
JP5014324B2 (ja) * 2008-12-26 2012-08-29 信越化学工業株式会社 固体合成用高周波熱プラズマトーチ
US20100177454A1 (en) * 2009-01-09 2010-07-15 Component Re-Engineering Company, Inc. Electrostatic chuck with dielectric inserts
US8361334B2 (en) * 2009-03-18 2013-01-29 Medtronic, Inc. Plasma deposition to increase adhesion
US8524097B2 (en) * 2009-03-18 2013-09-03 Medtronic, Inc. Plasma deposition to increase adhesion
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5398358B2 (ja) * 2009-05-29 2014-01-29 三菱重工業株式会社 基板支持台の構造及びプラズマ処理装置
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
SG10201405757RA (en) * 2009-10-08 2014-11-27 Perkinelmer Health Sci Inc Coupling devices and methods of using them
JP5619666B2 (ja) 2010-04-16 2014-11-05 ジェイディーエス ユニフェイズ コーポレーションJDS Uniphase Corporation マグネトロン・スパッタリング・デバイスで使用するためのリング・カソード
US9502222B2 (en) 2010-04-16 2016-11-22 Viavi Solutions Inc. Integrated anode and activated reactive gas source for use in magnetron sputtering device
US20110278260A1 (en) 2010-05-14 2011-11-17 Applied Materials, Inc. Inductive plasma source with metallic shower head using b-field concentrator
CN101881636B (zh) * 2010-06-03 2012-12-05 北京中电科电子装备有限公司 一种半导体专用设备的弹性传感装置
CN103140913B (zh) * 2010-10-29 2016-09-28 应用材料公司 用于物理气相沉积腔室的沉积环及静电夹盘
US8859422B2 (en) 2011-01-27 2014-10-14 Tokyo Electron Limited Method of forming copper wiring and method and system for forming copper film
US8399353B2 (en) 2011-01-27 2013-03-19 Tokyo Electron Limited Methods of forming copper wiring and copper film, and film forming system
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
JP6054314B2 (ja) 2011-03-01 2016-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板搬送及びラジカル閉じ込めのための方法及び装置
WO2012118897A2 (en) 2011-03-01 2012-09-07 Applied Materials, Inc. Abatement and strip process chamber in a dual loadlock configuration
KR20140021628A (ko) * 2011-03-30 2014-02-20 도쿄엘렉트론가부시키가이샤 Cu 배선의 형성 방법
JP5647336B2 (ja) * 2011-04-04 2014-12-24 キヤノンアネルバ株式会社 プラズマ処理装置
JP5870568B2 (ja) 2011-05-12 2016-03-01 東京エレクトロン株式会社 成膜装置、プラズマ処理装置、成膜方法及び記憶媒体
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9966236B2 (en) 2011-06-15 2018-05-08 Lam Research Corporation Powered grid for plasma chamber
KR101988391B1 (ko) 2011-06-27 2019-06-12 솔레라스 리미티드 스퍼터링 타겟
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5644719B2 (ja) * 2011-08-24 2014-12-24 東京エレクトロン株式会社 成膜装置、基板処理装置及びプラズマ発生装置
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9347129B2 (en) 2011-12-09 2016-05-24 Seagate Technology Llc Interchangeable magnet pack
US10573500B2 (en) 2011-12-09 2020-02-25 Seagate Technology Llc Interchangeable magnet pack
CN103184421A (zh) * 2011-12-30 2013-07-03 鸿富锦精密工业(深圳)有限公司 真空溅射靶磁芯
USD665491S1 (en) * 2012-01-25 2012-08-14 Applied Materials, Inc. Deposition chamber cover ring
CN104137248B (zh) 2012-02-29 2017-03-22 应用材料公司 配置中的除污及剥除处理腔室
US8647485B2 (en) * 2012-03-30 2014-02-11 Applied Materials, Inc. Process kit shield for plasma enhanced processing chamber
JP2014017345A (ja) * 2012-07-09 2014-01-30 Tokyo Electron Ltd Cu配線の形成方法
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US20140248718A1 (en) * 2013-03-04 2014-09-04 Jisoo Kim Patterning of magnetic tunnel junction (mtj) film stacks
CN104051210B (zh) * 2013-03-12 2016-05-11 中微半导体设备(上海)有限公司 一种减少门效应的等离子体处理装置
KR101449450B1 (ko) 2013-03-15 2014-10-14 주식회사 선익시스템 유기 발광 다이오드 제조공정용 금속재료 공급장치
US9620339B2 (en) * 2013-03-15 2017-04-11 Applied Materials, Inc. Sputter source for semiconductor process chambers
CN104112640B (zh) * 2013-04-16 2016-12-28 北京北方微电子基地设备工艺研究中心有限责任公司 一种磁控溅射设备及磁控溅射方法
CN104131251A (zh) * 2013-05-02 2014-11-05 上海和辉光电有限公司 电磁蒸镀装置
JP6135455B2 (ja) * 2013-10-25 2017-05-31 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
CN103663997B (zh) * 2013-11-20 2017-03-01 中国南玻集团股份有限公司 玻璃镀膜装置及其底板模组
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN104073774B (zh) * 2014-03-28 2017-06-30 能源X控股有限公司 一种制备纳米多孔结构薄膜的装置及其应用
CN105206558B (zh) * 2014-05-27 2018-09-18 北京北方华创微电子装备有限公司 晶片边缘的保护机构、反应腔室及半导体加工设备
US9450330B2 (en) 2014-06-30 2016-09-20 Agilent Technologies, Inc. Connector assembly for an inductively coupled plasma source
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10883168B2 (en) * 2014-09-11 2021-01-05 Massachusetts Institute Of Technology Processing system for small substrates
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6609425B2 (ja) * 2015-06-17 2019-11-20 株式会社日立ハイテクノロジーズ プラズマ処理装置
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
CN105420679B (zh) * 2015-11-16 2018-04-03 江苏中腾石英材料科技有限公司 一种孪生对靶磁控溅射制备覆铜陶瓷基板的装置及方法
CN106920732B (zh) * 2015-12-25 2018-10-16 中微半导体设备(上海)有限公司 一种电极结构及icp刻蚀机
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10373804B2 (en) * 2017-02-03 2019-08-06 Applied Materials, Inc. System for tunable workpiece biasing in a plasma reactor
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) * 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11676798B2 (en) * 2019-04-08 2023-06-13 Lam Research Corporation Cooling for a plasma-based reactor
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) * 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
CN112853286A (zh) * 2019-11-12 2021-05-28 应用材料公司 压电膜的物理气相沉积
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN110952063B (zh) * 2019-12-01 2022-02-08 广东金辉铝板幕墙有限公司 一种用于铝板加工防偏转多弧离子复合镀膜机
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US20210391150A1 (en) * 2020-06-10 2021-12-16 Plasma-Therm Llc Plasma Source Configuration
CN113808898B (zh) * 2020-06-16 2023-12-29 中微半导体设备(上海)股份有限公司 耐等离子体腐蚀零部件和反应装置及复合涂层形成方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11846013B2 (en) * 2020-07-31 2023-12-19 Applied Materials, Inc. Methods and apparatus for extended chamber for through silicon via deposition
CN114078680B (zh) * 2020-08-20 2023-09-29 中微半导体设备(上海)股份有限公司 等离子体处理装置
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR20230142334A (ko) * 2021-02-10 2023-10-11 램 리써치 코포레이션 Tcp 윈도우들을 위한 하이브리드 액체/공기 냉각 시스템
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US20230033058A1 (en) * 2021-07-29 2023-02-02 Applied Materials, Inc. Reactor with inductively coupled plasma source
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN113928872A (zh) * 2021-09-27 2022-01-14 中国电子科技集团公司第十一研究所 用于分子束外延设备的料渣收集装置

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4461688A (en) * 1980-06-23 1984-07-24 Vac-Tec Systems, Inc. Magnetically enhanced sputtering device having a plurality of magnetic field sources including improved plasma trapping device and method
US4431901A (en) * 1982-07-02 1984-02-14 The United States Of America As Represented By The United States Department Of Energy Induction plasma tube
US4657654A (en) * 1984-05-17 1987-04-14 Varian Associates, Inc. Targets for magnetron sputter device having separate confining magnetic fields to separate targets subject to separate discharges
EP0173164B1 (en) 1984-08-31 1988-11-09 Hitachi, Ltd. Microwave assisting sputtering
JPS61190070A (ja) 1985-02-20 1986-08-23 Hitachi Ltd スパツタ装置
GB8629634D0 (en) 1986-12-11 1987-01-21 Dobson C D Reactive ion & sputter etching
US4795879A (en) * 1987-04-13 1989-01-03 The United States Of America As Represented By The United States Department Of Energy Method of processing materials using an inductively coupled plasma
US4834860A (en) 1987-07-01 1989-05-30 The Boc Group, Inc. Magnetron sputtering targets
US4911814A (en) 1988-02-08 1990-03-27 Nippon Telegraph And Telephone Corporation Thin film forming apparatus and ion source utilizing sputtering with microwave plasma
US4990229A (en) 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5078851A (en) * 1989-07-26 1992-01-07 Kouji Nishihata Low-temperature plasma processor
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5069770A (en) 1990-07-23 1991-12-03 Eastman Kodak Company Sputtering process employing an enclosed sputtering target
US5178739A (en) 1990-10-31 1993-01-12 International Business Machines Corporation Apparatus for depositing material into high aspect ratio holes
US5171415A (en) * 1990-12-21 1992-12-15 Novellus Systems, Inc. Cooling method and apparatus for magnetron sputtering
US6063233A (en) * 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
DE4125110C2 (de) 1991-07-30 1999-09-09 Leybold Ag Magnetron-Zerstäubungskathode für Vakuumbeschichtungsanlagen
US5262028A (en) 1992-06-01 1993-11-16 Sierra Applied Sciences, Inc. Planar magnetron sputtering magnet assembly
DE4235064A1 (de) 1992-10-17 1994-04-21 Leybold Ag Vorrichtung zum Erzeugen eines Plasmas mittels Kathodenzerstäubung
US5433812A (en) 1993-01-19 1995-07-18 International Business Machines Corporation Apparatus for enhanced inductive coupling to plasmas with reduced sputter contamination
US5524908A (en) * 1994-09-14 1996-06-11 W. L. Gore & Associates Multi-layer EMI/RFI gasket shield
US5569363A (en) * 1994-10-25 1996-10-29 Sony Corporation Inductively coupled plasma sputter chamber with conductive material sputtering capabilities
US5653812A (en) * 1995-09-26 1997-08-05 Monsanto Company Method and apparatus for deposition of diamond-like carbon coatings on drills
US5763851A (en) * 1995-11-27 1998-06-09 Applied Materials, Inc. Slotted RF coil shield for plasma deposition system
JPH09228038A (ja) 1996-02-23 1997-09-02 Balzers Prozes Syst Gmbh 中空のターゲットを備えた、陰極スパッタによりサブストレートを被覆するための装置
TW327236B (en) 1996-03-12 1998-02-21 Varian Associates Inductively coupled plasma reactor with faraday-sputter shield
DE19635136A1 (de) * 1996-08-30 1998-03-05 Galvano T Electroforming Plati HF-durchlässiges Vakuumgefäß mit integriertem Faraday-Schirm
US6254737B1 (en) 1996-10-08 2001-07-03 Applied Materials, Inc. Active shield for generating a plasma for sputtering
US6367410B1 (en) * 1996-12-16 2002-04-09 Applied Materials, Inc. Closed-loop dome thermal control apparatus for a semiconductor wafer processing system
US5800688A (en) 1997-04-21 1998-09-01 Tokyo Electron Limited Apparatus for ionized sputtering
KR100322330B1 (ko) 1997-04-21 2002-03-18 히가시 데츠로 재료의 이온 스퍼터링 방법 및 장치
US5855745A (en) 1997-04-23 1999-01-05 Sierra Applied Sciences, Inc. Plasma processing system utilizing combined anode/ ion source
US6083363A (en) * 1997-07-02 2000-07-04 Tokyo Electron Limited Apparatus and method for uniform, low-damage anisotropic plasma processing
US6051122A (en) * 1997-08-21 2000-04-18 Applied Materials, Inc. Deposition shield assembly for a semiconductor wafer processing system
US6565717B1 (en) 1997-09-15 2003-05-20 Applied Materials, Inc. Apparatus for sputtering ionized material in a medium to high density plasma
EP0908921A1 (en) 1997-10-10 1999-04-14 European Community Process chamber for plasma enhanced chemical vapour deposition and apparatus employing said process chamber
US6448492B1 (en) * 1997-12-24 2002-09-10 Gunze Limited Transparent member for shielding electromagnetic waves and method of producing the same
US6287435B1 (en) * 1998-05-06 2001-09-11 Tokyo Electron Limited Method and apparatus for ionized physical vapor deposition
US6080287A (en) * 1998-05-06 2000-06-27 Tokyo Electron Limited Method and apparatus for ionized physical vapor deposition
US6197165B1 (en) * 1998-05-06 2001-03-06 Tokyo Electron Limited Method and apparatus for ionized physical vapor deposition
US6254745B1 (en) * 1999-02-19 2001-07-03 Tokyo Electron Limited Ionized physical vapor deposition method and apparatus with magnetic bucket and concentric plasma and material source
US6523493B1 (en) * 2000-08-01 2003-02-25 Tokyo Electron Limited Ring-shaped high-density plasma source and method
US6398929B1 (en) * 1999-10-08 2002-06-04 Applied Materials, Inc. Plasma reactor and shields generating self-ionized plasma for sputtering
US6446572B1 (en) * 2000-08-18 2002-09-10 Tokyo Electron Limited Embedded plasma source for plasma density improvement

Also Published As

Publication number Publication date
JP2003514126A (ja) 2003-04-15
US6719886B2 (en) 2004-04-13
KR100396456B1 (ko) 2003-09-02
WO2001037310A2 (en) 2001-05-25
US6458252B1 (en) 2002-10-01
KR20010093257A (ko) 2001-10-27
EP1243016B1 (en) 2011-08-17
US20020104751A1 (en) 2002-08-08
AU1660601A (en) 2001-05-30
EP1243016A2 (en) 2002-09-25
US6287435B1 (en) 2001-09-11
CN1425187B (zh) 2010-10-13
WO2001037310A3 (en) 2002-04-11
TW480529B (en) 2002-03-21
CN1425187A (zh) 2003-06-18

Similar Documents

Publication Publication Date Title
JP3959273B2 (ja) イオン化物理蒸着法の方法および装置
US6652711B2 (en) Inductively-coupled plasma processing system
KR102391979B1 (ko) 기판 프로세싱 챔버들에서 사용하기 위한 냉각된 프로세스 툴 어댑터
US8559159B2 (en) Electrostatic chuck and methods of use thereof
US6197165B1 (en) Method and apparatus for ionized physical vapor deposition
JP4023630B2 (ja) プラズマ発生源、真空ポンプ用装備、及び/又は片持梁式基板サポートのような装置モジュールを含む万能真空チャンバ
KR20180063347A (ko) Pvd 스퍼터 챔버를 위한 바이어스가능 플럭스 최적화기/콜리메이터
CN1308771A (zh) 用于离子化物理气相淀积的方法和装置
KR20200136061A (ko) 웨이퍼 프로세싱 증착 차폐 부품
US20090242383A1 (en) Apparatus and method for rf grounding of ipvd table
CN114303226A (zh) 用于处理腔室的高传导性下部屏蔽件
KR102533330B1 (ko) 진공 처리 장치
JP3157551B2 (ja) 被処理体用載置装置及びそれを用いた処理装置
US20230162954A1 (en) High temperature detachable very high frequency (vhf) electrostatic chuck (esc) for pvd chamber

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060117

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20060417

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20060424

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060713

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20061106

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20070206

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20070214

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20070508

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20070514

R150 Certificate of patent or registration of utility model

Ref document number: 3959273

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313117

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100518

Year of fee payment: 3

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130518

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees