TW442891B - Vacuum processing system - Google Patents

Vacuum processing system Download PDF

Info

Publication number
TW442891B
TW442891B TW088119974A TW88119974A TW442891B TW 442891 B TW442891 B TW 442891B TW 088119974 A TW088119974 A TW 088119974A TW 88119974 A TW88119974 A TW 88119974A TW 442891 B TW442891 B TW 442891B
Authority
TW
Taiwan
Prior art keywords
chamber
vacuum
transfer
room
processing system
Prior art date
Application number
TW088119974A
Other languages
English (en)
Inventor
Jun Ozawa
Jun Hirose
Masaki Narushima
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP10343596A external-priority patent/JP2000150618A/ja
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Application granted granted Critical
Publication of TW442891B publication Critical patent/TW442891B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

44289 1 at _B7 五、發明説明(1 ) [技術領域] (請先閲讀背面之注意事項再填寫本頁) 本發明’係關於一種對於半導體晶或LCD基板等被處 理體施行給定處理之真空處理系統。 [技術背景] _般而言,為了製造半導體裝置,而必須對於半導體 晶圓進行成膜處理、氧化處理、擴散處理、蝕刻處理、退 火處理等之各種處理;又’在成膜處理之中更有絕緣膜之 成膜和不同含有金屬之成膜等之各種成膜處理。 而且,到了最近,為了生產率之提高、粒子對策、自 然氧化膜之形成防止等,而廣泛地採用所謂之群集工具, 以作為真空處理系統一一即,將用來進行如上述各種處理之處理 室,適宜地組合,以運送室連結各處理室間而成之處理系統》 經濟部智慈財產局員工消费合作社印製 第18圖,係顯示這種習知真空處理系統2之概略圓。如困所示 ,此真空處理系統2,係將例如三個處理室6A、6B、6C透過閉閥G1 〜G3連結於運送室4而成。又,該運送室4,則分別透過閘閥G4、G5 連接兩個卡匣室8A、8B »且,使可屈伸及旋廻地設在運送室4内之 運送臂10驅動,藉此取進卡匣C内之半導體晶圓,向所需之處理室 ,或在處理室6A、6B、6C間轉載晶圓W。此時,按照需要適宜選擇 各處理室6A〜6C之處理種類,設置與之對應之處理室。 且說,就如上述一般之真空處理系統言之,藉一個之 運送臂10,一面管理三個處理室6A〜6C内及兩個卡匣室 8A、8B内之晶圓W,一面在此等間進行交接,所以要求 運送臂〗0進行非常複雜之工作,因而產生了使生產率降低之問題。尤 其是’隨著因各處理室6A〜6C之性能上升而各處理室之處理時間變 本紙張尺度適用中g|g家標準(CNS ) A4規格(21Qx297公董) 4 經濟部智慧时產局負工消費合作社印製 A7 B7 五、發明説明(2 ) 短’運送臂10之動作變為更複雜,不得不將生產率更加降低。 又’半導體裝置本身也在多層構造化之趨向,所以有 必要在多層整個形成含有之金屬種類不同之薄膜,因此也 有使用含有金屬不同之成膜氣體之處理室被集合之情況。 在這種情況下’如上述一般之真空處理系統之構造,雖在 處理後處理室内繼n2排除等之後被抽空,但些許殘留著 之金屬氣體等卻在晶圓W之搬出•搬入時侵入運送室4内 ί ’以致存在著在半導《晶圓W引起不妥之金屬污染等之問 題。即’如前述所構成之真空處理系統,由於處理室6Α 〜6C對於多角形之運送室4配置成輻射狀,且各處理室6Α 〜6C之開口朝向運送室4之中央部,所以敞開處理室時, 在鄰接著之處理空間有產生交又污染(cr〇ss c〇ntaminati〇n)之虞。 又’對於一個運送室4設有多數個之處理室6A〜6C, 所以當1台之處理室故障時及維修時,有必要使所有處理 室停止’因而需要使真空處理系統停止。 又’為顧及處理室6A〜6C或運送室4内之運送臂10的 維修,而必需在處理室設空間(間隔),所以裝置全體變為 大型化’成為成本升高之原因》 [發明之揭露;| 本發明之目的係在於提供一種真空處理系統,以便可 迴避運送徑路之複雜,使生產率提高之同時,沒有交又污 木之產生之虞’且可謀求裝置之水型化及成本之降低。 前述目的,可藉以下之真空處理系統來達成。即,此 真二處理系統’包含有: 氏張尺度賴中關家揉年(CNS )概^ (训謂7公爱) 1 I— ^ ^ fe. (請先閲讀背面之注意事項再填寫太頁) 442891 A7 ______B7 五、發明说明(3 ) 負載口’係用以置位被處理體 共同運送室’係構成為鄰接於負載口之同時,備有設 定為大氣歷之内部空間,將可移動之第一運送裝置設在前 述内部空間’該第—運送裝置係用α搬出·搬入被處理體 於負載口:及 處理單元’係備有:一個處理室,其係用來對於被處 理艟施予給定處理;及真空運送室’其係具有一連接於處 理室且設定為真空壓之内部空間,且於前述内部空間内具 有一對於處理室搬出•搬入被處理敢之第二運送裝置; 在共同運送室,個別地且互相略平行地連接有多數個 處理單元; 各處理單元,係連接其真空運送室至共同運送室之同 時,向略正交於共同運送室之方向直線延伸,透過第一運 送裝置將被處理體搬出·搬入於真空運送室。 [圖式之簡單說明] 第1圖,係關於本發明第一實施例之真空處理系统的概略構 成圖β 經濟部智慧財產局員工消費合作社印製 第2圖,係關於本發明第二實施例之真空處理系統的概略構 成圈。 第3圖’係關於本發明第三實施例之真空處理系統的概略構 成圖· 第4圖為一概略構成圓,顯示第3圖之真空處理系統的變形例。 第5圈為一概略構成囷’顯示第4圖之真空處理系統的變形例。 第6圖,係關於本發明第四實施例之真空處理系統的 本紙張Μ適用中sis家樣準(CNS)从胁(21()><297公羞) 6
經濟部智慧財產局員工消費合作社印製 A7 _ B7 — - -— —^— 1 五、發明説明(4 ) 概略構成圖。 第7圖為-概略構成圖,顯示將處理單元連接成對於 共同運送室裝卸自始之真空處理單元的—例。 第8圖,係第7圏之真空處理單元的一例。 第9A園,係設在第7圏真空處理單元之真空處理室的 運送臂之平面圈。 第9B圖,係第9A圈之運送臂的側面圖。 第10A圖至第10K圓,係顯示第9A圖之運送臂的作動形態。 第11圖’係本發明第五實施例之真空處理系統之概略構成圖。 第12圖,係本發明第六實施例之真空處理系統之概略 構成圖。 第13圖,係本發明第七實施例之真空處理系統之概略 構成圖。 第U圖,係本發明第八實施例之真空處理系統之概略 構成圓。 第15圊為一概略圖’顯示本發明真空處理系統之其他 構成。 第16圖為一概略围’顯示真空處理系統之其他構成。 第17圈為一概略構成圖,顯示第16圖之真空處理系統 的變形例。 第18圖,係習知群集工具概略構成圓。 [用以實施發明之最佳形態] 以下,一面參照圖式,一面說明有關本發明之實施例。 第1圖為一概略構成圖,顯示本發明真空處理系統之
冬紙狀度帽國(加x297i¥T (請先閲讀背面之注意事項再填寫本頁) ----裝, 訂 線 442891 經濟部智慧財產局員工消費合作社印製 Α7 Β7 五、發明説明(5 ) 第一實施例*> 如圖所不,此真空處理系統SYS1 ,係主要由第一處 理單70 12A及第二處理單元12B所構成。其中,第一處理 單几係對於被處理雜即半導體晶圓W施予第一處理,而第 二處理則施予第二處理。 在此,於第一處理單元12A側,藉濺射將例如Ti/TiN 膜成膜,且於第二處理元/2B,藉熱CVD(chemical Vap〇r
Deposition)耐鎢膜成膜,以此成膜處理作為例說明如下。 首先,第一處理單元以厶,備有:濺射處理室14,其 係藉濺射來連續處理Ti/TiN琪;第一運送室16,其係將半 導體晶圓w搬出.搬入於該處理室14:及第一負載鎖室18 ,其係將晶圓W搬出.搬入於該運送室16。濺射處理室14 ,係構成為可進行成膜氣饉之供給及抽空,在内部,設有 用來載置晶面W之感應器20,同時安裝有用來抽空處理室 内之真空栗22*而且,在此漱射處理室μ與第一運送室16 間’設有可氣密地開閉之閘閥Gl 1。 又,第一搬運室16,係形成為可Ν2排除及抽空之真 空運送室,在此内部,備有例如可展伸及旋迴之多關節運 送臂(運送裝置)24,藉此,可進行晶圓w之交接β在此第 一運送室16與第一負載鎖室18間,介有作成可氣密地開閉 之閘間G12 » 又’第一負栽鎖室18’係形成為可ν2排除及柚空之 真空運送室,而在内部備有用來載置晶圓W之交接台26。 在此交接台26 ’按照需要設置冷卻套管以便冷卻處理完成 本紙張尺度通用中國國家標準(CNS ) A4规格(210X297公釐) ---------U------ir------線 (請先聞讀背面之注意事項再填tr本頁) 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明説明(6 ) 之晶圓W,或者設置加熱燈以便預熱處理前之晶圓w也可 〇 —方面,在第二處理單元12B,備有CVD處理室28、 第二運送室30、及第二負載鎖室32。其中,該CVD處理 室28係藉CVD將例如鎢膜成膜;該第二運送室30係將晶 圓W搬出•搬入於該處理室28;該第二負載鎖室32係將晶 圚W搬出•搬入於該運送室30。CVD處理室28,係構成為 可進行成膜氣體之供給及柚空,在内部設有用來載置晶園 W之感應器34。而且,在此CVD處理室28與第二運送室30 之間,設有可氣密地開閉之閘閥G13。 又,第2運送室30,係形成為可N2排除及抽空之真空 運送室’在内部備有例如可屈伸及施迴之多關節運送臂36 ,以便進行晶圓W之交換。在此第二運送室30與第二負載 室32間’介有可氧密地開閉之閘閥G14。 第二負載鎖室32,係形成為可N2排除及抽空之真空 運送室’在内部備有用來載置晶圓W之交接台35,在此交 換台35,按照需要設置冷卻套管以便冷卻已處理完成之晶 圖W’或者設置加熱燈以便預熱處理前之晶圓w也可。又 ’將交換台35本身作成二層構造,以便可載置多數張(例 如二張)之晶圓W。 在第一運送室!6與第二運送室30之間,介有中間通路 室38 »此中間通路室38也同樣形成為可乂排除及抽空, 在此内部設有用來載置晶圓W之載置台40»而且,在此中 間通路室38與兩側之第一運送室〗6及第二運送室3〇間,分 本紙張尺度適用中國图家樣年(CNS ) A4規格(2丨0X297公庚) i ! I I 裝 i { n I 1 I I 線 (請先閲讀背面之;i意事項再填寫本頁) —9 - 44289 1 A7 ___B7_________ 五、發明説明(7 ) 別介有可氣密地開閉之閘閥G15、G16 *因此’可透過此 中間通路室38,在第一及第二運送室16、30間進行晶圓W 之交接或移動。 第一及第二負載鎖室18、32,係分別透過可氣密地開 閉之閘閥G17、G18,而連結於共同之被處理體搬出•搬 入載物台(將内部空間設定於大氣壓之共同運送室)42。在 此搬出•搬入載物台42之内部空問内設有載物台用運送臂 (運送裝置)44,其係沿著未圈示之導軌(配置成沿載物台42 之長向)移動。此運送臂44,例如分別備有各別地被驅動 之晶園運送用多關節又46A ' 46B。此多關節又46A、46B 也可屈伸及施迴。在此載物台42之一側,設有一在内部備 有旋轉台48及用以光學地檢出晶围W周緣部之光學感測器 50的位置對合室52,其係用來檢出晶圓W之定向平坦和凹 槽以便進行位置對合。將載物台42之全逋,收容在例如在 大氣壓形成N2氣體之降流的矩形狀載物台容器99内,而 且,在此載物台42之前方,設有可作為載置四個卡匣容器 54之負載口用的卡匣台56。又,各卡匣容器54設有蓋體, 以便可密閉*且於其内部支持多層,例如25張之12吋晶圓 W。 其次’說明如上所構成之真空處理系統SYS丨之動作 〇 第1圖中,箭形符號XI係顯示顯示晶囬…之運送徑路 的一例。首先,使用載物台用運送臂44從卡匣容器54取出 給定卡匣容器54内之未處理晶圓识,將之載置於位置 本紙張尺度適用中國國家猱準(CNS ) A4規格(210X29?公着 ^^1 m m 1^1 H ΙΪ-Ϊ m : -^.^ I n * 、 , (請先閑讀背面之注意事項再填寫本f ) 订 線 經濟部智慧財產局員工消費合作杜印製 10 經濟部智慧財產局R工消費合作社印製 A7 B7 五、發明説明(8 ) 室52之旋轉載置台48上,進行位置對合。 將位置對合完成之晶®W’再度,使用載物台用運送 臂44一方之多關節叉例如46A來運送,其次,按照箭形符 號X〗,載置於恢復大氣壓之第一負載鎖室18内的交接台26 上。此時’按照需要加熱晶圖W以進行除去晶圓W表面之 附著氣體之除氣或預熱》 交接後,關閉閘閥17以密閉第一負載鎖室18内,進而 將此中抽空到給定之壓力之後,使用閘閥G12,與預先將 第一負載鎖至18作成真空狀態之第一運送室16内連通。然 後,使用第一運送室16内之運送臂24來保持交換台26上之 晶圓w’將之轉載於預先成為真空狀態之濺射處理室14内 之感應器20上。 像這樣,完成了晶圓W之轉載的話,關閉閘閥〇丨!, 在濺射處理室14内根據給定之處理條件,對於晶面’進行 Ti/TIN膜之成膜處理,俟排出了濺射室14内之殘留處理氣 體之後’藉運送臂24取出成膜處理後之晶圓冒,進而將之 轉載於預先成真空狀態之中間通路室38内之載置台4〇上》 然後,開閉閘閥G15 ’使中間通路室38與第一運送室16側 隔絕’以防止金属成膜氣體等流入於中間通路室3 8内。 又,在此’按照需要將中間通路室38内進行一步抽空 ’以便完全排出所流入之可能存在的非常少之金属成膜氣 體也可。 其次’使用閘閥16使中間通路室38與第二運送室川内 連通,使用第二運送室30内之運送臂36來保持裁置a 4〇上 冬紙張尺度適用中國國家標準i CNS ) A4規格ί 210 X 297公釐) ^------1T------線 (請先閱讀背面之注意事項再填寫本頁) 11 442891 A7 B7 五、發明説明(9 ) 之晶圓W,將此晶圓W搬入預先成真空狀態之CVD處理室 28内,然後載置於感應器34上。 接著,關閉閘間G13 ’在CVD處理室28内根據給定之 處理條件,對於晶11W進行鎢膜之成膜處理。 俟排出入CVD處理室28内之殘留處理氣體之後,藉 運送臂36從CVD處理室28取出成膜後之晶圓w。然後,藉 著啟開閘閥14 ’而將該晶圓W搬入於預先做成真空狀態之 第二負載鎖室32内後,載置於交接台35上。 接著,關閉閘閥G14之後,將該第二負載鎖室32内予 以N2排除使之恢復大氣壓,並在交接台35上使晶圓W冷卻 ,其後,啟開閘閥G18,使第二負載鎖32與被處理體搬出 搬入載物台42側連通。然後,使用此載物台42之台用運送 臂44,來保持交接台35上之完成處理之晶園W,進而將此 晶圓W收容於給定卡匣容器54内,按照箭形符號XI所示 之運送徑路,依次進行這種一連系之動作。 如上所說明,各處理單元12A(12B),分別備有處理 室14(28)及與其對應之真空運送室16、18(30、32)同時, 個別地連接於共同之被處理體搬出搬入載台(設定成大氣 壓之共同運送室)42。取,以一對一地對應地設有處理空 及真空運送室之處理完結型的多數個處理單元12A、12B ,係個別地連接於共同之被處理體搬出搬入載物台42。而 且將各處理單元12A、12B構成,其運送室16、30彼此間 ,透過可連通•遮斷之閘閥G15、G16及中間通路室38來 互相連續,按照需要可互相隔絕分離。因此,可進行多數 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) .—裝-- (請先閲讀背面之注意事項再填寫本頁) 訂 •線 經濟部智慧財產局具工消費合作社印製 12
經濟部智慧財產局工消費合作社印製 五、發明説明(10 ) 種處理的一方面’可防止運送室16、30間(處理單元12A 、12B間)之相互氣流之流入。即,即使用像本實施例那樣 可同時使用異種之金屬成膜方法(例如,DVD法及CVD法) 時,也可防止處理單元12A、12B間產生金屬污染事於未 然(防止交叉污染之產生又,在一方之處理單元12a(12b) 進行處理之期間’可在另一方之處理單元12B(12A)進行 處理’所以可提高生產率。又,與第18圖所示之習知系統 不同’當對於任--方之處理單元12A(12B)進行維修等 時,只要關閉閘間15、16,即可使另一方之處理單元 12B(12A)單獨做工。又,將各室跟第18圖所示之系統比 較時,其安裝並不比第18囷之系統更密集到如何之程度, 所以可輕易地進行。 又’於本實施例’各處理單元12a、12B係向.與被處 理體搬出搬入載物42之長向(運送臂44之移動方向)正交的 方向延伸且配列成互相平行,故可將從被處理體搬出•搬 入載物台42搬入於各處理單元丨2A、!2B之晶圓w,沿著 各處理單元12A、12B内之略直性狀之運送徑路運送處理 。因此,晶圓W之運送徑路不會有錯綜複雜之情事,可將 晶圓W圓滑地運送至下一處理室,其結果,可使生產率提 高。 又,第一運送室16、中間通路室38及第二運送室30之 排列方向,係與各處理單元12A、12B之排列方向正交, 所以可輕易進行對於如第丨8圖所示之習知群集工具式處理 系統裝配時之布置排列。 裝 訂 線 {請先閲请背面之注意事項再填艿本頁) ^ 13 442891 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明説明(11 且說,於前述第一實施例,在第一運送室16及第二運 送室30,雖分別只連接濺射處理室14及cvd處理室28, 但並不限於此,例如將位置對合•預熱室與冷卻室連結起 來也可。第2囷係顯示這種真空處理系統,以作為第二實 施例6又,關於與第1圊所示之第一實施例同一之部分者 附以同一符號,說明則略之。 如第2圖所示,於此實施例之真空處理系統sySIA, 在第一運送室16之側壁’透過可氣密地開閉之閘閥gi9來 連結可&排除及抽空之位置對合·預熱室18 ;再者,在 第二運送室30之側壁,透過可氣密地開閉之閘閥2〇來連結 可A排除及抽空之冷卻器60。在位置對合•預備加熱室58 ,設有一備有加熱器且作成可旋轉之旋轉載置台62及一光 學感測器64,其係設成對於晶圓w同時進行頻備加熱及位 置對合。 又’此時’可以不需要搬出•搬入載物台42之位置對 合室52;又,可以不需要第一負載鋇室18之預熱。 又’在冷卻室60’設有冷卻台66’其係設成可冷卻晶 圓W。 此時,就晶SJW之運送徑路言之,例如可採用如箭形 符號X2所示之運送徑路。即,藉由運送臂24從第一負載 鎖室is不進行位置對合即接下之晶,被運送至位置對 合預熱室58内,在此進行晶囿识之位置對合及預熱,然後 ,再度,藉運送臂24來搬出晶園W,為了濺射而將之導入 淹:射處理室14内,與前述同樣,藉藏射來成媒膜。 衣紙張纽逍用帽g家揉準(CNS > A4*ys· ( 21GX297公釐) t------IT------0 w - , \ ' - (請先閲讀背面之注意事項再填窝本頁) 14 A7 B7 經濟部智葸財產局員工消費合作社印製 五、發明説明(12 ) 與第一實施例之情形同樣,開閉中間通路室38兩側之 閘閥G15、G16 ’為了第一及第二運送室16,30間不產生 氣流之移動而保持兩室間成隔絕狀態下,將該成膜後之晶 圓W搬入第二運送室30内,進而搬入CVD處理室内,與前 述之第一實施例同樣藉CVD來施行成膜處理。再者,藉 由運送臂36 ’從CVD處理室28取出該晶圓W,進而將其搬 入冷卻室60内’俟關閉了閘閥20之後,予以冷卻。其後, 從冷卻室60取出晶圓W,與第一實施例之情形同樣,將其 透過第二負載鎖室32返回原來之卡匣容器54内。又,此時 ’第二負載鎖室32中之冷卻操作變為不需要。 如上所述’依據本實施例,也可獲得與第一實施例同 樣之作用效果。即’由於晶圓W之運送徑路被單純化使得 不會有交錯之情事,所以可有效地運送晶圓W,從而可提 高生產率。再者’從第一運送室16搬入晶圓W於第二運送 室30時,兩室16、30不會直接成為連通狀態,因此,可防 止金屬成膜氣體在兩室16、30間移動,從而可防止金屬污 染之產生。 第3圖係顯示本發明之第三實施例β本實施例之真空 處理系統SYSIA’,係於第—運送室16内,設有一備有加 熱器且可旋轉的旋轉載置台62,及一光學感測器64,其係 又成可同時對晶圓W進行預熱及位置對合。又,各處理單 元丨2 A、〗2Β係形成一個組件。即,處理室】4、運送室i 6 及負載鎖室18成為一體而形成一個模組:又’處理室28、 運送室30及負載鎖室32成為一體而形成一個模組。而且, {請先閱讀背面之注意事碲再填寫本頁) 裝 訂 線 15 442891 A7 B7 五、發明説明(13 ) 各處理單元(組件)12A、12B,係透過裝卸部119裝卸自如 地連接於被處理髏搬出.搬入載物台42。 (諳先聞讀背面之注意事項再填寫本頁) 因此,如依本實施例,由於通過跟第一實施例大致同 樣之運送通路X2’來處理晶圓W,而可獲得與第一實施例 同樣之作用效果。又,必需維修處理單元12A(12B)時, 由於可透過裝卸部119從被處理體搬出搬入載物台42分離 處理單元12A(12B) ’將之移動至任意場所,所以不需要 在處理單元12A、12B間設置維修用之間際,其結果,可 謀系統之小型化及製造成本之減低。 又,第一至第三實施例,雖基於在兩個處理室14、18 ’互相進行含異種金屬成分之成膜,而為了防止金屬污染 之產生,在中間通路室38之兩側設置閘閥G15、G16,以 防止兩運送室16、30間直接連通,但例如在兩處理室14、 28之用於成膜處理之氣體成分相同且不會有金屬污染之產 生時’不設閘閥G15、G16,而代之使第一及第二運送室16 、30以及中間通路室38全部連通也可。 經濟部智慧財產局員工消費合作社印製 第4囷,係顯示這種真空處理系統之一例的概略構成 圖。又,與第1圖所示之第一實施例同一之部分附以同一 符號,說明則略之。 依照第4圖所示之構成,其係於咪接之CVD處理室28 設有CVD處理室70以替代第1圖中之濺射處理室14»該CVD 處理室70,係使用與金屬鎢之成膜時同一之成膜氣體來形 成金屬鎢者。符號72係用以載置晶® W之感應器。此時, 在兩處理室28、70之用於成膜處理之氣體成分相同,所以 本紙張尺度適用中國國家標準(CNS ) A4规格{ 210X297公釐) 16 A7 B7 五、發明説明(14 ) 沒有產生金屬污染之虞。因此,不設第1圖中所用的閘閥 G15、G16 ’而代之使第一運送室16、中間通路室38及第 二運送室30—體地連通。即,形成包圍此三室16、38' 30 全部之一個大運送容器74 ^此時,不需要在三室16、38、 30之各室設置含有真空泵之排氣系統,而只在運送容器74 設置一個排氣系統即可’所以可謀成本之削減。又,在處 理室28、70,對於晶圓W成膜之材料並不限定於鎢膜,例 如鶴碎化物(Wsi)等也可。 箭形符號X3、X4係表示此時之晶圓w之運送徑路。 在各處理單元12 A、12B分別進行獨立之處理,所以晶圓 W不會通過中間通路室38。 又’第5困係顯示可以不考慮金屬污染之真空處理系 統之其他實施例。又,關於與第4囷所示之構成同一之部 分者附以同一符號,說明則略之。 依照第5圖所示之構成,全想設有四個處理室,且對 於晶圓W形成例如欽(Ti)膜及欽氮化物(TiN)膜以作為不產 金屬污染之膜使用。即,在第一運送室16,分別透過閘閥 G11及G19來連結藉CVD來成膜TiN膜之CVD處理室78及藉 CVD來成膜Ti膜之CVD處理室80。又,在第二運送室30, 分別透過閘閥1 3及G20來連結藉CVD來成膜TiN膜之CVD 處理室82及藉CVD來成膜Ti膜之CVD處理室84。又,此時 ’也沒有產生金屬污染之虞,所以不用說第一及第二運送 室16、30與中間通路室38之相互間,並不需要設置閘閥, 可直接連通。符號86、88、90、92係設在各處理室内之感 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先NS讀背面之注意事項再填寫本頁) -裝· 線 經濟部智慧財產局員工消費合作社印製 17 442891 經濟部智慧財產局員工消費合作杜印製 A7 B7五、發明説明(15 ) 應器》 此時,在第一處理單元12A,進行Ti膜及ΤίΝ膜之連 續層合成膜,為此,沿著符號Χ5所示之徑路運送晶園W 。又,在第二處理單元12Β,分別個別地以單層成膜TiN 膜及Ti膜,為此,沿著箭形符號X6及X7所示之徑路運送 晶圓W。即,依照箭形符號X5所示之徑路,首先將晶圓W 搬入Ti用之CVD處理室80内以成膜Ti膜,其次將晶®W搬 入TiN用之CVD處理室78内以成膜TiN膜,其後,將處理 完成之晶圓W送回原來之卡匣容器。 又,依照第二處理單元12B之箭形符號X6所示之徑路 ,首先將晶圓W搬入TiN用之CVD處理室82内以成膜TiN 膜,其次,將處理完成之晶圓送回原來之卡匣容器。又, 依照箭形符號所示之徑路,首先將晶圓W搬入Ti用以CVD 處理室84内以成膜Ti膜,其次,將處理完成之晶围W送回 原來之卡匣容器内。 像這樣,按照所需要之成膜,例如可將二種之成膜串 行地連續進行,又,也可將各膜種之成膜並行地,即,同 時並行地進行。 又,第6圖為一概略構成圖,顯示本發明真空處理系 統之第四實施例。於本實施例,將如第1圈所示之第一實 施例的系統設置多數組(在此為並聯地設置二組),以系統 間通路室96來連結這些組。即,將除用其他CVD處理室14-1 來代替濺射處理室14以外其餘與真空處理系統SYS1同一 構成之第二真空處理系統SYS2 *並設於如第1圖所示構成 {請先閏讀背面之注意事項再填寫本頁) 線 本紙張尺度適用中國國家標準(CNS ) A4规格(210X297公釐) 18 經濟部智慧財產局員工消費合作杜印製 A7 ____B7_ 五、發明説明(16 ) 之真空處理系統SYS1之右鄰。於第二真空處理系SYS2, 對於與第一真空處理系統SYS1之各構件對應之構件,符 號之後加註[-1]之符號。 為了並設第一真空處理系統SYS1及第二真空處理系 統SYS2 ’而在第一真空處理系統SYS1之第二運送室30與 鄰接之第二真空處理系統SYS2之第一運送室16-1間,介 設有系統間通路室96。此系統間通路室96,係做成可N2 排除及抽空,其内部具有用來載置晶圓W之載置台98。 在系統間通路室96與其兩側之第二運送室30及第一運 送室16-1間’分別介有可氣密地開閉之閘閥G22、G23 « 因此,可透過系統間通路室96,在第一及第二真空系統 SYS1、SYS2間進行晶圓W之交接或移動。 箭形符號X8係表示本實施例中之晶圓w的運送徑路 之例。即,在第一真空處理系統SYS1之濺射處理室14及 CVD處理室28對於晶圓W依次施行成膜處理之後,將此晶 圓W透過系統間通路室96搬入第二真空處理系統SYS2側 ,進而在系統SYS2之一方的CVD處理室14-1及另一方之 CVD處理室28-1,對於晶園W依次進行成膜處理。 如此’即可在不產金屬污染下連續進行恐有產生金屬 污染之虞的多數膜種之成骐,而且將運送徑路單純化,所 以運送徑路不會錯綜複雜,可進行有效之運送,使生產率 提高。 又’於第1圖至第6圖,沿著給定之運送徑路運送處理 晶圓w之各裝置(運送裝1等)之控制,係藉控制部195來 Z紙張尺度適用中國國家標牟(CNS ) A4规格(210X297公4 ) --- ---------装------π------# <請先閲讀背面之注意Ϋ項再填寫本頁) 19 經濟部智慧財產局員工消費合作社印製 442891 A7 B7 五、發明説明(Π ) 達成。又’連結於共同運送室之系統或單元數,並不限定 於前述各實施例,作成連續更多之系統或單元也可·又, 前述各實施例中之各運送徑路,係只表示一例而已,可依 所連結之各處理室的成膜種類、薄膜之層合順序等而決定 適當之運送徑路。又’處理室,並不限定於成膜處理,也 可進行其他處理,例如蚀刻處理、退火處理、研磨加工處 理、濺射處理等。又,雖取半導體晶ffl作為被處理體例, 但並不限定於此,也可將玻璃基板,LCD基板等作為被處 理體使用。 於第7圖及第8圖中,顯示一具有真空運送室203之真 空處理系統之一例》此真空運送室203,係由負載鎖室18( 參照第I圖)及運送室16(參照第1困)構成一體《具體言之 ,第7圖係用以蝕刻用做被處理逋之半導體晶面的真空處 理系統之概略平面圖;第8圖係顥示其惻面围。此真空處 理系統包含有:真空處理室201,其係用以蝕刻處理晶圓 W;真空運送室203,其係内設有用做運送裝置之無向量 單拾取型運送臂202,其中該運裝置係用來進行與該真空 處理室201間之晶圓W之交接;及傳送室205,其係作為矩 形狀共同運送室使用,具其内部空間被設定成大氣壓.真 空處理室201及内設有運送臂202之真空運送室203,係形 成一個组成(處理單元)204,而可裝卸地安裝在傳送室205 之一側面。再者’在傳送室205之側面,有晶圓卡匣206並 設在多數個負載口上,其中該晶圓卡匣206係作為一在傳 送室205之另一側面留出給定間隔來載置之收容手段使用 本紙張尺度適用中國g家揉準(CNS ) Α4規格(210Χ297公兼) ---------^------1T------0 - - - L . . {锖先聞讀背面之注意Ϋ項再填寫本頁) 20 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明説明(18 ) •,在傳送室205之一端部設有預對準台2〇7。 再者,在傳送室205,設有一可向傳送室205之長向移 動之無向量式對偶型之運送臂機構(運送裝置)2〇8,此運 送臂機構208係用以從晶圓卡匣206搬出搬入晶圓W。 如依此構成’則藉運送臂機構2〇8從晶圓卡匣206取出 之一張晶圓W,被搬入預對準台2〇7來預對準之後,再度 藉由運送機構208加以把持、搬入真空運送室203内。被搬 入真空運送室203内之晶圓W則由運送臂202所接收,然後 將之搬入真空處理室201内。 又,藉運送臂202搬出真空處理室201内接受蝕刻處理 之晶圓W至真空運送室203。其後,將處理完成之晶圃w 交接於運送臂機構208,由運送臂機構208將晶圓W送回晶 圓卡匣206。 例如’構成真空運送室203之框體209之傳送室205側 之端部’一體地設有凸緣部2〇9a。又,凸緣部209a係藉多 數支螺栓210來安裝在傳送室205a之外壁。因此,藉著放 鬆或螺緊,而將組件204可裝卸地安裝在傳送室205。 又’運送臂202係設置在真空運送室203内之大致中央 部;從此運送臂202之旋迴驅動軸中心更靠向真空處理室 201侧,設有第一緩衝器211,而從前述旋迴驅動轴中心靠 向傳送室205側則設有第二緩衝器212。即,第一及第二緩 衝器211、212 ’係配置在一用來支持運送臂202前端之晶 圓W的支持部202a之軌道上,藉著其本身之上升而從支持 部202a接收晶圓W,且藉著其本身之下降而向支持部2〇2a 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X297公釐〉 ---------装------ΐτ------0 (請先閲讀背面之注意事項再填寫本頁) 21 4 428 91 A7 __ B7 五、發明説明(19 ) 交換晶圓W。 (锖先W讳背面之注意事項再填寫本頁) 更且’在真空處理室201與真空運送室203之連結部設 有真空側閘閥213,而舆傳送室205之連結部則設有大氣側 閘閥214。 其次,一面參照第9Α圖至第10Κ圖,一面詳細說明運 送臂202。 如第9A圖及第9B圖所示,在真空運送室203之底部即 基座311 ’向垂直方向固定有可正反旋轉之馬達等旋迴驅 動部312 ;此旋迴驅動部312之旋迴驅動轴3 13則向真空運 送室之内部突出。在此旋迴驅動轴313,則固定有驅動側 旋迴臂314之基端部。 在驅動側旋迴臂314之前端部,回動自如地連結有從 動側旋迴臂315之基端部;在此從動側旋迴臂315之前端部 ’則在水平面内自動自如地連結一用來支持晶圓W之兩叉 形支持部202a。而且,藉驅動側旋迴臂314之旋迴運動使 從動側旋迴臂315及支持部202a—體旋迴,且藉從動側旋 建臂315之回動使支持部202a維持原姿勢伸縮。 經濟部智慧財產局員工消費合作社印製 在與第一緩衝器211相向之位置*設有一用來預對準 晶圓W之預對準機構321。此預對準機構321係由圓板323 及多數支之銷324所構成,其中,®板323係藉一設在真空 運送室201之基座311的升降•旋轉驅動部322來升降及旋 轉|而銷324係從此圓板323向垂直方向突出;即,藉該多 數支之銷324來支持晶圓W成水平狀態以便預對準。 其次,一面參照第1 〇 A圖至第10K圈,一面說明運送 本紙張尺度適用中國國家標準(CNS ) A4規格(2丨0X297公釐) 22 經濟部智慧財產局員工消費合作社印製 A7 B7_ 五、發明説明(2〇 ) 臂202之動作的一例。 第10A圖,係顯示在真空處理室201内姓刻處理晶圓 W之狀態。於此狀態,關閉真空側閘閥213,運送臂202即 在真空運送室内等待。第10B圊,係顯示晶圓w之姓刻處 理已終了,藉由未圖示之升降銷使晶圓W上升之同時,敞 開真空側閘閥213之狀態。第10C圖係顯示從真空處理室 201搬出處理完成之晶圓W的狀態。此時,若運送臂2〇2之 支持部202a向前前進而位置於真空處理室201内之晶圓w 下部,升降銷則下降將晶圓W載置於支持部202a。又,第 二緩衝器212之升降轴隨即下降,緩衝銷則在下降位置等 待,運送臂202之支持部202a便向後後退。第10E)囷,係 顯示從真空處理室201搬出處理完成之晶圓w至真空運送 室203之狀態。像這樣,由支持部202a所支持之晶圓w位 置於第二緩衝器212上時,緩衝銷則上升而從支持部2〇2a 接晶圓W並予以支持。第1〇£圖,係顯示運送臂2〇2之支持 部202a在真空運送室203内前進之狀態。第1〇17圖,係顯示 運送臂202之支持部202a位置於第一緩衝器211下之狀態。 於此狀態,升降轴下降,由緩衝銷所支持之處理前之晶圓 W則由支持部202a所支持著β第1〇〇圊,係顯示將處理前 之晶圓W搬入真空處理室201内之狀態β像這樣,當運送 臂2 02之支持部202 a向前前進而位置於下部電極之上部時 ’升降銷則上升而從支持部202a接收晶圓w ·其後,當運 送臂202之支持部202a向後後退時,真空側閘閥213則被關 閉°第10H圊,係顯示在真空處理室201内進行蝕刻處理同時, 本紙張尺度制巾關家鮮(CNS ) A4狀(2IGX297公# ) *---- -23 - 裝 [ i 線 (請先閱讀背面之注意事項再填寫夂頁) 經濟部智慧財產局員工消費合作社印製 442891 A7 B7 五、發明说明(21 ) 藉N2氣體之供給而將真空運送室203設定於大氣壓,敞開 大氣側閘閥214之狀態。第101圖,係顯示敞開了大氣側閘 閥214之後,從真空運送室203搬出處理完成之晶圓W,將 處理前之晶圓W搬入真空運送室203内之狀態。當處理前 之晶圚W被支持於第二緩衝器212時,關閉大氣侧閘間214 。第10J圖,係真空室203被抽空之同時,運送臂202之支 持部202a向後後退,第一及第二緩衝器211、212之緩衝銷 下降之狀態。第10K圓,係蘋示運送臂202之支持部202a 向前前進’第二緩衝器212之緩衝銷上升之狀態。若在此 期間在真空處理室201内终了蝕刻處理,則再重覆從第10A 圈開始之前述動作。 像這樣,在真空運送室203設置無向量式單拾取型之 運送臂202的話,可將運送系統之構成簡略化,又,在來 自運送臂202之伸縮運動的支持部202a之直進運動下,將 晶圓W搬出•搬入於真空運送室203(支持部202a並不旋迴 ,而只藉伸縮來搬出•撤入晶BJW),所以可謀真空運送 室203之小型化。又,在與真空運送室203内之第一緩衝器 211相向之位置,設有預對準機構321,所以在即將搬入晶 圓W於真空處理室201之前進行晶圓W之預對準,藉此可 高精度地搬入晶圓W於真空處理室201。 其次,說明有關上述構成之真空處理系統之作用。又 ’依照以下所說明之工程,藉運送臂202將晶圓W交接於 緩衝器211、212之順序,與第10A圖〜第10K圓所示之手 順有一點不同。 本紙&尺錢财家料(CNS ) 210X297公釐) --- I H 1 n H I 線 一 - r *'. (請先聞讀背面之注意事項再填转本頁) 24 A7 -------B7 j 五、發明説明(22 ) I 首先’藉由運送臂機構208從晶圓卡匣206取出一張之 | 晶圓W。其次,將晶圓搬入預對準台207之後,再用運送 臂機構20 8把持晶圓w’將之振入真空運送室203内。在真 I 空運送室203方面,即藉由運送臂機構208將所搬入之晶圓 | W交接於第二緩衝器212。若真空側閘閥213敞開,則藉由 ! 運送臂202之支持部202a將第二緩衝器212上之未處理晶圓 I 你搬入真空處理室201内。其後,封閉真空側閘閥2 Π,在 裝 真空處理室2〇 1内蝕刻處理晶圓w。在此期間,敞開大氣 | 側閘閥214 ’藉運送臂機構208將下一個應處理之晶圓W交 j 接於第二緩衝器212上。當真空處理室2〇1内之蝕刻處理完 | 了時’真空側閘閥213則被敞開,而藉運送臂202之支持部 訂 202a將真空處理室201内之處理完了之晶圊W交接於第一 j 緩衝器211上。接著’藉由運送臂202,將已在第二緩衝器 I 212上等待著之未處理晶圓w搬入真空處理室201内。然後 | ’若為了在真空處理室201之蝕刻處理而關閉真空側閘閥 ^ 213 ’運送臂2〇2則把第一緩衝器211上之處理完了之晶圃 | , W交接於第二緩衝器212上。其後,敞開大氣惻閘閥214的 | 話,運送臂機構208則接收第二緩衝器212上之已處理完了 之晶圓W,將之送回晶圚卡匣206。以後,重覆此工程, I 藉此連續進行晶圓W之蝕刻處理。 1 如上所說明’若依第7®及第8圊之構成,則由於真空 j 處理室201與真空運送室203係一對一地獨立,所以沒有交 j 叉污染產生之虞,可謀求可靠性之提高。又,需要組件204 丨之維修時,放鬆螺栓210,藉此可從傳送室205分離組件204 本纸張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) ---------1------1T------^ (请先K1T*背面之注意事項再填寫本頁) 25 經濟部智慧財產局員工消費合作社印製 442891 at B7 五、發明説明(23 ) *將組件204移動至任意場所以便維修。不用說,在維修 中,可將另外之組件204安裝於傳送室205以便繼續處理。 第11圖,係顯示本發明之第五實施例=又,本實施例 係第7圓及第8困之構成的變形例,因此,舆第7圖及第8圖 同一之構成部分附以同一符號,說明則省略之。 依照本實施例,在傳送室205,可裝卸地並設有兩個 由真空處理室201及内設有運送臂202之真空運送室203所 構成的組件204a、204b。 如上所說明,於本實施例,各组件204a、204b分別備 有處理室201、及與之對應之真空運送室203,同時個別地 連接於共同之傳送室205。即,以一對一對應地設有處理 室及真空運送室之處理完結型之多數個組件204a、204b, 係個別地連接於共同運送室205。因此,可防止組件204a ' 204b間之相互氣流之流出及流入。即,可在组件2〇4a、 2〇4b間防患金屬污染之產生於未然(防止交又污染之產生) 。又,在一方之組件204a進行處理之期間,另一方之組件 204b也可以進行處理,所以可提高生產率。 又,於本實施例,各組件204a、204b係排列成,向對 於傳送室205之長向(臂機構之移動方向)正交之方向延伸 且互相平行’藉此沿著組件2〇4a、204b内之直線運送徑路 運送處理從傳送室205搬入各204a、204b内之晶® W。因 此,晶圓W之運送路徑不會錯綜複雜,可將晶困w圓滑地 運送至下一處理室,其結果,可提高生產率。 又,於本實施例,組件204a、204b可對於傳送室205 本紙張尺度適用中囷國家橾车(CNS >入4规格(2丨0><297公兼> ‘ n I n n ^ I I ^ {請先閲請背面之注意事項再填寫本頁) 26 經濟部智慧財產局員工消費合作社印製 A7 _____B7__ 五、發明説明(24 ) 裝卸自如地連接、分離,所以可在組件204a ' 204b間免除 維修用間隙之設置"因此,可使裝置全體(覆蓋區)小型化 ,並可減低裝I之製造成本 <=不用說,將一方之組件204a 移動至任意場所來維修時,在其期間也可進行使用另一組 件204b之處理,所以從此點來說也可謀生產性之提高e 第12圖,係顯示本發明之第六實施例。又,本實施例 為第五實施例之變形例’因此,與第五實施例同一之構成 部分附以同一符號,說明則省略之。 於本實施例,將傳送室205增設延長,且對於傳送室205 可裝卸地並設三個由真空處理室及内設有運送臂202之真 空運送室203所成之組件204a、204b、204c。 即,在傳送室205之一端部’一體地設有凸緣部215; 而在增設傳送室216之一端部也設有凸緣部2丨7。凸緣部215 、217彼此間,係藉螺检218及螺母219來連結成可裝卸; 在傳送室205則可裝卸地安裝有兩個組件2〇4a、204b,而 在增設傳送室216則可裝卸地安裝有一個組件2〇4c。又, 運送臂機構208可在傳送室205及增設傳送室216之整個區 域範圍移動。 因此,依照本實施例,也可獲得與第四實施例同樣之 作用效果。又’按照需要,能以組件單位輕易增設,所以 具有可將初始成本抑制至最小限度之效果。 又’在組件204b與組件204C間設置廣闊之維修空間22〇 也可。像這樣設置空間220的話’將組件2〇仆、2〇4c作成 不對於傳送室205裝卸,也可進行維修。 本紙乐尺‘逆用中囤国家標準((:1^)六4規格(210\297公釐)~""-------- ---------#------ΐτ------$ (請先閲讀背面之注意事項再填寫本頁) 27
A 42891 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明説明(25 ) 第13圖係顯示本發明之第七實施例。又,本實施例為 第六實施例之變形例,因此,與第六實施例同一之構成部 分附以同一符號,說明則省略之。 依照本實施例,在增設傳送室216之内部也設有運送 臂機構208 »又,在增設傳送室216設有預對準台207a。因 此,若在傳送室205内之運送臂機構208發生事故時,由增 設傳送室216内部之運送臂機構208a在傳送室205及增設傳 送室216之整個區域移動,而藉運送臂機構2〇8a將晶圓W 搬入真空運送室203内,或將第二緩衝器212上之已處理完 成之晶圓W送回晶圓卡匣206 ·其結果,可消除運送臂機 構208之事故發生時之時間損失》 第14®係顯示本發明之第八實施例。又,在本實施例 為第六實施例之變形例,因此,與第六實施例同一.之構成 部分附以同一符號,說明則省略之β 依照本實施例,藉由連接傳送室290裝卸自如的連接 系統Α及系統Β。系統Α係由單元Α1及單元Α2所構成;其 中,單元A1係對於傳送室205裝卸自如地連接兩個組件 204a、204b而成,而系統A2則對於連結於傳送室205之增 設傳送室216裝卸自如地連接兩個組件204c ' 204d而成。 一方面,系統B係由單元及單元B2所構成;其中,單元 Β1係對於傳送室205裝卸自如地連接兩個組件204a、204b 而成,而系統B2則對於連結於傳送室205的增設傳送室216 裝卸自如地連接兩個組件204c、204d而成。而且,藉由連 接傳送室290,互相連接單元則之傳送室205及單元A2之 本紙張尺度適用中酉國家標準(CNS ) A4規格(2丨0X297公瘦) ---------1------、1T-------^ (請先閲讀背面之注意事項再填寫本頁) 28 A7 B7 經濟部智慈財產局員工消費合作社印製 五、發明説明(26 ) 增設傳送室216。 又’在系統A,設有一可在傳送室205及增設傳送室216 之整個區域移動之運送臂機構208。又,在系統B,也設 有一在傳送室205及增設傳送室216之整個區域移動之運送 臂機構208a。且,將兩運送臂機構208、208a設成,按照 需要,可以越過連接傳送室290進入對方側之系統中。 因此’如依這種構成,則例如要維修單元幻時,將 運送臂機構208a驅入單元A2側之增設傳送室216中以進行 系統B全體及單元A2之運送作業》 第15圖係顯示真空處理系統之其他構成。又,與第7 圖及第8圖同一之構成部分附以同一符號,說明則省略之 本構成之傳送室221係形成多角形狀:在此傳送室221 之中央部設有無向量式雙臂型之運送臂機構2〇8。 更且’在傳送室221之一側面設有多數個晶囿卡匣2〇6 ’而在另一側面則成輻射狀地配置有由預對準台2〇7及内 設有真空處理室2〇1及運送臂202之真空運送室2〇3所成之 組件 204a、204b、204c。 依此構成’將藉由運送臂機構208從晶圓卡匣206取出 之張晶圓W ,搬入預對準台207内以進行預對準之後, 搬入給定之真空運送室20?内。搬入真空運送室2〇3之晶圓 w’則藉由運送臂202來搬入真空處理室2〇1内。接著,藉 由運送臂202搬出一在真空處理室2〇1内被蝕刻處理之晶圃 认。真空運送室203内之已處理完成之晶圓w,則藉運送 ---------^------1T------.^ (請先M讀背面之注意事項再填寫本頁) 29 經濟部智慧財產局員工消費合作社印製 442891 A7 B7 五、發明说明(27 ) 臂機構208來送回晶S1卡匣206。 若依本構成’則可藉運送臂機搆208之旋迴運動來搬 入’搬出晶圓W’因此可提高生產率之同時,各組件對於 傳送室221裝卸自如,也因此在組件閟可以不設置維修用 之間隙(維修區域)。因此,可使裝置全體小型化。 在第16圖所示之真空處理系統並沒有設置傳送室,而 在真空運送室203之大氣侧閘閥214直接結合有晶圓卡匣 206 ° 依照此構成’當敞開大氣側閘閥214時,藉由真空運 送室203内之運送臂202的支持部202a從卡匣運送室206内 取出一張之晶圓W,進而將之搬入真空運送室2〇3内。其 後,藉由運送臂202 ’將搬入真空運送室203内之晶圈W搬 入真空處理室201内。又’藉由運送臂202,將在真空處理 室201内蝕刻處理過之晶圓W搬出於真空運送室203,照原 樣,送回晶圓卡匣206。 因此’若依本構成,則傳送室及運送臂機構變成不需 要,可謀求構成之簡素化同時,可謀裝置之小型化、成本 降低。 第17圓所示之真空處理系統,係並設與第1圖同一構 造之第一及第二真空處理系統222、223(組件204)而成。 兩系統222、223 ’係互相連結有真空運送室203。即*在 第一及第二真空處理室222、223之真空運送室203之互相 相向之側面’設有開口部222a ' 223a,而藉由聯絡路225 以密閉狀態連通該兩開口部222a、223a。在聯絡路225, 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公羡) ---------f------.π------β - ' ' - {许先聞请背面之注意事項再填寫本頁) 30 Α7 Β7 經濟部智慧財產局員工消贽合作社印製 五、發明説明(28 ) 設有用來支持晶圓W之緩衝機構224。 依照這種構成,當敞開第一真空處理系統222之真空 運送至203的大氣側閘閱214時,藉由真空運送室203内之 運送臂202的支持部202a,從晶片卡匣206内取出一張之晶 圓W’將之搬入真空運送室203内。接著,藉由運送臂2〇2 ’照原樣’將搬入第一真空處理系統222之真空運送室203 的晶圓W搬入真空處理室201内。 其次,藉由運送臂202,將一在真空處理室2〇1内受過 姓刻處理的晶圓W ’搬出至真空運送室2 〇 3,然後照原樣 搬入緩衝機構224内。接著,由第二真空處理系統223之真 空運送室203内的運送臂202接收由緩衝機構224所支持之 晶圓W,將之搬入真空運送室203内。其後,藉由運送臂2〇2 從第二真空處理系統223之真空運送室203,搬入晶圓识於 真空處理室201内。然後,藉由運送臂202,將在第二真空 處理系統223之真空處理室201受過蝕刻處理之晶圓w,搬 出至真空運送室203後,送回晶圓卡匣206 » 因此,若依本構成,則可進行高效率的對於晶圓你之 多數次處理。又,傳送室及運送臂機構變成不需要,可謀 構成之簡素化同時,可謀裝置之小型化,成本降低。 ——^1 ^^^^1 ^^^^1 'Ϊ- ^^^^1 '^^^^1 n^i mi ^^1 (請先閲讀背面之注意事項再填寫本頁)
-II -線 各紙張尺度適用中國國家標準i CNS ) A4規格(210X297公釐) 442891 A7 B7 五、發明説明(29 ) 元件標號對照 經濟部智慧財產局員工消費合作社印製 12A…第一處理單元 UB…第二處理單元 14…濺射處理室 14-1、28-1._.CVd處理室 16…第一運送室 16-1…第一運送室 18…第一負載鎖室 2〇、34、86,' 9〇、% …感應器 22…真空泵 24…運送臂 26、35…交接台 28 、 82 、 84 、 78 、 8〇 …C VD處理室 30…第二運送室 32…第二負載鎖室 36…多關節運送臂 3 8…中間通路室 40、98…載置台 42…被處理體搬出.振入 載物台 44…載物台用運送臂 46A、46B…多關節又 48…旋轉載置台 5〇…光學感測器 52…位置對合室 54----^ £容器 56----^匣台 96…系統間通路室 99…載物台容器 195···控制部 201...真空處理室 202…無向量式單拾取型 運送臂 202a…支持部 203…真空運送室 204…組件 204a、204b…組件 205…傳送室 206···晶圓卡匣 207."預對準台 208…運送臂機構 208a…運送臂機構 209…框體 n n 訂 I 線 * - - (請先聞讀背面之注意事項再填寫本頁) 本紙張尺度速用中國國家標準(〇^)戍4規1格(2丨0父297公釐) 32 A7 B7 五、發明説明(3〇 ) 209a"·凸緣部 210…螵栓 211…第一緩衝器 212…第二緩衝器 213…真空側閘閥 214…大氣侧閘閥 216…增設傳送室 ‘ 221…傳送室 222…第一真空處理系統 222a、223a···開口部 223…第二真空處理系統 224…緩衝機構 290…連接傳送室 3 11…基座 312···旋迴驅動部 313···旋迴堪動抽 314···驅動側旋迴臂 315···從動側旋迴臂 321…預對準機構 322···升降•旋轉驅動部 323…圓板 324…銷 A、B…系統 B1、Β2·_·單元 Gil、G12、G13、G14、 G15、G16…閘閥 GI7、G18…閘閥 G20、G22、G23···閘閥 . 裝 .¾ 線 (請先M讀背面之注意事項再填寫本頁) 經濟部智慧財產局R工消費合作社印製 本紙烺尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 33

Claims (1)

  1. A8B8C8D8 ^42891 六、申請專利範圍 I 一種真空處理系統,包含有: 負載口’係用以置位被處理體; 共同運送室,係構成為鄰接於負載口之同時,備 有設定為大氣壓之内部空間,將可移動之第一運送裝 置設在前述内部空間,該第一運送裝置係用以搬出· 搬入被處理體於負載口;及 處理單元,係備有:一個處理室,其係用來對於 被處理饈施予給定處理;及真空運送室,其係具有一 連接於處理室且設定為其空壓之内部空間,且於前述 内部空間内具有一對於處理室搬出•搬入被處理體之 第二運送裝置;其特徵在於: 在共同運送室,個別地且互相略平行地連接有多 數個處理單元; 各處理單元’係連接其真空運送室至共同運送室 之同時’向略正交於共同運送室之方向直線延伸,透 過第一運送裝置將被處理體搬出•搬入於真空運送 ο 2. 如申請專利範圍第i項所述之真空處理系統,其特徵在 於: 第一運送裝置係略沿著共同運送室之長向移動; 而各處理單元則向正交於共同運送室之長向之方向直 線地延伸β 3. 如申請專利範圍第丨項所述之真空處理系統,其特徵在 於: 本紙遇用中®國家標準(CNS)A4規格(21G X 297公g ) -Ι裝--- . . . 一 : <請先閱讀背面之注意事項再填寫本買》 訂· -線 經濟部智慧財產局員工消費合作社印製 34 A8B8C8D8 經濟部智慧財產局員工消費合作社印製 六、申請專利範圍 各處理單元,係裝卸自如地連接於共同運送室。 4. 如申請專利範圍第3項所述之真空處理系統,其特徵在 於: 在共同運送室,裝卸自如地連接有至少一個之增 設運送室;第一運送裝置可在共通運送室及增設運送 室之整個區域移動。 5. 如申請專利範圍第4項所述之真空處理系統其特徵在 於: 處理單元’係裝卸自如地連接於增設運送室。 6. 如申請專利範圍第4或5項所述之真空處理系統,其特 徵在於: 在增設運送室’可移動地設有第三運送裝置,此 第二運送裝置係在負載口與各處理單元之真空運送室 間交接被處理體。 7. 如申請專利範圍第6項所述之真空處理系統,其特徵在 於: 第二運送裝置’可在共同運送室與增設運送室之 整個區域移動《 8,如申請專利範圍第1項所述之真空處理系統其特徵在 於: 相鄰之處理早元的真空運送室,係彼此透過可設 疋為給疋真空壓的中間通路室’來互相連接; 在中間通路室與各真空運送室間,設有可開閉的 閘閥; 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公t ) -------—I----— — — — — — . I --------- (請先M讀背面之注意事項再填寫本頁) 35 經濟部智慧財產局員工消費合作社印製 442891 六、申請專利範圍 透過第二運送室,對於中間通路室搬出被處理體 9·如申請專利範圍第8項所述之真空處理系統,其特徵在 於: 備有一用來控制第一及第二運送裝置之驅動的控 制部’以便透過中間通路室,將被處理艘依次交接於 各處理單元,以供處理。 10. 如申請專利範圍第1項所述之真空處理系統,其特徵在 於: 共同運送室係由矩形之容器所成。 11. 如申請專利範圍第丨項所述之真空處理系统其特徵在 於: 在真空運送室内,設有用來進行被處理體之對準 的對準機構。 12. 如申請專利範圍第丨項所述之真空處理系統,其特徵在 於: 真空運送室係包含有·.運送室,其係具有第二運 送室而鄰接於處理室且經常設定為給定之真空壓;及 負載鎖室,其係連接該運送室及共同運送室且其内部 空間可轉換為大氣壓及真空壓。 ^如申請專利範項所述之真空處理系統,其特徵在 於: 真空運送室,係由負載鎖室所成,該負載鎖室係 連接處理室與共同運送室且内部空間可轉換為大 本紙張幻 1過州i國家標準(CNS)A4規格咖 x 297公茇) -------------裝— —--訂----- !線 ·*** (請先閲讀背面之注意事項再填寫本頁) 36 BS
    六、申請專利範圍 及真空壓。 14.如申凊專利範圍第13項所述之真空處理系統,其特徵 在於: 第二運送裝置具有用來支持被處理體之支持部, 而支持部則只直線地移動,藉以運送被處理逋。 】5·如申請專利範圍第13項所述之真空處理系統,其特徵 在於: 在真空運送室,設有用來載置被處理體以便等待 的兩個緩衝器。 -------------裝--------訂· (請先閲讀背面之注意事項再填寫本頁> -線 經濟部智慧財產局員工消費合作社印製 37 **紙張义度適用中國國家標準(CNS)A4規格(210 X 297公釐)
TW088119974A 1998-11-17 1999-11-16 Vacuum processing system TW442891B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP10343596A JP2000150618A (ja) 1998-11-17 1998-11-17 真空処理システム
JP36913898 1998-12-25

Publications (1)

Publication Number Publication Date
TW442891B true TW442891B (en) 2001-06-23

Family

ID=26577568

Family Applications (1)

Application Number Title Priority Date Filing Date
TW088119974A TW442891B (en) 1998-11-17 1999-11-16 Vacuum processing system

Country Status (5)

Country Link
US (2) US7025554B2 (zh)
EP (1) EP1146548A4 (zh)
KR (2) KR100676029B1 (zh)
TW (1) TW442891B (zh)
WO (1) WO2000030156A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103035467A (zh) * 2011-07-29 2013-04-10 细美事有限公司 处理基板的装置、系统及方法
US8974601B2 (en) 2011-07-29 2015-03-10 Semes Co., Ltd. Apparatuses, systems and methods for treating substrate
CN112588624A (zh) * 2020-12-24 2021-04-02 西安奕斯伟硅片技术有限公司 一种晶圆分选设备及晶圆分选方法

Families Citing this family (93)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0936198A (ja) * 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
JP4021125B2 (ja) 2000-06-02 2007-12-12 東京エレクトロン株式会社 ウェハ移載装置の装置ユニット接続時に用いられるレールの真直性保持装置
JP4180787B2 (ja) 2000-12-27 2008-11-12 東京エレクトロン株式会社 基板処理装置および基板処理方法
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
JP2005504885A (ja) * 2001-07-25 2005-02-17 アプライド マテリアルズ インコーポレイテッド 新規なスパッタ堆積方法を使用したバリア形成
US6821881B2 (en) 2001-07-25 2004-11-23 Applied Materials, Inc. Method for chemical mechanical polishing of semiconductor substrates
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
JP2003059999A (ja) * 2001-08-14 2003-02-28 Tokyo Electron Ltd 処理システム
US6729824B2 (en) * 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
JP4389424B2 (ja) * 2001-12-25 2009-12-24 東京エレクトロン株式会社 被処理体の搬送機構及び処理システム
JP4168642B2 (ja) * 2002-02-28 2008-10-22 東京エレクトロン株式会社 被処理体収納容器体及び処理システム
JP4334817B2 (ja) * 2002-05-15 2009-09-30 東京エレクトロン株式会社 基板処理装置及び基板処理方法
MXPA05007215A (es) * 2003-01-02 2005-09-12 Univ Loma Linda Med Sistema para administracion de configuracion y recuperacion para sistema de terapia con haz de protones.
US20040141832A1 (en) * 2003-01-10 2004-07-22 Jang Geun-Ha Cluster device having dual structure
JP2004349503A (ja) * 2003-05-22 2004-12-09 Tokyo Electron Ltd 被処理体の処理システム及び処理方法
JP4833512B2 (ja) 2003-06-24 2011-12-07 東京エレクトロン株式会社 被処理体処理装置、被処理体処理方法及び被処理体搬送方法
US8545159B2 (en) * 2003-10-01 2013-10-01 Jusung Engineering Co., Ltd. Apparatus having conveyor and method of transferring substrate using the same
US8696298B2 (en) * 2003-11-10 2014-04-15 Brooks Automation, Inc. Semiconductor manufacturing process modules
US20070269297A1 (en) * 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US8313277B2 (en) * 2003-11-10 2012-11-20 Brooks Automation, Inc. Semiconductor manufacturing process modules
WO2005048313A2 (en) 2003-11-10 2005-05-26 Blueshift Technologies, Inc. Methods and systems for handling workpieces in a vacuum-based semiconductor handling system
US7458763B2 (en) 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
US20070286710A1 (en) * 2003-11-10 2007-12-13 Van Der Meulen Peter Semiconductor manufacturing process modules
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
KR100578134B1 (ko) * 2003-11-10 2006-05-10 삼성전자주식회사 멀티 챔버 시스템
US8267632B2 (en) 2003-11-10 2012-09-18 Brooks Automation, Inc. Semiconductor manufacturing process modules
JP4673548B2 (ja) * 2003-11-12 2011-04-20 東京エレクトロン株式会社 基板処理装置及びその制御方法
TWI278416B (en) * 2004-12-09 2007-04-11 Au Optronics Corp Cassette stocker
JP4577886B2 (ja) * 2005-01-21 2010-11-10 東京エレクトロン株式会社 基板搬送処理装置及び基板搬送処理装置における障害対策方法並びに基板搬送処理装置における障害対策用プログラム
US9099506B2 (en) * 2005-03-30 2015-08-04 Brooks Automation, Inc. Transfer chamber between workstations
DE102005017164A1 (de) * 2005-04-14 2006-10-19 Leica Microsystems Semiconductor Gmbh Einrichtung zum Handhaben scheibenförmiger Objekte
KR100621775B1 (ko) * 2005-04-15 2006-09-15 삼성전자주식회사 기판 세정장치
US8118535B2 (en) * 2005-05-18 2012-02-21 International Business Machines Corporation Pod swapping internal to tool run time
KR101291794B1 (ko) * 2006-03-17 2013-07-31 엘지디스플레이 주식회사 액정표시패널 제조 시스템 및 이에 의해 제조된액정표시패널
DE102006019785B4 (de) * 2006-04-28 2009-01-08 Mühlbauer Ag Vorrichtung und Verfahren zum aufeinanderfolgenden Transport einer Mehrzahl von GSM-Chipkarten
TWI476855B (zh) * 2006-05-03 2015-03-11 Gen Co Ltd 基板傳輸設備、和使用該設備的高速基板處理系統
US7828504B2 (en) * 2006-05-12 2010-11-09 Axcellis Technologies, Inc. Combination load lock for handling workpieces
US8398355B2 (en) * 2006-05-26 2013-03-19 Brooks Automation, Inc. Linearly distributed semiconductor workpiece processing tool
US7896602B2 (en) 2006-06-09 2011-03-01 Lutz Rebstock Workpiece stocker with circular configuration
JP2008091645A (ja) * 2006-10-02 2008-04-17 Tokyo Electron Ltd 半導体製造装置、半導体装置の製造方法及び記憶媒体
US9122272B2 (en) * 2006-10-06 2015-09-01 Dynamic Micro Systems Redundantable robot assembly for workpiece transfer
WO2008041169A2 (en) * 2006-10-06 2008-04-10 Dynamic Micro Systems Redundantable robot assembly for workpiece transfer
US8182198B2 (en) * 2006-10-06 2012-05-22 Dynamic Micro Systems Semiconductor Equipment Gmbh Redundantable robot assembly for workpiece transfer
US20080112787A1 (en) 2006-11-15 2008-05-15 Dynamic Micro Systems Removable compartments for workpiece stocker
KR100803562B1 (ko) * 2006-12-28 2008-02-15 세메스 주식회사 기판 처리 장치
KR100819176B1 (ko) * 2006-12-29 2008-04-04 주식회사 케이씨텍 대면적 기판 공정 챔버 시스템
JP4896899B2 (ja) * 2007-01-31 2012-03-14 東京エレクトロン株式会社 基板処理装置およびパーティクル付着防止方法
KR100855877B1 (ko) * 2007-02-23 2008-09-03 세메스 주식회사 기판 처리 장치 및 그 장치에서의 기판 정렬 방법
KR100839187B1 (ko) * 2007-02-23 2008-06-17 세메스 주식회사 반도체 제조 설비의 트랜스퍼 챔버 및 그 챔버에서의 기판반송 방법
US20080206022A1 (en) * 2007-02-27 2008-08-28 Smith John M Mult-axis robot arms in substrate vacuum processing tool
US20080206036A1 (en) * 2007-02-27 2008-08-28 Smith John M Magnetic media processing tool with storage bays and multi-axis robot arms
US8950998B2 (en) * 2007-02-27 2015-02-10 Brooks Automation, Inc. Batch substrate handling
KR20150038360A (ko) 2007-05-18 2015-04-08 브룩스 오토메이션 인코퍼레이티드 빠른 교환 로봇을 가진 컴팩트 기판 운송 시스템
KR100902614B1 (ko) * 2007-07-16 2009-06-11 세메스 주식회사 반도체 제조 장치
KR100887161B1 (ko) * 2007-08-03 2009-03-09 주식회사 에이디피엔지니어링 플라즈마 처리장치
WO2009031232A1 (ja) * 2007-09-07 2009-03-12 Canon Anelva Corporation スパッタリング方法および装置
DE102007047600A1 (de) * 2007-10-05 2009-04-23 Scolomatic Gmbh Greifersystem
CN101842890A (zh) * 2007-11-09 2010-09-22 佳能安内华股份有限公司 在线型晶圆输送装置
JP2009181605A (ja) * 2008-01-29 2009-08-13 Fuji Electric Device Technology Co Ltd 磁気記録媒体の製造方法および磁気記録媒体の製造に用いられる連結装置
JP4564078B2 (ja) * 2008-04-28 2010-10-20 東京エレクトロン株式会社 基板処理装置
US10434804B2 (en) 2008-06-13 2019-10-08 Kateeva, Inc. Low particle gas enclosure systems and methods
US8383202B2 (en) 2008-06-13 2013-02-26 Kateeva, Inc. Method and apparatus for load-locked printing
US10442226B2 (en) 2008-06-13 2019-10-15 Kateeva, Inc. Gas enclosure assembly and system
US11975546B2 (en) 2008-06-13 2024-05-07 Kateeva, Inc. Gas enclosure assembly and system
US9604245B2 (en) 2008-06-13 2017-03-28 Kateeva, Inc. Gas enclosure systems and methods utilizing an auxiliary enclosure
US8899171B2 (en) 2008-06-13 2014-12-02 Kateeva, Inc. Gas enclosure assembly and system
US9048344B2 (en) 2008-06-13 2015-06-02 Kateeva, Inc. Gas enclosure assembly and system
JP5097627B2 (ja) * 2008-06-27 2012-12-12 株式会社日立ハイテクノロジーズ 真空処理装置
US8992153B2 (en) * 2008-06-30 2015-03-31 Intevac, Inc. System and method for substrate transport
US9157145B2 (en) 2008-07-29 2015-10-13 Intevac, Inc. Processing tool with combined sputter and evaporation deposition sources
JP5253517B2 (ja) * 2008-12-24 2013-07-31 キヤノンアネルバ株式会社 真空処理装置のデータ収集システム
JP5358366B2 (ja) 2009-09-14 2013-12-04 東京エレクトロン株式会社 基板処理装置及び方法
TW201123340A (en) * 2009-11-12 2011-07-01 Hitachi High Tech Corp Vacuum processing system and vacuum processing method of semiconductor processing substrate
JP5476171B2 (ja) * 2010-03-16 2014-04-23 株式会社日立ハイテクノロジーズ 真空処理装置
JP2012009519A (ja) * 2010-06-23 2012-01-12 Hitachi High-Technologies Corp 真空処理装置
JP5785712B2 (ja) * 2010-12-28 2015-09-30 株式会社日立ハイテクノロジーズ 真空処理装置
KR101685752B1 (ko) * 2011-02-08 2016-12-12 도쿄엘렉트론가부시키가이샤 기판 중계 장치, 기판 중계 방법, 기판 처리 장치
WO2012140799A1 (ja) * 2011-04-11 2012-10-18 株式会社アルバック 成膜装置
KR101271383B1 (ko) * 2011-04-15 2013-06-10 삼성전자주식회사 웨이퍼 버퍼 장치 및 이를 갖는 웨이퍼 가공 시스템
CN103930984B (zh) 2011-11-23 2016-09-21 日本电产三协株式会社 工件搬运系统
JP5810929B2 (ja) * 2012-01-13 2015-11-11 シンフォニアテクノロジー株式会社 ウェーハ搬送装置
KR101970449B1 (ko) 2013-12-26 2019-04-18 카티바, 인크. 전자 장치의 열 처리를 위한 장치 및 기술
CN105637669B (zh) 2014-01-21 2017-11-03 科迪华公司 用于电子装置封装的设备和技术
US9343678B2 (en) 2014-01-21 2016-05-17 Kateeva, Inc. Apparatus and techniques for electronic device encapsulation
KR102315014B1 (ko) * 2014-04-30 2021-10-20 카티바, 인크. 가스 쿠션 장비 및 기판 코팅 기술
US9673071B2 (en) 2014-10-23 2017-06-06 Lam Research Corporation Buffer station for thermal control of semiconductor substrates transferred therethrough and method of transferring semiconductor substrates
KR102417929B1 (ko) * 2015-08-07 2022-07-06 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102444876B1 (ko) * 2015-11-12 2022-09-19 세메스 주식회사 기판 처리 장치
JP6830772B2 (ja) * 2016-08-04 2021-02-17 株式会社ジャパンディスプレイ 積層膜の製造装置、及び積層膜の製造方法
JP6972110B2 (ja) 2017-03-24 2021-11-24 東京エレクトロン株式会社 基板処理装置
US20200194297A1 (en) * 2018-12-14 2020-06-18 Xia Tai Xin Semiconductor (Qing Dao) Ltd. Track system and method of processing semiconductor wafers
US20220333272A1 (en) * 2019-10-03 2022-10-20 Lpe S.P.A. Treating arrangement with storage chamber and epitaxial reactor

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5739430U (zh) * 1980-08-14 1982-03-03
JPH06105742B2 (ja) 1983-11-28 1994-12-21 株式会社日立製作所 真空処理方法及び装置
JPS60238134A (ja) * 1984-04-16 1985-11-27 Tokuda Seisakusho Ltd 真空処理装置
US5882165A (en) * 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
JP2646821B2 (ja) 1990-02-17 1997-08-27 富士電機株式会社 半導体製造装置
JPH04247632A (ja) 1991-02-01 1992-09-03 Fujitsu Ltd 半導体装置
JPH05275511A (ja) * 1991-03-01 1993-10-22 Tokyo Electron Ltd 被処理体の移載システム及び処理装置
KR0156263B1 (ko) * 1991-05-28 1998-12-01 이노우에 아키라 이온주입장치
JP3130630B2 (ja) * 1992-02-13 2001-01-31 東京エレクトロン株式会社 処理装置
JP3468430B2 (ja) * 1994-02-15 2003-11-17 東京エレクトロン株式会社 位置検出案内装置、位置検出案内方法及び真空処理装置
JPH0697258A (ja) * 1992-09-17 1994-04-08 Hitachi Ltd 連続真空処理装置
TW295677B (zh) * 1994-08-19 1997-01-11 Tokyo Electron Co Ltd
JP3732250B2 (ja) * 1995-03-30 2006-01-05 キヤノンアネルバ株式会社 インライン式成膜装置
JPH0936198A (ja) * 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
JP2918194B2 (ja) * 1995-12-01 1999-07-12 株式会社半導体エネルギー研究所 プラズマ処理装置およびプラズマ処理方法
JP3454034B2 (ja) * 1996-09-13 2003-10-06 株式会社日立製作所 真空処理装置
JPH11135600A (ja) * 1997-08-25 1999-05-21 Shibaura Mechatronics Corp ロボット装置および処理装置
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
US6257827B1 (en) * 1997-12-01 2001-07-10 Brooks Automation Inc. Apparatus and method for transporting substrates
US6079927A (en) * 1998-04-22 2000-06-27 Varian Semiconductor Equipment Associates, Inc. Automated wafer buffer for use with wafer processing equipment
AU6763000A (en) * 1999-08-11 2001-03-05 Multilevel Metals, Inc. Load lock system for foups
JP4021125B2 (ja) * 2000-06-02 2007-12-12 東京エレクトロン株式会社 ウェハ移載装置の装置ユニット接続時に用いられるレールの真直性保持装置
JP2002026108A (ja) * 2000-07-12 2002-01-25 Tokyo Electron Ltd 被処理体の移載機構、処理システム及び移載機構の使用方法
JP4937459B2 (ja) * 2001-04-06 2012-05-23 東京エレクトロン株式会社 クラスタツールおよび搬送制御方法
US6729824B2 (en) * 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103035467A (zh) * 2011-07-29 2013-04-10 细美事有限公司 处理基板的装置、系统及方法
TWI474430B (zh) * 2011-07-29 2015-02-21 Semes Co Ltd 用以處理基板之裝置、系統及方法
US8974601B2 (en) 2011-07-29 2015-03-10 Semes Co., Ltd. Apparatuses, systems and methods for treating substrate
CN103035467B (zh) * 2011-07-29 2016-01-20 细美事有限公司 处理基板的装置、系统及方法
CN112588624A (zh) * 2020-12-24 2021-04-02 西安奕斯伟硅片技术有限公司 一种晶圆分选设备及晶圆分选方法

Also Published As

Publication number Publication date
EP1146548A1 (en) 2001-10-17
KR100870816B1 (ko) 2008-11-27
KR20010081006A (ko) 2001-08-25
US20040105737A1 (en) 2004-06-03
US7198448B2 (en) 2007-04-03
EP1146548A4 (en) 2009-04-15
KR100676029B1 (ko) 2007-01-29
US20060182539A1 (en) 2006-08-17
KR20060088909A (ko) 2006-08-07
WO2000030156A1 (fr) 2000-05-25
US7025554B2 (en) 2006-04-11

Similar Documents

Publication Publication Date Title
TW442891B (en) Vacuum processing system
TW444239B (en) Vacuum process device
JP4912253B2 (ja) 基板搬送装置、基板処理装置及び基板搬送方法
JP3650495B2 (ja) 半導体処理装置、その基板交換機構及び基板交換方法
KR100854142B1 (ko) 로드 로크 장치 및 기판 처리 시스템
TW385488B (en) substrate processing device
JP2003077974A (ja) 基板処理装置および半導体装置の製造方法
TW523784B (en) Substrate processing apparatus and substrate processing method
JP2002501303A (ja) 2ウエハ・ロードロック・ウエハ処理装置ならびにその装填および排出方法
TW385497B (en) Resist agent treatment apparatus
JP4227623B2 (ja) 半導体処理装置
TW536741B (en) Substrate processing equipment and method and covering member for use therein
JP3236724B2 (ja) 真空処理装置
TW504844B (en) Resist process device and resist process method
JP2003218018A (ja) 処理装置
JP4885023B2 (ja) ロードロック装置および基板の処理システム
JPH1079412A (ja) 半導体製造装置
JP2010118446A (ja) 基板搬送処理装置
JPH0653304A (ja) 減圧処理装置
JP3816929B2 (ja) 半導体処理装置
JP2004303835A (ja) 基板保管装置
TW201543599A (zh) 立式熱處理裝置
JP3605692B2 (ja) 搬送処理方法及び搬送処理装置
JP2002173775A (ja) 半導体製造装置および半導体装置の製造方法
JP2009065189A (ja) 基板処理装置、基板処理方法および半導体装置の製造方法

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees