KR20060088909A - 진공 처리 시스템 - Google Patents

진공 처리 시스템 Download PDF

Info

Publication number
KR20060088909A
KR20060088909A KR1020067014534A KR20067014534A KR20060088909A KR 20060088909 A KR20060088909 A KR 20060088909A KR 1020067014534 A KR1020067014534 A KR 1020067014534A KR 20067014534 A KR20067014534 A KR 20067014534A KR 20060088909 A KR20060088909 A KR 20060088909A
Authority
KR
South Korea
Prior art keywords
chamber
vacuum
wafer
transfer
processing
Prior art date
Application number
KR1020067014534A
Other languages
English (en)
Other versions
KR100870816B1 (ko
Inventor
준 오자와
준 히로세
마사키 나루시마
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP10343596A external-priority patent/JP2000150618A/ja
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR20060088909A publication Critical patent/KR20060088909A/ko
Application granted granted Critical
Publication of KR100870816B1 publication Critical patent/KR100870816B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

본 발명의 진공 처리 유닛은 피처리체가 세트되는 로드 포트와, 로드 포트에 인접하여 설치됨과 동시에, 대기압으로 설정된 내부 공간을 구비하고, 로드 포트에 대하여 피처리체를 반출입하는 이동 가능한 제 1 반송 장치를 상기 내부 공간에 갖는 공통 반송실과, 피처리체에 대하여 소정의 처리를 실시하기 위한 하나의 처리실과, 처리실에 접속되고 또한 진공압으로 설정되는 내부 공간을 갖고 또한 처리실에 대하여 피처리체를 반출입하는 제 2 반송 장치를 상기 내부 공간내에 갖는 진공 반송실을 구비한 처리 유닛을 포함하고, 공통 반송실에는 복수의 처리 유닛이 개별로 또한 서로 대략 평행하게 접속되고, 각 처리 유닛은 그 진공 반송실이 공통 반송실에 접속됨과 동시에, 공통 반송실에 대하여 대략 직교하는 방향으로 직선적으로 연장하고, 제 1 반송 장치를 거쳐서 진공 반송실에 대하여 피처리체가 반출입되는 것을 특징으로 한다.

Description

진공 처리 시스템{VACUUM PROCESSING SYSTEM}
도 1은 본 발명의 제 1 실시예에 관한 진공 처리 시스템의 개략 구성도,
도 2는 본 발명의 제 2 실시예에 관한 진공 처리 시스템의 개략 구성도,
도 3은 본 발명의 제 3 실시예에 관한 진공 처리 시스템의 개략 구성도,
도 4는 도 3의 진공 처리 시스템의 변형예를 도시하는 개략 구성도,
도 5는 도 4의 진공 처리 시스템의 변형예를 도시하는 개략 구성도,
도 6은 본 발명의 제 4 실시예에 관한 진공 처리 시스템의 개략 구성도,
도 7은 처리 유닛이 공통 반송실에 대하여 탈착 가능하게 접속된 진공 처리 유닛의 일 예를 도시하는 개략 구성도,
도 8은 도 7의 진공 처리 유닛의 측면도,
도 9a는 도 7의 진공 처리 유닛의 진공 반송실에 설치되는 반송 아암의 평면도,
도 9b는 도 9a의 반송 아암의 측면도,
도 10a 내지 도 10k는 도 9a의 반송 아암의 작동 형태를 도시하는 도면,
도 11은 본 발명의 제 5 실시예에 관한 진공 처리 시스템의 개략 구성도,
도 12는 본 발명의 제 6 실시예에 관한 진공 처리 시스템의 개략 구성도,
도 13은 본 발명의 제 7 실시예에 관한 진공 처리 시스템의 개략 구성도,
도 14는 본 발명의 제 8 실시예에 관한 진공 처리 시스템의 개략 구성도,
도 15는 진공 처리 시스템의 다른 구성을 도시하는 개략도,
도 16은 진공 처리 시스템의 다른 구성을 도시하는 개략도,
도 17은 도 16의 진공 처리 시스템의 변형예를 도시하는 개략 구성도,
도 18은 종래의 클러스터 툴의 개략 구성도.
<도면의 주요부분에 대한 부호의 설명>
12A : 제 1 처리 유닛 12B : 제 2 처리 유닛
14 : 스퍼터 처리실 16 : 제 1 반송실
18 : 제 1 로드 록실 26 : 트랜스퍼 테이블
30 : 제 2 반송실 54 : 카세트 용기
60 : 냉각실 74 : 반송용기
99 : 스테이지 용기 201 : 진공 처리실
202 : 반송 아암 206 : 웨이퍼 카세트
본 발명은 반도체 LCD 기판 등의 피처리체에 대하여 소정의 처리를 실시하기 위한 진공 처리 시스템에 관한 것이다.
일반적으로, 반도체 장치를 제조하기 위해서는 반도체 웨이퍼에 성막 처리, 산화 처리, 확산 처리, 에칭 처리, 어닐링 처리 등의 각종의 처리를 실행해야 하며, 또한 성막 처리 중에도 절연막의 성막이나 함유 금속이 다른 성막 등 여러가지의 성막 처리가 개재한다.
그리고, 최근에는 처리량의 향상, 이물질 대책, 자연 산화막의 형성 방지 등의 견지에서, 상술과 같은 각종 처리를 실행하는 처리실을 적절히 조합하여 각 처리실 사이를 반송실로 연결함으로써 형성한 진공 처리 시스템으로서, 소위 클러스터 툴이 널리 채용되어 있다.
도 18은 이러한 종래의 진공 처리 시스템(2)을 도시하는 개략 구성도이다. 도시하는 바와 같이, 이 진공 처리 시스템(2)은 반송실(4)에 대하여, 예컨대 3개의 처리실(6A, 6B, 6C)이 각각 게이트 밸브(G1 내지 G3)를 거쳐서 연결되어 있다. 또한, 이 반송실(4)에는 2개의 카세트실(8A, 8B)이 각각 게이트 밸브(G4, G5)를 거쳐서 접속되어 있다. 그리고, 반송실(4)내에 굽힘펼침 및 선회 가능하게 설치한 반송 아암(10)을 구동시킴으로써, 카세트(C)내의 반도체 웨이퍼(W)를 취입하여 소망하는 처리실로 또는 처리실(6A, 6B, 6C) 사이에서 웨이퍼(W)를 탑재 이송하도록 되어 있다. 이 경우, 각 처리실(6A 내지 6C)의 처리의 종류는 필요에 따라 적절히 선택되어 그것에 대응한 처리실이 설치된다.
그런데, 상술한 바와 같은 진공 처리 시스템에 있어서는 하나의 반송 아암(10)에 의해서, 3개의 처리실(6A 내지 6C) 및 2개의 카세트실(8A, 8B)내의 웨이퍼(W)를 관리하면서 반송하여, 이들 사이에서 트랜스퍼를 실행하도록 되어 있음으 로써, 반송 아암(10)은 매우 복잡한 움직임이 요구되어, 처리량을 저하시켜 버린다고 하는 문제가 발생했다. 특히, 각 처리실(6A 내지 6C)의 성능의 상승 등에 의해서 개개의 처리실에서의 처리 시간이 짧아짐에 따라, 반송 아암(10)의 움직임은 보다 복잡하게 되어, 더욱 처리량이 저하할 수 밖에 없었다.
또한, 반도체 장치 자체도 다층 구조화하는 경향이 있기 때문에, 함유하는 금속의 종류가 다른 막도 다층에 걸쳐 형성해야 하고, 이 때문에 함유 금속이 다른 성막 가스를 이용하는 처리실이 집합되는 경우도 있다. 이러한 상황하에서 상술한 바와 같이 진공 처리 시스템의 구조에 있어서는, 처리후에 처리실내는 N2 퍼지 등의 후에 진공배기된다고는 해도, 약간 잔류하는 금속 가스 등이 웨이퍼(W)의 반출입시에 반송실(4)내에 침입하여, 이것이 원인으로 반도체 웨이퍼(W)에 불량인 금속오염을 야기하는 경우가 생긴다고 하는 문제도 있었다. 즉, 전술한 바와 같이 구성된 진공 처리 시스템은 다각형의 반송실(4)에 대하여 처리실(6A 내지 6C)이 방사상으로 배치되어 있고, 각 처리실(6A 내지 6C)의 개구부가 반송실(4)의 중앙부를 향하여 지향하고 있기 때문에, 처리실(6A 내지 6C)이 개방되었을 때에 인접하는 처리실 사이에서 크로스 오염(cross contamination) 발생의 우려가 있다.
또한, 하나의 반송실(4)에 대하여 복수개의 처리실(6A 내지 6C)이 설치되어 있기 때문에, 1대의 처리실의 고장시 및 유지 보수시에 모든 처리실을 정지시켜야 하므로, 진공 처리 시스템을 정지시켜야만 했다.
또한, 처리실(6A 내지 6C) 또는 반송실(4)내의 반송 아암(10)의 유지 보수를 고려하여 처리실 사이에 공간(간격)을 마련할 필요가 있기 때문에, 장치 전체가 대형화하여 비용 상승의 원인이 되고 있다.
본 발명의 목적은 반송 경로의 복잡함을 회피하여 처리량을 향상시킬 수 있는 동시에, 크로스 오염 발생의 우려가 없고, 장치의 소형화 및 비용 절감을 도모할 수 있는 진공 처리 시스템을 제공하는 것에 있다.
상기 목적은 이하의 진공 처리 시스템에 의해서 달성된다. 즉, 이 진공 처리 시스템은 피처리체가 세트되는 로드 포트(load port)와, 로드 포트에 인접하여 설치됨과 동시에, 대기압으로 설정된 내부 공간을 구비하고, 로드 포트에 대하여 피처리체를 반출입하는 이동 가능한 제 1 반송 장치를 상기 내부 공간에 갖는 공통 반송실과, 피처리체에 대하여 소정의 처리를 실시하기 위한 하나의 처리실과, 처리실에 접속되고 또한 진공압으로 설정되는 내부 공간을 갖고 또한 처리실에 대하여 피처리체를 반출입하는 제 2 반송 장치를 상기 내부 공간내에 갖는 진공 반송실을 구비한 처리 유닛을 포함하고, 공통 반송실에는 복수의 처리 유닛이 개별로 또한 서로 대략 평행하게 접속되고, 각 처리 유닛은 그 진공 반송실이 공통 반송실에 접속됨과 동시에, 공통 반송실에 대하여 대략 직교하는 방향으로 직선적으로 연장하여 제 1 반송 장치를 거쳐서 진공 반송실에 대하여 피처리체가 반출입되는 것을 특징으로 한다.
이하, 도면을 참조하면서 본 발명의 실시예에 대하여 설명한다.
도 1은 본 발명의 진공 처리 시스템의 제 1 실시예를 도시하는 개략 구성도이다.
도시하는 바와 같이, 이 진공 처리 시스템(SYS1)은 피처리체인 반도체 웨이퍼(W)에 제 1 처리를 실시하는 제 1 처리 유닛(12A)과, 제 2 처리를 실시하는 제 2 처리 유닛(12B)으로 주로 구성된다.
여기서는 제 1 처리 유닛(12A)측에서, 예컨대 Ti/TiN막을 스퍼터링으로 성막하고, 제 2 처리 유닛(12B)에서 텅스텐막을 열 CVD(Chemical Vapor Deposition)에 의해서 성막하는 경우를 예로 들어 설명한다.
우선, 제 1 처리 유닛(12A)은 스퍼터링에 의해서 Ti/TiN막을 연속 처리하는 스퍼터 처리실(14)과, 이 처리실(14)에 반도체 웨이퍼(W)를 반출입하는 제 1 반송실(16)과, 이 반송실(16)에 대하여 웨이퍼(W)를 반출입하는 제 1 로드 록실(18)을 갖고 있다. 스퍼터 처리실(14)은 성막 가스의 공급 및 진공배기가 가능하게 되어 있고, 내부에는 웨이퍼(W)를 탑재하는 서셉터(20)가 설치됨과 동시에, 처리실내를 진공 상태로 하는 진공 펌프(22)가 장착되어 있다. 그리고, 이 스퍼터 처리실(14)과 제 1 반송실(16) 사이에는 기밀하게 개폐 가능하도록 되어 있는 게이트 밸브(G11)가 개설되어 있다.
또한, 제 1 반송실(16)은 N2 퍼지 및 진공배기 가능한 진공 반송실로서 형성 되어 있고, 이 내부에는 예컨대 굽힘펼침 및 선회 가능하게 되어 있는 다관절 반송 아암(반송 장치)(24)을 내부에 구비하여 웨이퍼(W)의 트랜스퍼를 실행하도록 되어 있다. 이 제 1 반송실(16)과 제 1 로드 록실(18) 사이에는 기밀하게 개폐 가능하도록 되어 있는 게이트 밸브(G12)가 개재된다.
또한, 제 1 로드 록실(18)은 N2 퍼지 및 진공배기 가능한 진공 반송실로서 형성되어 있고, 웨이퍼(W)를 탑재하는 트랜스퍼 테이블(26)(transfer table)을 내부에 구비하고 있다. 이 트랜스퍼 테이블(26)에는 필요에 따라서 냉각 자켓을 설치하여 처리가 완료된 웨이퍼(W)를 냉각하거나, 또는 가열램프를 설치하여 처리전의 웨이퍼(W)를 예열하도록 해도 무방하다. 또한, 트랜스퍼 테이블(26) 자체를 복수단 구조로 하여 복수매의 웨이퍼(W)를 탑재할 수 있도록 해도 무방하다.
한편, 제 2 처리 유닛(12B)에는 CVD에 의해서 예컨대 텅스텐막을 성막하는 CVD 처리실(28)과, 이 처리실(28)에 웨이퍼(W)를 반출입하는 제 2 반송실(30)과, 이 반송실(30)에 대하여 웨이퍼(W)를 반출입하는 제 2 로드 록실(32)을 갖고 있다. CVD 처리실(28)은 성막 가스의 공급 및 진공배기가 가능하도록 되어 있고, 내부에는 웨이퍼(W)를 탑재하는 서셉터(34)가 설치되어 있다. 그리고, 이 CVD 처리실(28)과 제 2 반송실(30) 사이에는 기밀하게 개폐 가능하게 되어 있는 게이트 밸브(G13)가 개설되어 있다.
또한, 제 2 반송실(30)은 N2 퍼지 및 진공배기 가능한 진공 반송실로서 형성되어 있고, 예컨대 굽힘펼침 및 선회 가능하게 되어 있는 다관절 반송 아암(36)을 내부에 구비하여 웨이퍼(W)의 트랜스퍼를 실행하도록 되어 있다. 이 제 2 반송실(30)과 제 2 로드 록실(32) 사이에는 기밀하게 개폐 가능하게 되어 있는 게이트 밸브(G14)가 개재된다.
제 2 로드 록실(32)은 N2 퍼지 및 진공배기 가능한 진공 반송실로서 형성되어 있고, 웨이퍼(W)를 탑재하는 트랜스퍼 테이블(35)을 내부에 구비하고 있다. 이 트랜스퍼 테이블(35)에는 필요에 따라서 냉각 자켓을 설치하여 처리가 완료된 웨이퍼(W)를 냉각하거나, 또는 가열램프를 설치하여 처리전의 웨이퍼(W)를 예열하도록 해도 무방하다. 또한, 트랜스퍼 테이블(35) 자체를 2단 구조로 하여 복수매(예컨대 2매)의 웨이퍼(W)를 탑재할 수 있도록 해도 무방하다.
제 1 반송실(16)과 제 2 반송실(30) 사이에는 중간 패스실(38)이 개재되어 있다. 이 중간 패스실(38)도 N2 퍼지 및 진공배기가 가능하도록 되어 있고, 이 내부에는 웨이퍼(W)를 탑재하기 위한 탑재대(40)가 설치되어 있다. 그리고, 이 중간 패스실(38)과 양측의 제 1 반송실(16) 및 제 2 반송실(30) 사이에는 각각 기밀하게 개폐 가능하게 되어 있는 게이트 밸브(G15, G16)가 개재되어 있다. 따라서, 이 중간 패스실(38)을 거쳐서, 제 1 및 제 2 반송실(16, 30) 사이에서 웨이퍼(W)의 트랜스퍼 내지 이동을 실행할 수 있도록 되어 있다.
제 1 및 제 2 로드 록실(18, 32)은 각각 기밀하게 개폐 가능하게 되어 있는 게이트 밸브(G17, G18)를 거쳐서 공통의 피처리체 반출입 스테이지(내부 공간이 대기압으로 설정된 공통 반송실)(42)에 연결되어 있다. 이 반출입 스테이지(42)의 내부 공간내에는 스테이지(42)의 길이 방향을 따라 배치된 도시하지 않은 안내레일을 따라 이동하는 스테이지용 반송 아암(반송 장치)(44)이 설치되어 있고, 이 반송 아암(44)은 예컨대 각각 따로따로 구동되는 웨이퍼 반송용 다관절 포크(46A, 46B)를 갖고 있다. 이 다관절 포크(46A, 46B)도 굽힘펼침 및 선회 가능하게 되어 있다. 이 스테이지(42)의 한쪽 측에는 내부에 회전 탑재대(48)와 웨이퍼(W)의 둘레부를 광학적으로 검출하는 광학 센서(50)를 구비한 위치 정렬실(52)이 설치되어 있고, 웨이퍼(W)의 오리엔테이션 플랫이나 노치 등을 검출하여 위치 정렬을 실행하도록 되어 있다. 이 스테이지(42)의 전체는 예컨대 대기압하에서 N2 가스의 다운플로가 형성되어 있는 직사각형 형상의 스테이지 용기(99)내에 수용되어 있다. 그리고, 이 스테이지(42)의 전방에는 예컨대 4개의 카세트 용기(54)를 탑재할 수 있는 로드 포트로서의 카세트대(56)가 설치되어 있다. 또한, 각 카세트 용기(54)는 캡 본체를 마련하여 밀폐 가능하게 되어 있고, 그 내부에 예컨대 25매의 12인치 웨이퍼(W)를 다단으로 지지하고 있다.
다음에, 이상과 같이 구성된 진공 처리 시스템(SYS1)의 동작에 대하여 설명한다.
도 1중에 있어서 화살표(X1)는 웨이퍼(W)의 반송 경로의 일 예를 도시한다. 우선, 소정의 카세트 용기(54)내의 미처리 웨이퍼(W)는 스테이지용 반송 아암(44)을 이용하여 카세트 용기(54)로부터 출력되고, 위치 정렬실(52)의 회전 탑재대(48)상에 탑재되어 위치 정렬된다.
위치 정렬된 웨이퍼(W)는 다시 스테이지용 반송 아암(44)의 한쪽의 다관절 포크, 예컨대 참조부호(46A)를 이용하여 반송되고, 다음에 화살표(X1)를 따라서 대기압으로 복귀되어 있는 제 1 로드 록실(18)내의 트랜스퍼 테이블(26)상에 탑재된다. 이 때, 필요에 따라서 웨이퍼(W)를 가열하여 웨이퍼(W) 표면의 부착 가스를 제거하는 가스제거 또는 예열을 실행한다.
이 트랜스퍼 후, 게이트 밸브(G17)를 닫아 제 1 로드 록실(18)내를 밀폐하고, 이 내부를 소정의 압력까지 진공상태로 한 후에, 게이트 밸브(G12)를 이용하여 제 1 로드 록실(18)을 사전에 진공 상태로 되어 있는 제 1 반송실(16)내와 연통한다. 그리고, 제 1 반송실(16)내의 반송 아암(24)을 이용하여 트랜스퍼 테이블(26)상의 웨이퍼(W)를 유지하고, 이것을 사전에 진공 상태로 되어 있는 스퍼터 처리실(14)내의 서셉터(20)상으로 탑재 이송한다.
이와 같이 웨이퍼(W)의 탑재 이송이 완료하면, 게이트 밸브(G11)를 닫고, 스퍼터 처리실(14)내에서 소정의 프로세스 조건에 근거하여 웨이퍼(W)에 Ti/TiN막의 성막 처리를 실행한다. 성막 처리후의 웨이퍼(W)는 스퍼터 처리실(14)내의 잔류 처리 가스가 배기된 후에 반송 아암(24)에 의해서 출력되고, 사전에 진공 상태로 되어 있는 중간 패스실(38)내의 탑재대(40)상으로 탑재 이송된다. 그리고, 게이트 밸브(G15)를 닫고 중간 패스실(38)을 제 1 반송실(16)측에 대하여 격절하여, 중간 패스실(38)내에 금속 성막 가스 등이 유입하지 않도록 한다. 또한, 여기에서 필요에 따라 중간 패스실(38)내를 더욱 진공 상태로 하여 유입할 가능성이 있는 매우 소량의 금속 성막 가스를 완전히 배기하도록 해도 무방하다.
다음에, 게이트 밸브(G16)를 이용하여 중간 패스실(38)과 제 2 반송실(30)내를 연통하고, 제 2 반송실(30)내의 반송 아암(36)을 이용하여 탑재대(40)상의 웨이퍼(W)를 유지하고, 이 웨이퍼(W)를 사전에 진공 상태로 되어 있는 CVD 처리실(28)내에 반입하여 서셉터(34)상에 탑재한다.
다음에, 게이트 밸브(G13)를 닫고, CVD 처리실(28)내에서 소정의 프로세스 조건에 근거하여 웨이퍼(W)에 텅스텐막의 성막 처리를 실행한다.
성막후의 웨이퍼(W)는 CVD 처리실(28)내의 잔류 처리 가스가 배기된 후에, 반송 아암(36)에 의해서 CVD 처리실(28)로부터 출력된다. 그리고, 이 웨이퍼(W)는 게이트 밸브(G14)를 개방함으로써 사전에 진공 상태로 되어 있는 제 2 로드 록실(32)내로 반입되어 트랜스퍼 테이블(35)상에 탑재된다.
다음에, 게이트 밸브(G14)를 폐쇄한 후에, 이 제 2 로드 록실(32)내를 N2 퍼지하여 대기압으로 복귀시키고 트랜스퍼 테이블(35)상에서 웨이퍼(W)를 냉각시킨 후에, 게이트 밸브(G18)를 개방하여 제 2 로드 록실(32)을 피처리체 반출입 스테이지(42)측과 연통시킨다. 그리고, 이 스테이지(42)의 스테이지용 반송 아암(44)을 이용하여, 트랜스퍼 테이블(35)상의 처리가 완료된 웨이퍼(W)를 유지하고, 이 웨이퍼(W)를 소정의 카세트 용기(54)내에 수용한다. 이러한 일련의 동작이 화살표(X1)로 도시하는 반송 경로를 따라서 순차적으로 실행되게 된다.
이상 설명한 바와 같이, 본 실시예에 있어서 각 처리 유닛[12A(12B)]은 각각 처리실[14(28)]과 그것에 대응한 진공 반송실[16, 18(30, 32)]을 구비함과 동시에, 공통의 피처리체 반출입 스테이지(대기압으로 설정된 공통 반송실)(42)에 대하여 개별적으로 접속되어 있다. 즉, 처리실과 진공 반송실이 1대 1로 대응하여 설치된 처리완결형 복수의 처리 유닛(12A, 12B)이 공통의 피처리체 반출입 스테이지(42)에 개별적으로 접속되어 있다. 또한, 각 처리 유닛(12A, 12B)은 그 반송실(16, 30)이 서로 연통·차단 가능한 게이트 밸브(G15, G16)와 중간 패스실(38)을 거쳐서 서로 연결되고, 필요에 따라 서로 격절되어 분리될 수 있도록 되어 있다. 따라서, 복수종의 처리를 실행할 수 있는 한편, 반송실(16, 30) 사이[처리 유닛(12A, 12B) 사이]에서의 상호의 기류의 유출입을 방지할 수 있다. 즉, 본 실시예와 같이 이종(異種)의 금속 성막방법, 예컨대 PVD법 및 CVD법을 동시에 이용하는 것 같은 경우이더라도, 처리 유닛(12A, 12B) 사이에서 금속오염이 발생하는 것을 미연에 방지할 수 있다(크로스 오염의 발생이 방지됨). 또한, 한쪽의 처리 유닛[12A(12B)]에서 처리가 실행되고 있는 동안, 다른쪽의 처리 유닛[12B(12A)]에서도 처리가 실행될 수 있기 때문에, 처리량을 향상시킬 수 있다. 또한, 도 18에 도시하는 종래 시스템과 달리, 어느 한쪽의 처리 유닛[12A(12B)]에 유지 보수 등을 실행하는 경우에는, 게이트 밸브(G15, G16)를 폐쇄해 놓으면 다른쪽의 처리 유닛[12B(12A)]만이라도 가동시킬 수 있다. 또한, 이 유지 보수작업도 각 실이 도 18에 도시하는 시스템과 비교하여 그만큼 밀집하여 장착되어 있는 것은 아니기 때문에, 용이하게 실행할 수 있다.
또한, 본 실시예에 있어서, 각 처리 유닛(12A, 12B)은 피처리체 반출입 스테이지(42)의 길이 방향[반송 아암(44)의 이동 방향]에 대하여 직교하는 방향으로 연 장하고 또한 서로 평행해지도록 배열되어 있고, 피처리체 반출입 스테이지(42)에서 각 처리 유닛(12A, 12B)으로 반입된 웨이퍼(W)는 처리 유닛(12A, 12B)내의 대략 직선적인 반송 경로를 따라 반송되어 처리된다. 따라서, 웨이퍼(W)의 반송 경로가 복잡하게 교착하는 일이 없고, 웨이퍼(W)를 원활하게 다음 처리실까지 반송할 수 있어, 그 결과 처리량을 향상시킬 수 있다.
또한, 제 1 반송실(16), 중간 패스실(38) 및 제 2 반송실(30)의 나열 방향은 각 처리 유닛(12A, 12B)의 나열 방향에 대하여 직교하고 있으므로, 도 18에 도시하는 바와 같은 종래의 클러스터 툴형의 처리 시스템에 대하여 조립시에 있어서의 레이아웃 배열을 용이하게 실행할 수 있다.
그런데, 전술한 제 1 실시예에 있어서, 제 1 반송실(16) 및 제 2 반송실(30)에는 각각 스퍼터 처리실(14)과 CVD 처리실(28)만이 접속되어 있지만, 이것으로 한정되지 않고, 예컨대 위치 정렬·예비 가열실과 냉각실이 연결되어 있어도 무방하다. 이러한 진공 처리 시스템이 제 2 실시예로서 도 2에 도시되어 있다. 또한, 도 1에 도시하는 제 1 실시예와 동일 부분에 대해서는 동일 도면부호를 부여하여 설명을 생략한다.
도 2에 도시하는 바와 같이, 이 실시예의 진공 처리 시스템(SYS1A)에서, 제 1 반송실(16)의 측벽에는 기밀하게 개폐 가능한 게이트 밸브(G19)를 거쳐서 N2 퍼지 및 진공배기 가능하도록 되어 있는 위치 정렬·예비 가열실(58)이 연결되어 있고, 또한 제 2 반송실(30)의 측벽에는 기밀하게 개폐 가능하게 되어 있는 게이트 밸 브(G20)를 거쳐서 N2 퍼지 및 진공배기 가능하게 되어 있는 냉각실(60)이 연결되어 있다. 위치 정렬·예비 가열실(58)에는 가열 히터를 구비하고 회전 가능하게 되어 있는 회전 탑재대(62)와 광학 센서(64)가 설치되어 있고, 웨이퍼(W)에 대하여 예비가열과 위치 정렬을 동시에 실행하도록 되어 있다.
또한, 이 경우에는 반출입 스테이지(42)의 위치 정렬실(52)을 불필요하게 할 수 있고, 또한 제 1 로드 록실(18)에 있어서의 예비가열을 불필요하게 할 수 있다.
또한, 냉각실(60)에는 냉각대(66)가 설치되어 있어, 웨이퍼(W)를 냉각할 수 있도록 되어 있다.
이 경우에는 웨이퍼(W)의 반송 경로로서는, 예컨대 화살표(X2)로 도시하는 것과 같은 반송 경로가 채용된다. 즉, 반송 아암(24)에 의해서 제 1 로드 록실(18)로부터 위치 정렬 없이 받은 웨이퍼(W)는 위치 정렬·예비 가열실(58)내로 반송되어, 여기서 웨이퍼(W)의 위치 정렬과 예비가열이 실행된다. 그리고, 이 웨이퍼(W)는 다시 반송 아암(24)에 의해서 반출되고, 스퍼터를 위해서 스퍼터 처리실(14)내로 도입되어, 전술한 바와 같이 스퍼터에 의해서 Ti/TiN막이 성막된다.
이 성막후의 웨이퍼(W)는 제 1 실시예의 경우와 같이, 중간 패스실(38)의 양측의 게이트 밸브(G15, 16)를 개폐하여, 제 1 및 제 2 반송실(16, 30) 사이에 기류의 이동이 발생하지 않도록 양 반송실 사이의 격절 상태를 유지한 채로, 제 2 반송실(30)내에 반입되고, 또한 CVD 처리실(28)내에 반입되어 전술한 제 1 실시예와 같이 CVD에 의해서 성막 처리가 실시된다. 또한, 이 웨이퍼(W)는 반송 아암(36)에 의해서 CVD 처리실(28)로부터 출력되고, 냉각실(60)내로 반입되어 게이트 밸브(G20)가 폐쇄된 후에 냉각된다. 그리고, 그 후에 웨이퍼(W)는 냉각실(60)로부터 출력되고, 제 1 실시예의 경우와 같이, 제 2 로드 록실(32)을 거쳐서 본래의 카세트 용기(54)내로 되돌려진다. 또한, 이 경우에는 제 2 로드 록실(32)에 있어서의 냉각 조작은 불필요해진다.
이상과 같이, 본 실시예에 의해서도, 제 1 실시예와 동일한 작용 효과를 얻을 수 있다. 즉, 웨이퍼(W)의 반송 경로가 간단해져 교착하는 일이 없으므로, 웨이퍼(W)를 효율적으로 반송할 수 있어 처리량을 향상시킬 수 있다. 또한, 제 1 반송실(16)로부터 제 2 반송실(30)로 웨이퍼(W)를 반입할 때에도, 양 반송실(16, 30)이 직접적으로 연통 상태로 되는 일은 없고, 따라서 금속 성막 가스가 양 반송실(16, 30) 사이를 거쳐서 이동하는 것을 방지할 수 있으므로, 금속오염의 발생을 방지할 수 있다.
도 3은 본 발명의 제 3 실시예를 도시하고 있다. 본 실시예의 진공 처리 시스템(SYS1A')에서는 제 1 반송실(16)내에 가열 히터를 구비하고 회전 가능하게 되어 있는 회전 탑재대(62)와 광학 센서(64)가 설치되어 있고, 웨이퍼(W)에 대하여 예비가열과 위치 정렬을 동시에 실행하도록 되어 있다. 또한, 각 처리 유닛(12A, 12B)은 하나의 모듈을 형성하고 있다. 즉, 처리실(14), 반송실(16), 로드 록실(18)은 일체로 되어 하나의 모듈을 형성하고 있고, 또한 처리실(28)과 반송실(30)과 로드 록실(32)은 일체로 되어 하나의 모듈을 형성하고 있다. 그리고, 각 처리 유닛(모듈)(12A, 12B)은 탈착부(119)를 거쳐서 피처리체 반출입 스테이지(42) 에 대하여 탈착 가능하게 접속되어 있다.
따라서, 본 실시예에 의하면, 웨이퍼(W)가 제 1 실시예와 대략 동일한 반송 경로(X2')를 통해서 처리되기 때문에, 제 1 실시예와 동일한 작용 효과를 얻을 수 있다. 또한, 처리 유닛[12A(12B)]의 유지 보수가 필요한 때에는 탈착부(119)를 거쳐서 처리 유닛[12A(12B)]을 피처리체 반출입 스테이지(42)로부터 분리하여 임의의 장소로 이동할 수 있기 때문에, 처리 유닛(12A, 12B) 사이에 유지 보수를 위한 극간을 형성할 필요가 없고, 그 결과 시스템의 소형화 및 제조비용의 저감을 도모할 수 있다.
또한, 제 1 내지 제 3 실시예에 있어서는 2개의 처리실(14, 28)로 서로 이종 금속 성분을 포함하는 성막이 실행됨으로써, 금속오염의 발생을 방지하기 위해서 중간 패스실(38)의 양측에 게이트 밸브(G15, G16)를 설치하여 양 반송실(16, 30) 사이가 직접적으로 연통하는 것을 방지하고 있지만, 예컨대 양 처리실(14, 28)로의 성막 처리에 사용되는 가스 성분이 같고 서로 금속오염을 발생시킬 우려가 없는 경우에는 게이트 밸브(G15, G16)를 설치하지 않고, 제 1 및 제 2 반송실(16, 30) 및 중간 패스실(38)을 모두 연통시키도록 해도 무방하다.
도 4는 이러한 진공 처리 시스템의 일 예를 도시하는 개략 구성도이다. 또한, 도 1에 도시하는 제 1 실시예와 동일 부분에 대해서는 동일 도면부호를 부여하여 설명을 생략한다.
도 4에 도시하는 구성에서는 도 1중의 스퍼터 처리실(14)에 대신하여, 예컨대 인접한 CVD 처리실(28)에 있어서 금속 텅스텐을 성막할 때와 동일한 성막 가스 를 이용하여 금속 텅스텐을 형성하는 CVD 처리실(70)을 설치하고 있다. 도면부호(72)는 웨이퍼(W)를 탑재하는 서셉터이다. 이 경우에는 양 처리실(28, 70)로의 성막 처리에 사용되는 가스 성분이 동일하기 때문에, 금속오염이 발생할 우려가 없다. 따라서, 여기서는 도 1에서 이용하고 있었던 게이트 밸브(G15, G16)를 설치하지 않고, 제 1 반송실(16), 중간 패스실(38) 및 제 2 반송실(30)을 일체적으로 연통시키고 있다. 즉, 이 3개의 실(16, 38, 30)의 전체를 둘러싸는 하나의 큰 반송용기(74)가 형성되어 있다. 이 경우에는 3개의 실(16, 38, 30) 각각에 진공 펌프를 포함하는 배기 시스템을 설치할 필요가 없고, 반송용기(74)에 하나의 배기 시스템을 설치하면 되기 때문에, 비용의 삭감을 도모할 수 있다. 또한, 여기서 처리실(28, 70)에서 웨이퍼(W)에 대하여 성막되는 재료는 텅스텐막으로 한정되지 않고, 예컨대 텅스텐 실리사이드(WSi) 등이어도 무방하다.
이 경우의 웨이퍼(W)의 반송 경로가 화살표(X3, X4)로 도시되어 있다. 각 처리 유닛(12A, 12B)에서 각각 독립된 처리가 실행되어, 웨이퍼(W)가 중간 패스실(38)을 지나는 일은 없다.
또한, 금속오염을 고려하지 않아도 되는 진공 처리 시스템의 다른 예가 도 5에 도시되어 있다. 또한, 도 4에 도시되는 구성과 동일 부분에 대해서는 동일 도면부호를 부여하여 설명을 생략한다.
도 5에 도시되는 구성에서는 전체에서 4개의 처리실이 설치되고, 금속오염이 발생하지 않는 막으로서 예컨대 티타늄(Ti)막 및 티타늄 질화물(TiN)막이 웨이퍼(W)에 대하여 성막된다. 즉, 제 1 반송실(16)에는 CVD에 의해서 TiN막을 성막하 는 CVD 처리실(78)과 CVD에 의해서 Ti막을 성막하는 CVD 처리실(80)이 각각 게이트 밸브(G11 및 G19)를 거쳐서 연결되어 있다. 또한, 제 2 반송실(30)에는 CVD에 의해서 TiN막을 성막하는 CVD 처리실(82)과 CVD에 의해서 Ti막을 성막하는 CVD 처리실(84)이 각각 게이트 밸브(G13 및 G20)를 거쳐서 접속되어 있다. 또한, 이 경우에도 금속오염의 우려는 발생하지 않기 때문에, 제 1 및 제 2 반송실(16, 30)과 중간 패스실(38)의 상호간에는 게이트 밸브를 설치하지 않고 연통되어 있는 것은 물론이다. 도면부호(86, 88, 90, 92)는 각 처리실내에 설치되는 서셉터이다.
이 경우, 제 1 처리 유닛(12A)에서는 Ti막과 TiN막의 연속 적층 성막이 실행됨으로써, 화살표(X5)에 도시하는 경로를 따라서 웨이퍼(W)가 반송된다. 또한, 제 2 처리 유닛(12B)에서는 TiN막과 Ti막이 각각 별개로 단층으로 성막됨으로써, 화살표(X6 및 X7)에 도시하는 경로를 따라 웨이퍼(W)가 반송된다. 즉, 화살표(X5)로 도시하는 경로에서는 웨이퍼(W)를 Ti용 CVD 처리실(80)내에 반입하여 Ti막을 성막하고, 다음에 이 웨이퍼(W)를 TiN용 CVD 처리실(78)내로 반입하여 TiN막을 성막하고, 그 후 처리가 완료된 웨이퍼(W)를 본래의 카세트 용기로 되돌리도록 되어 있다.
또한, 제 2 처리 유닛(12B)의 화살표(X6)에 도시하는 경로에서는 웨이퍼(W)를 TiN용 CVD 처리실(82)로 반입하여 TiN막을 성막하고, 다음에 처리가 완료된 웨이퍼(W)를 본래의 카세트 용기로 되돌리도록 되어 있다. 또한, 화살표(X7)로 도시하는 경로에서는 웨이퍼(W)를 Ti용 CVD 처리실(84)내로 반입하여 Ti막을 성막하고, 다음에 처리가 완료된 웨이퍼(W)를 본래의 카세트 용기내로 되돌리도록 되어 있다.
이와 같이, 필요로 되는 성막에 따라서, 예컨대 2종의 성막을 직렬로 연속적으로 실행할 수도 있고, 또한 각각의 막종의 성막을 병렬로, 즉 동시 병행으로 실행할 수 있다.
또한, 도 6은 본 발명의 진공 처리 시스템의 제 4 실시예를 도시하는 개략 구성도이다. 이 실시예에 있어서는 도 1에 도시하는 바와 같은 제 1 실시예의 시스템을 복수조, 여기서는 2조 병렬로 설치하여, 이들을 시스템간 패스실(96)로 연결하고 있다. 즉, 도 1에 도시한 바와 같은 구성의 진공 처리 시스템(SYS1)의 오른쪽 인근에, 스퍼터 처리실(14)을 다른 CVD 처리실(14-1)로 바꾼 점을 제외하고 진공 처리 시스템(SYS1)과 동일한 구성의 제 2 진공 처리 시스템(SYS2)을 병설시키고 있다. 제 2 진공 처리 시스템(SYS2)에서는 제 1 진공 처리 시스템(SYS1)의 각 부재에 대응하는 부재에 대하여 도면부호의 뒤에 [-1]의 부호를 부여하고 있다.
제 1 진공 처리 시스템(SYS1)과 제 2 진공 처리 시스템(SYS2)을 병설하기 위해서, 제 1 진공 처리 시스템(SYS1)의 제 2 반송실(30)과 이것에 인접하는 제 2 진공 처리 시스템(SYS2)의 제 1 반송실(16-1) 사이에는 시스템간 패스실(96)이 개재되어 있다. 이 시스템간 패스실(96)은 N2 퍼지 및 진공배기 가능하게 되어 있고, 그 내부에 웨이퍼(W)를 탑재하기 위한 탑재대(98)를 갖고 있다.
시스템간 패스실(96)과 그 양측의 제 2 반송실(30) 및 제 1 반송실(16-1) 사이에는 각각 기밀하게 개폐 가능하게 되어 있는 게이트 밸브(G22, G23)가 개재되어 있다. 따라서, 시스템간 패스실(96)을 거쳐서, 제 1 및 제 2 진공 처리 시스 템(SYS1, SYS2) 사이에서의 웨이퍼(W)의 트랜스퍼 내지 이동이 가능해진다.
본 실시예에 있어서의 웨이퍼(W)의 반송 경로의 일 예가 화살표(X8)로 도시되어 있다. 즉, 제 1 진공 처리 시스템(SYS1)의 스퍼터 처리실(14) 및 CVD 처리실(28)에서 웨이퍼(W)에 대하여 순차적으로 성막 처리를 실시한 후에, 이 웨이퍼(W)를 시스템간 패스실(96)을 거쳐서 제 2 진공 처리 시스템(SYS2)측으로 반입하고, 또한 시스템(SYS2)의 한쪽의 CVD 처리실(14-1) 및 다른쪽의 CVD 처리실(28-1)에서 웨이퍼(W)에 순차적으로 성막 처리를 실시하도록 한다.
이것에 의하면, 금속오염이 발생할 우려가 있는 복수막종의 성막을 금속오염을 발생시키지 않고 연속적으로 실행할 수 있고, 또한 반송 경로가 간단해지기 때문에, 반송 경로가 교착하는 일이 없고, 효율적인 반송을 실행하여 처리량을 향상시키는 것이 가능해진다.
또한, 도 1 내지 도 6의 구성에 있어서, 웨이퍼(W)를 소정의 반송 경로에 따라 반송 처리하기 위한 각 장치(반송 장치 등)의 제어는 제어부(195)에 의해서 제어된다. 또한, 공통 반송실에 연결되는 시스템 또는 유닛수는 상기 각 실시예에 한정되지 않고, 더욱 많은 시스템 또는 유닛을 연결하도록 해도 무방하다. 또한, 상기 각 실시예에 있어서의 각 반송 경로는 단지 일 예로 도시한 것에 지나지 않고, 연결되는 처리실에 있어서의 성막의 종류, 박막의 적층 순서 등에 의해서 적절한 반송 경로가 결정된다. 또한, 처리실에서는 성막 처리에 한하지 않고, 다른 처리, 예컨대 에칭 처리, 어닐링 처리, 애싱 처리, 스퍼터 처리 등도 실행할 수 있다. 또한, 피처리체로서 반도체 웨이퍼가 예로서 도시되어 있지만, 이것으로 한정 되지 않고, 유리 기판, LCD 기판 등을 피처리체로서 이용하는 것도 가능하다.
도 7 및 도 8에는 로드 록실(18)(도 1 참조)과 반송실(16)(도 1 참조)이 일체로 된 진공 반송실(203)을 갖는 진공 처리 시스템의 일 예가 도시되어 있다. 구체적으로는 도 7은 피처리체로서의 반도체 웨이퍼를 에칭하는 진공 처리 시스템의 개략 평면도를 그리고 도 8은 그 측면도를 각각 도시하고 있다. 이 진공 처리 시스템은 웨이퍼(W)를 에칭 처리하는 진공 처리실(201)과, 이 진공 처리실(201) 사이에서 웨이퍼(W)의 트랜스퍼를 실행하는 반송 장치로서의 스칼라형 싱글 픽 타입의 반송 아암(202)을 내장한 진공 반송실(203)과, 직사각형 형상의 공통 반송실로서 트랜스퍼 챔버(내부 공간이 대기압으로 설정되어 있음)(205)를 구비하고 있다. 진공 처리실(201)과 반송 아암(202)을 내장한 진공 반송실(203)은 하나의 모듈(처리 유닛)(204)을 형성하고 있고, 트랜스퍼 챔버(205)의 한쪽 측면에 탈착 가능하게 설치되어 있다. 또한, 트랜스퍼 챔버(205)의 다른쪽 측면에는 수십매의 웨이퍼(W)를 소정 간격을 두고 탑재하는 수용 수단으로서의 웨이퍼 카세트(206)가 복수개 로드 포트상에 병설되어 있고, 트랜스퍼 챔버(205)의 한쪽 단부에는 사전 정렬 스테이지(207)가 설치되어 있다.
또한, 트랜스퍼 챔버(205)에는 웨이퍼 카세트(206)로부터 웨이퍼(W)를 반출입하는 스칼라형 듀얼 아암 타입의 반송 아암 기구(반송 장치)(208)가 트랜스퍼 챔버(205)의 길이 방향으로 이동 가능하게 설치되어 있다.
이 구성에 의하면, 웨이퍼 카세트(206)로부터 반송 아암 기구(208)에 의해서 출력된 1매의 웨이퍼(W)는 사전 정렬 스테이지(207)에 반입되어 사전 정렬된 후에, 두 번째 반송 아암 기구(208)에 의해서 파지되어 진공 반송실(203)내에 반입된다. 진공 반송실(203)에 반입된 웨이퍼(W)는 반송 아암(202)에 의해서 받아지고, 진공 처리실(201)에 반입된다.
또한, 진공 처리실(201)내에서 에칭 처리된 웨이퍼(W)는 반송 아암(202)에 의해서 진공 반송실(203)로 반출된다. 그 후, 처리가 완료된 웨이퍼(W)는 반송 아암 기구(208)로 트랜스퍼되고, 반송 아암 기구(208)는 웨이퍼(W)를 웨이퍼 카세트(206)로 되돌린다.
예컨대 진공 반송실(203)을 구성하는 하우징(209)의 트랜스퍼 챔버(205)측의 단부에는 플랜지부(209a)가 일체적으로 설치되어 있다. 또한, 플랜지부(209a)는 복수개의 볼트(210)에 의해서 트랜스퍼 챔버(205)의 외벽에 설치되어 있다. 따라서, 볼트(210)를 느슨하게 하거나 조임으로써, 모듈(204)을 트랜스퍼 챔버(205)에 탈착 가능하게 설치할 수 있다.
또한, 반송 아암(202)은 진공 반송실(203)내의 대략 중앙부에 설치되어 있고, 이 반송 아암(202)의 선회 구동축 중심보다 진공 처리실(201)측에는 제 1 버퍼(211)가 설치되고, 상기 선회 구동축 중심보다 트랜스퍼 챔버(205)측에는 제 2 버퍼(212)가 설치되어 있다. 즉, 제 1 및 제 2 버퍼(211, 212)는 반송 아암(202)의 선단부의 웨이퍼(W)를 지지하는 지지부(202a)의 궤도상에 배치되어 있고, 그 자신이 상승함으로써 웨이퍼(W)를 지지부(202a)로부터 받아, 하강함으로써 웨이퍼(W)를 지지부(202a)로 트랜스퍼하도록 되어 있다.
또한, 진공 처리실(201)의 진공 반송실(203)과의 연결부에는 진공측 게이트 밸브(213)가 설치되고, 트랜스퍼 챔버(205)와의 연결부에는 대기측 게이트 밸브(214)가 설치되어 있다.
다음에, 도 9a 내지 도 10k를 참조하면서 반송 아암(202)에 대하여 자세히 설명한다.
도 9a 및 도 9b에 도시하는 바와 같이, 진공 반송실(203)의 바닥부인 기부(311)에는 정역회전 가능한 모터 등의 선회 구동부(312)가 연직 방향으로 고정되고, 이 선회 구동부(312)의 선회 구동축(313)은 진공 반송실(203)의 내부로 돌출하고 있다. 이 선회 구동축(313)에는 구동측 선회 아암(314)의 기단부가 고정되어 있다.
구동측 선회 아암(314)의 선단부에는 종동측 선회 아암(315)의 기단부가 회동 가능하게 연결되고, 이 종동측 선회 아암(315)의 선단부에는 웨이퍼(W)를 지지하기 위한 두 갈래 형상의 지지부(202a)가 수평면내에서 회동 가능하게 연결되어 있다. 그리고, 구동측 선회 아암(314)의 선회운동에 의해서 종동측 선회 아암(315) 및 지지부(202a)가 일체적으로 선회하여, 종동측 선회 아암(315)의 회전 운동에 의해서 지지부(202a)가 자세를 유지한 채로 신축하도록 되어 있다.
제 1 버퍼(211)와 대향하는 위치에는 웨이퍼(W)를 사전 정렬하는 사전 정렬 기구(321)가 설치되어 있다. 이 사전 정렬 기구(321)는 진공 반송실(203)의 기부(311)에 형성된 승강·회전 구동부(322)에 의해서 승강 및 회전하는 원판(323)과, 이 원판(323)으로부터 연직 방향으로 돌출하는 복수개의 핀(324)으로 이루어지고, 이 복수개의 핀(324)에 의해서 웨이퍼(W)를 수평 상태로 지지하여 사전 정렬하 도록 되어 있다.
다음에, 반송 아암(202)의 동작의 일 예에 대하여 도 10a 내지 도 10k를 참조하면서 설명한다.
도 10a는 진공 처리실(201)내에서 웨이퍼(W)가 에칭 처리되어 있는 상태를 도시하고 있다. 이 상태에서는 진공측 게이트 밸브(213)가 폐쇄되고, 반송 아암(202)은 진공 반송실(203)내에서 대기하고 있다. 도 10b는 웨이퍼(W)의 에칭 처리가 종료하고, 도시하지 않은 리프터 핀에 의해서 웨이퍼(W)를 상승시킴과 동시에, 진공측 게이트 밸브(213)가 개방된 상태를 도시하고 있다. 도 10c는 처리가 완료된 웨이퍼(W)를 진공 처리실(201)로부터 반출하는 상태를 도시하고 있다. 이 경우, 반송 아암(202)의 지지부(202a)가 전진하여 진공 처리실(201)내의 웨이퍼(W)의 하부에 위치하면, 리프터 핀이 하강하여 웨이퍼(W)가 지지부(202a)에 탑재된다. 또한, 제 2 버퍼(212)의 승강축이 하강하고, 버퍼 핀이 하강위치에서 대기하여, 반송 아암(202)의 지지부(202a)가 후퇴한다. 도 10d는 처리가 완료된 웨이퍼(W)를 진공 처리실(201)로부터 진공 반송실(203)로 반출한 상태를 도시하고 있다. 이와 같이, 지지부(202a)에 지지된 웨이퍼(W)가 제 2 버퍼(212)상에 위치하면, 버퍼 핀이 상승하여 지지부(202a)에서 웨이퍼(W)를 받아 지지한다. 도 10e는 반송 아암(202)의 지지부(202a)가 진공 반송실(203)내에서 전진한 상태를 도시하고 있다. 도 10f는 반송 아암(202)의 지지부(202a)가 제 1 버퍼(211) 아래에 위치한 상태를 도시하고 있다. 이 상태에서는 승강축이 하강하여, 버퍼 핀에 지지된 처리전의 웨이퍼(W)가 지지부(202a)에 지지된다. 도 10g는 처리전의 웨이퍼(W)를 진공 처리 실(201)내에 반입한 상태를 도시하고 있다. 이와 같이 반송 아암(202)의 지지부(202a)가 전진하여 하부 전극의 상부에 위치하면, 리프터 핀이 상승하여 지지부(202a)에서 웨이퍼(W)를 받는다. 그 후, 반송 아암(202)의 지지부(202a)가 후퇴하면, 진공측 게이트 밸브(213)가 폐쇄된다. 도 10h는 진공 처리실(201)내에서 에칭 처리가 실행됨과 동시에, 진공 반송실(203)이 N2 가스의 공급에 의해서 대기압으로 설정되어, 대기측 게이트 밸브(214)가 개방된 상태를 도시하고 있다. 도 10i는 대기측 게이트 밸브(214)가 개방된 후에, 처리 완료된 웨이퍼(W)가 진공 반송실(203)로부터 반출되고, 처리전의 웨이퍼(W)가 진공 반송실(203)에 반입된 상태를 도시하고 있다. 처리전의 웨이퍼(W)가 제 2 버퍼(212)에 지지되면, 대기측 게이트 밸브(214)가 폐쇄된다. 도 10j는 진공 반송실(203)이 진공상태로 됨과 동시에, 반송 아암(202)의 지지부(202a)가 후퇴하여, 제 1 및 제 2 버퍼(211, 212)의 버퍼 핀이 하강한 상태를 도시하고 있다. 도 10k는 반송 아암(202)의 지지부(202a)가 전진하여, 제 2 버퍼(212)의 버퍼 핀이 상승한 상태를 도시하고 있다. 이 동안에 진공 처리실(201)내에서 에칭 처리가 종료하면, 다시 도 10a에서 시작되는 전술한 동작이 반복된다.
이와 같이, 진공 반송실(203)에 스칼라형 싱글 픽 타입의 반송 아암(202)을 마련하면, 반송 시스템의 구성을 간략화할 수 있고, 또한 반송 아암(202)의 신축운동에 의한 지지부(202a)의 직진운동에 의해서 웨이퍼(W)가 진공 반송실(203)에 대하여 반출입되기[지지부(202a)가 선회하는 일 없이 신축하는 것 만으로 웨이퍼(W) 가 반출입됨] 때문에, 진공 반송실(203)의 소형화를 도모할 수 있다. 또한, 진공 반송실(203)내의 제 1 버퍼(211)와 대향하는 위치에 사전 정렬 기구(321)가 설치되어 있기 때문에, 웨이퍼(W)를 진공 처리실(201)로 반입하기 직전에 웨이퍼(W)의 사전 정렬을 실행하여, 정밀하게 진공 처리실(201)에 웨이퍼(W)를 반입할 수 있다.
다음에, 상기 구성의 진공 처리 시스템의 작용에 대하여 설명한다. 또한, 이하에 설명하는 공정에서는 반송 아암(202)에 의한 웨이퍼(W)의 버퍼(211, 212)에 대한 트랜스퍼의 순서가 도 10a 내지 도 10k에서 도시한 순서와 약간 다르다.
우선, 웨이퍼 카세트(206)로부터 반송 아암 기구(208)에 의해서 1매의 웨이퍼(W)를 출력한다. 다음에, 웨이퍼(W)는 사전 정렬 스테이지(207)에 반입되어 사전 정렬된 후, 다시 반송 아암 기구(208)에 의해서 파지되어, 진공 반송실(203)내에 반입된다. 진공 반송실(203)에 있어서는 반입된 웨이퍼(W)가 반송 아암 기구(208)에 의해서 제 2 버퍼(212)상으로 트랜스퍼된다. 진공측 게이트 밸브(213)가 개방되면, 반송 아암(202)의 지지부(202a)에 의해서 제 2 버퍼(212)상의 미처리 웨이퍼(W)가 진공 처리실(201)에 반입된다. 그 후, 진공측 게이트 밸브(213)가 폐쇄되어, 진공 처리실(201)내에서 웨이퍼(W)가 에칭 처리된다. 이 동안, 대기측 게이트 밸브(214)가 개방되어 다음에 처리되어야 할 웨이퍼(W)가 반송 아암 기구(208)에 의해서 제 2 버퍼(212)상으로 트랜스퍼된다. 진공 처리실(201)에서의 에칭 처리가 완료하면, 진공측 게이트 밸브(213)가 개방되고, 반송 아암(202)의 지지부(202a)에 의해서 진공 처리실(201)내의 처리가 완료된 웨이퍼(W)가 제 1 버퍼(211)상으로 트랜스퍼된다. 계속해서, 반송 아암(202)은 이미 제 2 버퍼(212)상 에 대기하고 있는 미처리 웨이퍼(W)를 진공 처리실(201)내에 반입한다. 그리고, 진공 처리실(201)에서의 에칭 처리를 위해서 진공측 게이트 밸브(213)가 닫히면, 반송 아암(202)은 제 1 버퍼(211)상의 처리가 완료된 웨이퍼(W)를 제 2 버퍼(212)상으로 트랜스퍼한다. 그 후, 대기측 게이트 밸브(214)가 개방되면, 반송 아암 기구(208)는 제 2 버퍼(212)상의 처리가 완료된 웨이퍼(W)를 받아 웨이퍼 카세트(206)로 되돌린다. 이후, 이 공정이 반복됨으로써, 웨이퍼(W)의 에칭 처리가 연속적으로 실행된다.
이상 설명한 바와 같이, 도 7 및 도 8의 구성에 의하면, 진공 처리실(201)과 진공 반송실(203)이 1대 1로 독립하여 있기 때문에, 크로스 오염 발생의 우려는 없고, 신뢰성의 향상을 도모할 수 있다. 또한, 모듈(204)의 유지 보수를 필요로 했을 때에는 볼트(210)를 느슨하게 함으로써, 모듈(204)을 트랜스퍼 챔버(205)로부터 분리할 수 있어, 모듈(204)을 임의의 장소로 이동하여 유지 보수할 수 있다. 물론, 유지 보수중에는 별도의 모듈(204)을 트랜스퍼 챔버(205)에 장착하여 처리를 계속할 수 있다.
도 11은 본 발명의 제 5 실시예를 도시하고 있다. 또한, 본 실시예는 도 7 및 도 8의 구성의 변형예이며, 따라서 도 7 및 도 8과 동일 구성 부분은 동일 번호를 부여하여 설명을 생략한다.
본 실시예에서는 트랜스퍼 챔버(205)에 진공 처리실(201)과 반송 아암(202)을 내장한 진공 반송실(203)로 이루어지는 2개의 모듈(204a, 204b)이 탈착 가능하게 병설되어 있다.
이상 설명한 바와 같이, 본 실시예에 있어서 각 모듈(204a, 204b)은 각각 처리실(201)과 그것에 대응한 진공 반송실(203)을 구비함과 동시에, 공통의 트랜스퍼 챔버(205)에 대하여 개별 접속되어 있다. 즉, 처리실과 진공 반송실이 1대 1로 대응하여 설치된 처리완결형의 복수의 모듈(204a, 204b)이 공통 반송실(205)에 개별 접속되어 있다. 따라서, 모듈(204a, 204b) 사이에서의 상호의 기류의 유출입을 방지할 수 있다. 즉, 모듈(204a, 204b) 사이에서 금속오염이 발생하는 것을 미연에 방지할 수 있다(크로스 오염의 발생이 방지됨). 또한, 한쪽의 모듈(204a)에서 처리가 실행되고 있는 동안, 다른쪽의 모듈(204b)에서도 처리가 실행될 수 있기 때문에, 처리량을 향상시킬 수 있다.
또한, 본 실시예에 있어서 각 모듈(204a, 204b)은 트랜스퍼 챔버(205)의 길이 방향[아암 기구(208)의 이동 방향]에 대하여 직교하는 방향으로 연장하고 또한 서로 평행해지도록 배열되어 있고, 트랜스퍼 챔버(205)로부터 각 모듈(204a, 204b)에 반입된 웨이퍼(W)는 모듈(204a, 204b)내의 직선적인 반송 경로를 따라 반송되어 처리된다. 따라서, 웨이퍼(W)의 반송 경로가 복잡하게 교착하는 일이 없고, 웨이퍼(W)를 원활하게 다음 처리실까지 반송할 수 있어, 그 결과 처리량을 향상시킬 수 있다.
또한, 본 실시예에 있어서 모듈(204a, 204b)은 트랜스퍼 챔버(205)에 대하여 탈착 가능하게 접속되어 분리할 수 있기 때문에, 모듈(204a, 204b) 사이에 유지 보수를 위한 극간을 마련하지 않아도 된다. 따라서, 장치 전체(풋프린트)를 콤팩트화할 수 있어, 장치의 제조비용을 저감할 수 있다. 물론, 한쪽의 모듈(204a)을 임 의의 장소로 이동하여 유지 보수할 때에도 그동안에 다른 모듈(204b)을 이용한 처리가 가능하기 때문에, 이 점에서도 생산성의 향상을 도모할 수 있다.
도 12는 본 발명의 제 6 실시예를 도시하고 있다. 또한, 본 실시예는 제 5 실시예의 변형예이며, 따라서 제 5 실시예와 동일 구성 부분은 동일 번호를 부여하여 설명을 생략한다.
본 실시예에서는 트랜스퍼 챔버(205)가 증설연장되어, 진공 처리실(201)과 반송 아암(202)을 내장한 진공 반송실(203)로 이루어지는 3개의 모듈(204a, 204b, 204c)이 트랜스퍼 챔버(205)에 대하여 탈착 가능하게 병설되어 있다.
즉, 트랜스퍼 챔버(205)의 한쪽 단부에는 플랜지부(215)가 일체적으로 설치되고, 증설 트랜스퍼 챔버(216)의 한쪽 단부에도 플랜지부(217)가 일체적으로 설치되어 있다. 플랜지부(215, 217)가 볼트(218)와 너트(219)에 의하여 서로 탈착 가능하게 연결되고, 트랜스퍼 챔버(205)에는 2개의 모듈(204a, 204b)이 탈착 가능하게 설치되고, 증설 트랜스퍼 챔버(216)에는 하나의 모듈(204c)이 탈착 가능하게 설치되어 있다. 또한, 반송 아암 기구(208)는 트랜스퍼 챔버(205)와 증설 트랜스퍼 챔버(216)에 걸쳐 이동할 수 있다.
따라서, 본 실시예에 의해서도, 제 4 실시예와 동일한 작용 효과를 얻을 수 있다. 또한, 필요에 따라 모듈 단위로 용이하게 증설할 수 있기 때문에, 초기 비용을 최소한으로 억제할 수 있다고 하는 효과가 있다.
또한, 모듈(204b)과 모듈(204c) 사이에 넓은 유지 보수공간(220)을 마련하더라도 무방하다. 이와 같이 공간(220)을 마련하면, 모듈(204b, 204c)을 트랜스퍼 챔버(205)에 대하여 탈착하지 않더라도 유지 보수가 가능해진다.
도 13은 본 발명의 제 7 실시예를 도시하고 있다. 또한, 본 실시예는 제 6 실시예의 변형예이며, 따라서 제 6 실시예와 동일 구성 부분은 동일 번호를 부여하여 설명을 생략한다.
본 실시예에서는 증설 트랜스퍼 챔버(216)의 내부에도 반송 아암 기구(208a)가 설치되어 있다. 또한, 증설 트랜스퍼 챔버(216)에는 사전 정렬 스테이지(207a)가 설치되어 있다. 따라서, 트랜스퍼 챔버(205)내의 반송 아암 기구(208)에 문제가 발생한 경우에는 증설 트랜스퍼 챔버(216)의 내부의 반송 아암 기구(208a)가 트랜스퍼 챔버(205)와 증설 트랜스퍼 챔버(216)에 거쳐 이동하고, 반송 아암 기구(208a)에 의해서 웨이퍼(W)를 진공 반송실(203)내에 반입하거나, 제 2 버퍼(212)상의 처리가 완료된 웨이퍼(W)를 웨이퍼 카세트(206)로 되돌릴 수 있다. 그 결과, 반송 아암 기구(208)의 문제 발생시의 로스 타임을 없앨 수 있다.
도 14는 본 발명의 제 8 실시예를 도시하고 있다. 또한, 본 실시예는 제 6 실시예의 변형예이며, 따라서 제 6 실시예와 동일 구성 부분은 동일 번호를 부여하여 설명을 생략한다.
본 실시예에서는 시스템(A)과 시스템(B)이 접속 트랜스퍼 챔버(290)에 의해서 탈착 가능하게 접속되어 있다. 시스템(A)은 트랜스퍼 챔버(205)에 대하여 2개의 모듈(204a, 204b)이 탈착 가능하게 접속되어 이루는 유닛(A1)과, 트랜스퍼 챔버(205)에 연결된 증설 트랜스퍼 챔버(216)에 대하여 2개의 모듈(204c, 204d)이 탈착 가능하게 접속되어 이루는 시스템(A2)으로 이루어진다. 한편, 시스템(B)은 트 랜스퍼 챔버(205)에 대하여 2개의 모듈(204a, 204b)이 탈착 가능하게 접속되어 이루는 유닛(B1)과, 트랜스퍼 챔버(205)에 연결된 증설 트랜스퍼 챔버(216)에 대하여 2개의 모듈(204c, 204d)이 탈착 가능하게 접속되어 이루는 시스템(B2)으로 이루어진다. 그리고, 유닛(B1)의 트랜스퍼 챔버(205)와 유닛(A2)의 증설 트랜스퍼 챔버(216)가 접속 트랜스퍼 챔버(290)에 의해서 서로 접속되어 있다.
또한, 시스템(A)에는 트랜스퍼 챔버(205)와 증설 트랜스퍼 챔버(216)에 거쳐서 이동할 수 있는 반송 아암 기구(208)가 설치되어 있다. 또한, 시스템(B)에도 트랜스퍼 챔버(205)와 증설 트랜스퍼 챔버(216)에 거쳐서 이동할 수 있는 반송 아암 기구(208a)가 설치되어 있다. 그리고, 양 반송 아암 기구(208, 208a)는 필요에 따라서 접속 트랜스퍼 챔버(290)를 넘어서 상대측의 시스템에 타고 들어갈 수 있게 되어 있다.
따라서, 이러한 구성에 의하면, 예컨대 유닛(A1)을 유지 보수하는 경우, 반송 아암 기구(208a)는 유닛(A2)측의 증설 트랜스퍼 챔버(216)에 타고 들어가, 시스템(B) 전체 및 유닛(A2)의 반송작업을 실행한다.
도 15에는 진공 처리 시스템의 다른 구성이 도시되어 있다. 또한, 도 7 및 도 8과 동일 구성 부분은 동일 번호를 부여하여 설명을 생략한다.
본 구성의 트랜스퍼 챔버(221)는 다각형상을 하고 있고, 이 트랜스퍼 챔버(221)의 중앙부에는 스칼라형 듀얼 아암 타입의 반송 아암 기구(208)가 설치되어 있다.
또한, 트랜스퍼 챔버(221)의 한쪽 측면에는 복수개의 웨이퍼 카세트(206)가 설치되고, 다른 측면에는 사전 정렬 스테이지(207) 및 진공 처리실(201)과 반송 아암(202)을 내장한 진공 반송실(203)로 이루어지는 모듈(204a, 204b, 204c)이 방사상으로 배치되어 있다.
이 구성에서는 웨이퍼 카세트(206)로부터 반송 아암 기구(208)에 의해서 출력된 1매의 웨이퍼(W)는 사전 정렬 스테이지(207)에 반입되어 사전 정렬된 후, 소정의 진공 반송실(203)내에 반입된다. 진공 반송실(203)에 반입된 웨이퍼(W)는 반송 아암(202)에 의해서 진공 처리실(201)에 반입된다. 진공 처리실(201)내에서 에칭 처리된 웨이퍼(W)는 반송 아암(202)에 의해서 진공 반송실(203)로 반출된다. 진공 반송실(203)내의 처리가 완료된 웨이퍼(W)는 반송 아암 기구(208)에 의해서 웨이퍼 카세트(206)로 되돌려진다.
본 구성에 의하면, 반송 아암 기구(208)의 선회운동에 의해서 웨이퍼(W)를 반입반출할 수 있기 때문에, 처리량을 향상시킬 수 있는 동시에, 각 모듈이 트랜스퍼 챔버(221)에 대하여 탈착 가능하기 때문에, 모듈간에 유지 보수를 위한 극간(유지 보수 영역)을 형성하지 않아도 된다. 따라서, 장치 전체를 소형화할 수 있다.
도 16에 도시되는 진공 처리 시스템에는 트랜스퍼 챔버가 설치되어 있지 않고, 진공 반송실(203)의 대기측 게이트 밸브(214)에 웨이퍼 카세트(206)가 직접연결되어 있다.
이 구성에서는 대기측 게이트 밸브(214)가 개방되면, 진공 반송실(203)내의 반송 아암(202)의 지지부(202a)에 의해서 웨이퍼 카세트(206)내에서 1매의 웨이퍼(W)가 출력되어 진공 반송실(203)내에 반입된다. 진공 반송실(203)에 반입된 웨 이퍼(W)는 그 후, 반송 아암(202)에 의해서 진공 처리실(201)에 반입된다. 또한, 진공 처리실(201)내에서 에칭 처리된 웨이퍼(W)는 반송 아암(202)에 의해서 진공 반송실(203)로 반출되어, 그대로 웨이퍼 카세트(206)에 되돌려진다.
따라서, 본 구성에 의하면, 트랜스퍼 챔버 및 반송 아암 기구가 불필요하게 되어, 구성의 간소화를 도모할 수 있는 동시에, 장치의 소형화, 비용 절감을 도모할 수 있다.
도 17에 도시되는 진공 처리 시스템은 도 16과 동일 구조의 제 1 및 제 2 진공 처리 시스템[222, 223(모듈(204))]이 병설되어 이루어진다. 양 시스템(222, 223)은 그 진공 반송실(203)이 서로 서로 연결되어 있다. 즉, 제 1 및 제 2 진공 처리 시스템(222, 223)의 진공 반송실(203)의 서로 대향하는 측면에는 개구부(222a, 223a)가 형성되고, 양 개구부(222a, 223a)는 연락로(225)에 의해서 밀폐 상태로 연통되어 있다. 연락로(225)에는 웨이퍼(W)를 지지하는 버퍼기구(224)가 설치되어 있다.
이러한 구성에서는 제 1 진공 처리 시스템(222)의 진공 반송실(203)의 대기측 게이트 밸브(214)가 개방되면, 진공 반송실(203)내의 반송 아암(202)의 지지부(202a)에 의해서 웨이퍼 카세트(206)내에서 1매의 웨이퍼(W)가 출력되어 진공 반송실(203)내에 반입된다. 제 1 진공 처리 시스템(222)의 진공 반송실(203)에 반입된 웨이퍼(W)는 반송 아암(202)에 의해서 그대로 진공 처리실(201)내에 반입된다.
진공 처리실(201)내에서 에칭 처리된 웨이퍼(W)는 반송 아암(202)에 의해서 진공 반송실(203)로 반출되어 그대로 버퍼기구(224)에 반입된다. 다음에, 제 2 진 공 처리 시스템(223)의 진공 반송실(203)내의 반송 아암(202)이 버퍼기구(224)에 지지되어 있는 웨이퍼(W)를 받아 이것을 진공 반송실(203)에 반입한다. 그 후, 웨이퍼(W)는 제 2 진공 처리 시스템(223)의 진공 반송실(203)로부터 반송 아암(202)에 의해서 진공 처리실(201)내에 반입된다. 그리고, 제 2 진공 처리 시스템(223)의 진공 처리실(201)에서 에칭 처리된 웨이퍼(W)는 반송 아암(202)에 의해서 진공 반송실(203)로 반출된 후에 웨이퍼 카세트(206)에 되돌려진다.
따라서, 본 구성에 의하면 웨이퍼(W)에 대한 복수회 처리가 능률적으로 실행된다. 또한, 트랜스퍼 챔버 및 반송 아암 기구가 불필요하게 되어, 구성의 간소화를 도모할 수 있는 동시에, 장치의 소형화, 비용 절감을 도모할 수 있다.

Claims (5)

  1. 피처리체에 대해서 소정의 처리를 실시하기 위한 하나의 진공 처리실과,
    이 진공 처리실에 접속되고, 이 내부 공간이 대기압과 진공압으로 선택적으로 전환 가능한 하나의 진공 반송실을 포함하며,
    상기 진공 반송실은, 이 내에 진공 처리실에 대해서 피처리체를 반출입하는 반송 장치와, 처리전의 피처리체 또는 처리가 완료된 피처리체를 보호하는 버퍼 기구를 구비하며,
    상기 반송 장치는 피처리체를 지지하는 지지부를 구비하고, 상기 반송 장치의 신축 운동에 의한 상기 지지부의 직진 운동에 의해, 피처리체를 직선적으로 반송하는 것을 특징으로 하는
    진공 처리 시스템.
  2. 제 1 항에 있어서,
    상기 진공 반송실은, 이 내에 피처리체의 정렬을 행하는 정렬 기구를 더 구비하고, 상기 진공 반송실내에 있어서, 처리전의 피처리체에 대해서 위치 정렬을 행하는 것을 특징으로 하는
    진공 처리 시스템.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 버퍼 기구는 구동 기구에 의해서 상하 이동 가능하게 구성되어 있는 것을 특징으로 하는
    진공 처리 시스템.
  4. 제 3 항에 있어서,
    상기 버퍼 기구는 상기 지지부의 반송 궤적상에서 피처리체를 지지 가능하게 구성되어 있는 것을 특징으로 하는
    진공 처리 시스템.
  5. 제 1 항 또는 제 2 항에 있어서,
    상기 반송 장치는 하나의 피처리체를 지지 가능한 스칼라형의 반송 장치인 것을 특징으로 하는
    진공 처리 시스템.
KR1020067014534A 1998-11-17 1999-11-17 진공 처리 시스템 KR100870816B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP10343596A JP2000150618A (ja) 1998-11-17 1998-11-17 真空処理システム
JPJP-P-1998-00343596 1998-11-17
JPJP-P-1998-00369138 1998-12-25
JP36913898 1998-12-25

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020017006069A Division KR100676029B1 (ko) 1998-11-17 1999-11-17 진공 처리 시스템

Publications (2)

Publication Number Publication Date
KR20060088909A true KR20060088909A (ko) 2006-08-07
KR100870816B1 KR100870816B1 (ko) 2008-11-27

Family

ID=26577568

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020017006069A KR100676029B1 (ko) 1998-11-17 1999-11-17 진공 처리 시스템
KR1020067014534A KR100870816B1 (ko) 1998-11-17 1999-11-17 진공 처리 시스템

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020017006069A KR100676029B1 (ko) 1998-11-17 1999-11-17 진공 처리 시스템

Country Status (5)

Country Link
US (2) US7025554B2 (ko)
EP (1) EP1146548A4 (ko)
KR (2) KR100676029B1 (ko)
TW (1) TW442891B (ko)
WO (1) WO2000030156A1 (ko)

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100803562B1 (ko) * 2006-12-28 2008-02-15 세메스 주식회사 기판 처리 장치
KR100819176B1 (ko) * 2006-12-29 2008-04-04 주식회사 케이씨텍 대면적 기판 공정 챔버 시스템
KR101271383B1 (ko) * 2011-04-15 2013-06-10 삼성전자주식회사 웨이퍼 버퍼 장치 및 이를 갖는 웨이퍼 가공 시스템
KR101400157B1 (ko) * 2011-07-29 2014-05-30 세메스 주식회사 기판처리장치, 기판처리설비 및 기판처리방법
KR101483180B1 (ko) * 2011-04-11 2015-01-19 가부시키가이샤 아루박 성막 장치
US8974601B2 (en) 2011-07-29 2015-03-10 Semes Co., Ltd. Apparatuses, systems and methods for treating substrate
KR20170055819A (ko) * 2015-11-12 2017-05-22 세메스 주식회사 기판 처리 장치
KR20190034350A (ko) * 2014-04-30 2019-04-01 카티바, 인크. 가스 쿠션 장비 및 기판 코팅 기술
US10442226B2 (en) 2008-06-13 2019-10-15 Kateeva, Inc. Gas enclosure assembly and system
US10468279B2 (en) 2013-12-26 2019-11-05 Kateeva, Inc. Apparatus and techniques for thermal treatment of electronic devices
US10500880B2 (en) 2008-06-13 2019-12-10 Kateeva, Inc. Gas enclosure systems and methods utilizing an auxiliary enclosure
US10512931B2 (en) 2014-01-21 2019-12-24 Kateeva, Inc. Apparatus and techniques for electronic device encapsulation
US10519535B2 (en) 2008-06-13 2019-12-31 Kateeva Inc. Method and apparatus for load-locked printing
US10654299B2 (en) 2008-06-13 2020-05-19 Kateeva, Inc. Low-particle gas enclosure systems and methods
US10900678B2 (en) 2008-06-13 2021-01-26 Kateeva, Inc. Gas enclosure assembly and system
US11034176B2 (en) 2008-06-13 2021-06-15 Kateeva, Inc. Gas enclosure assembly and system
US11489119B2 (en) 2014-01-21 2022-11-01 Kateeva, Inc. Apparatus and techniques for electronic device encapsulation
US11975546B2 (en) 2008-06-13 2024-05-07 Kateeva, Inc. Gas enclosure assembly and system
US12018857B2 (en) 2008-06-13 2024-06-25 Kateeva, Inc. Gas enclosure assembly and system

Families Citing this family (79)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0936198A (ja) * 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
JP4021125B2 (ja) 2000-06-02 2007-12-12 東京エレクトロン株式会社 ウェハ移載装置の装置ユニット接続時に用いられるレールの真直性保持装置
JP4180787B2 (ja) * 2000-12-27 2008-11-12 東京エレクトロン株式会社 基板処理装置および基板処理方法
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
JP2005504885A (ja) * 2001-07-25 2005-02-17 アプライド マテリアルズ インコーポレイテッド 新規なスパッタ堆積方法を使用したバリア形成
US6821881B2 (en) 2001-07-25 2004-11-23 Applied Materials, Inc. Method for chemical mechanical polishing of semiconductor substrates
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
JP2003059999A (ja) * 2001-08-14 2003-02-28 Tokyo Electron Ltd 処理システム
US6729824B2 (en) * 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
JP4389424B2 (ja) 2001-12-25 2009-12-24 東京エレクトロン株式会社 被処理体の搬送機構及び処理システム
JP4168642B2 (ja) * 2002-02-28 2008-10-22 東京エレクトロン株式会社 被処理体収納容器体及び処理システム
JP4334817B2 (ja) * 2002-05-15 2009-09-30 東京エレクトロン株式会社 基板処理装置及び基板処理方法
JP4486507B2 (ja) * 2003-01-02 2010-06-23 ローマ リンダ ユニヴァーシティ メディカル センター 陽子線治療システムのための構成管理及び読み出しシステム
US20040141832A1 (en) * 2003-01-10 2004-07-22 Jang Geun-Ha Cluster device having dual structure
JP2004349503A (ja) * 2003-05-22 2004-12-09 Tokyo Electron Ltd 被処理体の処理システム及び処理方法
JP4833512B2 (ja) 2003-06-24 2011-12-07 東京エレクトロン株式会社 被処理体処理装置、被処理体処理方法及び被処理体搬送方法
US8545159B2 (en) * 2003-10-01 2013-10-01 Jusung Engineering Co., Ltd. Apparatus having conveyor and method of transferring substrate using the same
US20070269297A1 (en) * 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
KR100578134B1 (ko) * 2003-11-10 2006-05-10 삼성전자주식회사 멀티 챔버 시스템
US7458763B2 (en) 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
US8313277B2 (en) 2003-11-10 2012-11-20 Brooks Automation, Inc. Semiconductor manufacturing process modules
US20050113964A1 (en) * 2003-11-10 2005-05-26 Blueshift Technologies, Inc. Sensor methods and systems for semiconductor handling
US20070286710A1 (en) * 2003-11-10 2007-12-13 Van Der Meulen Peter Semiconductor manufacturing process modules
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US8696298B2 (en) * 2003-11-10 2014-04-15 Brooks Automation, Inc. Semiconductor manufacturing process modules
US8812150B2 (en) * 2003-11-10 2014-08-19 Brooks Automation, Inc. Semiconductor manufacturing process modules
JP4673548B2 (ja) * 2003-11-12 2011-04-20 東京エレクトロン株式会社 基板処理装置及びその制御方法
TWI278416B (en) * 2004-12-09 2007-04-11 Au Optronics Corp Cassette stocker
JP4577886B2 (ja) * 2005-01-21 2010-11-10 東京エレクトロン株式会社 基板搬送処理装置及び基板搬送処理装置における障害対策方法並びに基板搬送処理装置における障害対策用プログラム
US9099506B2 (en) * 2005-03-30 2015-08-04 Brooks Automation, Inc. Transfer chamber between workstations
DE102005017164A1 (de) * 2005-04-14 2006-10-19 Leica Microsystems Semiconductor Gmbh Einrichtung zum Handhaben scheibenförmiger Objekte
KR100621775B1 (ko) * 2005-04-15 2006-09-15 삼성전자주식회사 기판 세정장치
US8118535B2 (en) * 2005-05-18 2012-02-21 International Business Machines Corporation Pod swapping internal to tool run time
KR101291794B1 (ko) * 2006-03-17 2013-07-31 엘지디스플레이 주식회사 액정표시패널 제조 시스템 및 이에 의해 제조된액정표시패널
DE102006019785B4 (de) * 2006-04-28 2009-01-08 Mühlbauer Ag Vorrichtung und Verfahren zum aufeinanderfolgenden Transport einer Mehrzahl von GSM-Chipkarten
TWI476855B (zh) * 2006-05-03 2015-03-11 Gen Co Ltd 基板傳輸設備、和使用該設備的高速基板處理系統
US7828504B2 (en) * 2006-05-12 2010-11-09 Axcellis Technologies, Inc. Combination load lock for handling workpieces
US8398355B2 (en) * 2006-05-26 2013-03-19 Brooks Automation, Inc. Linearly distributed semiconductor workpiece processing tool
US7896602B2 (en) 2006-06-09 2011-03-01 Lutz Rebstock Workpiece stocker with circular configuration
JP2008091645A (ja) * 2006-10-02 2008-04-17 Tokyo Electron Ltd 半導体製造装置、半導体装置の製造方法及び記憶媒体
US9122272B2 (en) 2006-10-06 2015-09-01 Dynamic Micro Systems Redundantable robot assembly for workpiece transfer
WO2008041169A2 (en) * 2006-10-06 2008-04-10 Dynamic Micro Systems Redundantable robot assembly for workpiece transfer
US8182198B2 (en) * 2006-10-06 2012-05-22 Dynamic Micro Systems Semiconductor Equipment Gmbh Redundantable robot assembly for workpiece transfer
US20080112787A1 (en) 2006-11-15 2008-05-15 Dynamic Micro Systems Removable compartments for workpiece stocker
JP4896899B2 (ja) * 2007-01-31 2012-03-14 東京エレクトロン株式会社 基板処理装置およびパーティクル付着防止方法
KR100839187B1 (ko) * 2007-02-23 2008-06-17 세메스 주식회사 반도체 제조 설비의 트랜스퍼 챔버 및 그 챔버에서의 기판반송 방법
KR100855877B1 (ko) * 2007-02-23 2008-09-03 세메스 주식회사 기판 처리 장치 및 그 장치에서의 기판 정렬 방법
US20080206036A1 (en) * 2007-02-27 2008-08-28 Smith John M Magnetic media processing tool with storage bays and multi-axis robot arms
US8950998B2 (en) * 2007-02-27 2015-02-10 Brooks Automation, Inc. Batch substrate handling
US20080206022A1 (en) * 2007-02-27 2008-08-28 Smith John M Mult-axis robot arms in substrate vacuum processing tool
KR20100031681A (ko) 2007-05-18 2010-03-24 브룩스 오토메이션 인코퍼레이티드 빠른 교환 로봇을 가진 컴팩트 기판 운송 시스템
KR100902614B1 (ko) * 2007-07-16 2009-06-11 세메스 주식회사 반도체 제조 장치
KR100887161B1 (ko) * 2007-08-03 2009-03-09 주식회사 에이디피엔지니어링 플라즈마 처리장치
WO2009031232A1 (ja) * 2007-09-07 2009-03-12 Canon Anelva Corporation スパッタリング方法および装置
DE102007047600A1 (de) * 2007-10-05 2009-04-23 Scolomatic Gmbh Greifersystem
CN101842890A (zh) * 2007-11-09 2010-09-22 佳能安内华股份有限公司 在线型晶圆输送装置
JP2009181605A (ja) * 2008-01-29 2009-08-13 Fuji Electric Device Technology Co Ltd 磁気記録媒体の製造方法および磁気記録媒体の製造に用いられる連結装置
JP4564078B2 (ja) * 2008-04-28 2010-10-20 東京エレクトロン株式会社 基板処理装置
JP5097627B2 (ja) * 2008-06-27 2012-12-12 株式会社日立ハイテクノロジーズ 真空処理装置
JP2010062534A (ja) * 2008-06-30 2010-03-18 Intevac Inc 基板搬送システム及び方法
WO2010014761A1 (en) 2008-07-29 2010-02-04 Intevac, Inc. Processing tool with combined sputter and evaporation deposition sources
JP5253517B2 (ja) * 2008-12-24 2013-07-31 キヤノンアネルバ株式会社 真空処理装置のデータ収集システム
JP5358366B2 (ja) 2009-09-14 2013-12-04 東京エレクトロン株式会社 基板処理装置及び方法
TW201123340A (en) * 2009-11-12 2011-07-01 Hitachi High Tech Corp Vacuum processing system and vacuum processing method of semiconductor processing substrate
JP5476171B2 (ja) * 2010-03-16 2014-04-23 株式会社日立ハイテクノロジーズ 真空処理装置
JP2012009519A (ja) * 2010-06-23 2012-01-12 Hitachi High-Technologies Corp 真空処理装置
JP5785712B2 (ja) * 2010-12-28 2015-09-30 株式会社日立ハイテクノロジーズ 真空処理装置
KR101685752B1 (ko) * 2011-02-08 2016-12-12 도쿄엘렉트론가부시키가이샤 기판 중계 장치, 기판 중계 방법, 기판 처리 장치
WO2013077322A1 (ja) 2011-11-23 2013-05-30 日本電産サンキョー株式会社 ワーク搬送システム
JP5810929B2 (ja) * 2012-01-13 2015-11-11 シンフォニアテクノロジー株式会社 ウェーハ搬送装置
US9673071B2 (en) 2014-10-23 2017-06-06 Lam Research Corporation Buffer station for thermal control of semiconductor substrates transferred therethrough and method of transferring semiconductor substrates
KR102417929B1 (ko) * 2015-08-07 2022-07-06 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP6830772B2 (ja) * 2016-08-04 2021-02-17 株式会社ジャパンディスプレイ 積層膜の製造装置、及び積層膜の製造方法
WO2018173836A1 (ja) 2017-03-24 2018-09-27 東京エレクトロン株式会社 基板処理装置
US20200194297A1 (en) * 2018-12-14 2020-06-18 Xia Tai Xin Semiconductor (Qing Dao) Ltd. Track system and method of processing semiconductor wafers
CN113728481A (zh) * 2019-04-30 2021-11-30 株式会社半导体能源研究所 固态二次电池的制造装置及固态二次电池的制造方法
EP4022240A1 (en) * 2019-10-03 2022-07-06 LPE S.p.A. Treating arrangement with storage chamber and epitaxial reactor
CN112588624A (zh) * 2020-12-24 2021-04-02 西安奕斯伟硅片技术有限公司 一种晶圆分选设备及晶圆分选方法

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5739430U (ko) * 1980-08-14 1982-03-03
JPH06105742B2 (ja) 1983-11-28 1994-12-21 株式会社日立製作所 真空処理方法及び装置
JPS60238134A (ja) * 1984-04-16 1985-11-27 Tokuda Seisakusho Ltd 真空処理装置
US5882165A (en) * 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
JP2646821B2 (ja) 1990-02-17 1997-08-27 富士電機株式会社 半導体製造装置
JPH04247632A (ja) 1991-02-01 1992-09-03 Fujitsu Ltd 半導体装置
JPH05275511A (ja) * 1991-03-01 1993-10-22 Tokyo Electron Ltd 被処理体の移載システム及び処理装置
US5382803A (en) * 1991-05-28 1995-01-17 Tokyo Electron Limited Ion injection device
JP3130630B2 (ja) * 1992-02-13 2001-01-31 東京エレクトロン株式会社 処理装置
JP3468430B2 (ja) * 1994-02-15 2003-11-17 東京エレクトロン株式会社 位置検出案内装置、位置検出案内方法及び真空処理装置
JPH0697258A (ja) * 1992-09-17 1994-04-08 Hitachi Ltd 連続真空処理装置
TW295677B (ko) * 1994-08-19 1997-01-11 Tokyo Electron Co Ltd
JP3732250B2 (ja) * 1995-03-30 2006-01-05 キヤノンアネルバ株式会社 インライン式成膜装置
JPH0936198A (ja) * 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
JP2918194B2 (ja) * 1995-12-01 1999-07-12 株式会社半導体エネルギー研究所 プラズマ処理装置およびプラズマ処理方法
JP3454034B2 (ja) * 1996-09-13 2003-10-06 株式会社日立製作所 真空処理装置
JPH11135600A (ja) * 1997-08-25 1999-05-21 Shibaura Mechatronics Corp ロボット装置および処理装置
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
US6257827B1 (en) * 1997-12-01 2001-07-10 Brooks Automation Inc. Apparatus and method for transporting substrates
US6079927A (en) * 1998-04-22 2000-06-27 Varian Semiconductor Equipment Associates, Inc. Automated wafer buffer for use with wafer processing equipment
US6428262B1 (en) * 1999-08-11 2002-08-06 Proteros, Llc Compact load lock system for ion beam processing of foups
JP4021125B2 (ja) * 2000-06-02 2007-12-12 東京エレクトロン株式会社 ウェハ移載装置の装置ユニット接続時に用いられるレールの真直性保持装置
JP2002026108A (ja) * 2000-07-12 2002-01-25 Tokyo Electron Ltd 被処理体の移載機構、処理システム及び移載機構の使用方法
JP4937459B2 (ja) * 2001-04-06 2012-05-23 東京エレクトロン株式会社 クラスタツールおよび搬送制御方法
US6729824B2 (en) * 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system

Cited By (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100803562B1 (ko) * 2006-12-28 2008-02-15 세메스 주식회사 기판 처리 장치
KR100819176B1 (ko) * 2006-12-29 2008-04-04 주식회사 케이씨텍 대면적 기판 공정 챔버 시스템
US10654299B2 (en) 2008-06-13 2020-05-19 Kateeva, Inc. Low-particle gas enclosure systems and methods
US10519535B2 (en) 2008-06-13 2019-12-31 Kateeva Inc. Method and apparatus for load-locked printing
US11802331B2 (en) 2008-06-13 2023-10-31 Kateeva, Inc. Method and apparatus for load-locked printing
US11230757B2 (en) 2008-06-13 2022-01-25 Kateeva, Inc. Method and apparatus for load-locked printing
US12018857B2 (en) 2008-06-13 2024-06-25 Kateeva, Inc. Gas enclosure assembly and system
US11975546B2 (en) 2008-06-13 2024-05-07 Kateeva, Inc. Gas enclosure assembly and system
US10442226B2 (en) 2008-06-13 2019-10-15 Kateeva, Inc. Gas enclosure assembly and system
US11034176B2 (en) 2008-06-13 2021-06-15 Kateeva, Inc. Gas enclosure assembly and system
US10500880B2 (en) 2008-06-13 2019-12-10 Kateeva, Inc. Gas enclosure systems and methods utilizing an auxiliary enclosure
US10900678B2 (en) 2008-06-13 2021-01-26 Kateeva, Inc. Gas enclosure assembly and system
US11926902B2 (en) 2008-06-13 2024-03-12 Kateeva, Inc. Method and apparatus for load-locked printing
US10851450B2 (en) 2008-06-13 2020-12-01 Kateeva, Inc. Method and apparatus for load-locked printing
US11633968B2 (en) 2008-06-13 2023-04-25 Kateeva, Inc. Low-particle gas enclosure systems and methods
KR101483180B1 (ko) * 2011-04-11 2015-01-19 가부시키가이샤 아루박 성막 장치
KR101271383B1 (ko) * 2011-04-15 2013-06-10 삼성전자주식회사 웨이퍼 버퍼 장치 및 이를 갖는 웨이퍼 가공 시스템
KR101400157B1 (ko) * 2011-07-29 2014-05-30 세메스 주식회사 기판처리장치, 기판처리설비 및 기판처리방법
US8974601B2 (en) 2011-07-29 2015-03-10 Semes Co., Ltd. Apparatuses, systems and methods for treating substrate
US10468279B2 (en) 2013-12-26 2019-11-05 Kateeva, Inc. Apparatus and techniques for thermal treatment of electronic devices
US11107712B2 (en) 2013-12-26 2021-08-31 Kateeva, Inc. Techniques for thermal treatment of electronic devices
US10512931B2 (en) 2014-01-21 2019-12-24 Kateeva, Inc. Apparatus and techniques for electronic device encapsulation
US11489119B2 (en) 2014-01-21 2022-11-01 Kateeva, Inc. Apparatus and techniques for electronic device encapsulation
US10537911B2 (en) 2014-04-30 2020-01-21 Kateeva, Inc. Gas cushion apparatus and techniques for substrate coating
US11338319B2 (en) 2014-04-30 2022-05-24 Kateeva, Inc. Gas cushion apparatus and techniques for substrate coating
KR20190142438A (ko) * 2014-04-30 2019-12-26 카티바, 인크. 가스 쿠션 장비 및 기판 코팅 기술
KR20190034350A (ko) * 2014-04-30 2019-04-01 카티바, 인크. 가스 쿠션 장비 및 기판 코팅 기술
KR20170055819A (ko) * 2015-11-12 2017-05-22 세메스 주식회사 기판 처리 장치

Also Published As

Publication number Publication date
EP1146548A4 (en) 2009-04-15
US7025554B2 (en) 2006-04-11
US7198448B2 (en) 2007-04-03
KR20010081006A (ko) 2001-08-25
EP1146548A1 (en) 2001-10-17
KR100676029B1 (ko) 2007-01-29
WO2000030156A1 (fr) 2000-05-25
TW442891B (en) 2001-06-23
US20040105737A1 (en) 2004-06-03
US20060182539A1 (en) 2006-08-17
KR100870816B1 (ko) 2008-11-27

Similar Documents

Publication Publication Date Title
KR100870816B1 (ko) 진공 처리 시스템
JP4821074B2 (ja) 処理システム
EP0398365B1 (en) Multiple chamber staged-vacuum semiconductor wafer processing system
KR100261532B1 (ko) 피처리체 반송장치를 가지는 멀티챔버 시스템
US5769952A (en) Reduced pressure and normal pressure treatment apparatus
JP4416323B2 (ja) 基板処理装置、基板処理システム及び基板処理方法
US5186718A (en) Staged-vacuum wafer processing system and method
JP4916140B2 (ja) 真空処理システム
KR100627782B1 (ko) 피처리체 수납 용기체 및 처리 시스템
KR20010020944A (ko) 외부 스테이징 영역을 가진 로드록
TW200826222A (en) Apparatus for manufacturing semiconductor device
EP1027724A1 (en) Modular substrate processing system
WO2000028587A1 (fr) Dispositif de traitement
JP4256551B2 (ja) 真空処理システム
JP2000150618A (ja) 真空処理システム
JP2001135704A (ja) 基板処理装置及び基板搬送用トレイの搬送制御方法
JP3215643B2 (ja) プラズマ処理装置
JP5923288B2 (ja) 真空処理装置及び真空処理装置の運転方法
JP3151582B2 (ja) 真空処理装置
JPH06314730A (ja) 真空処理装置
KR100242534B1 (ko) 멀티 챔버시스템
JP2004146714A (ja) 被処理体の搬送機構
KR100916141B1 (ko) 얼라이너 챔버 및 그것을 구비한 멀티 챔버형 기판 처리 설비
JP3121022B2 (ja) 減圧処理装置
JP2000323549A (ja) 真空処理装置

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
AMND Amendment
E902 Notification of reason for refusal
B601 Maintenance of original decision after re-examination before a trial
J301 Trial decision

Free format text: TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20071128

Effective date: 20081030

S901 Examination by remand of revocation
GRNO Decision to grant (after opposition)
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121114

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20131031

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee