TW201715578A - 包括沉積設備的半導體製造系統 - Google Patents

包括沉積設備的半導體製造系統 Download PDF

Info

Publication number
TW201715578A
TW201715578A TW105119291A TW105119291A TW201715578A TW 201715578 A TW201715578 A TW 201715578A TW 105119291 A TW105119291 A TW 105119291A TW 105119291 A TW105119291 A TW 105119291A TW 201715578 A TW201715578 A TW 201715578A
Authority
TW
Taiwan
Prior art keywords
electrode
gas supply
semiconductor manufacturing
manufacturing system
supply device
Prior art date
Application number
TW105119291A
Other languages
English (en)
Other versions
TWI571909B (zh
Inventor
金大淵
金熙哲
張顯秀
Original Assignee
Asm知識產權私人控股有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asm知識產權私人控股有限公司 filed Critical Asm知識產權私人控股有限公司
Application granted granted Critical
Publication of TWI571909B publication Critical patent/TWI571909B/zh
Publication of TW201715578A publication Critical patent/TW201715578A/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32513Sealing means, e.g. sealing between different parts of the vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Abstract

提供了一種半導體製造系統,其具有增大的製程視窗以用於穩定並靈活地進行沉積製程。該半導體製造系統包括:起到第一電極的作用並包括複數個注入孔的氣體供應裝置;連接至該氣體供應裝置的反應器壁;以及起到第二電極作用的基板容納裝置,該基板容納裝置和該反應器壁配置成通過面密封而一起密封。從該氣體供應裝置向該基板容納裝置供應的反應氣通過該氣體供應裝置和該反應器壁之間的排氣路徑而排到外部。該第一電極包括鄰近該氣體供應裝置的邊緣的突出電極。

Description

包括沉積設備的半導體製造系統 【交叉參考相關申請案】
本申請主張2015年10月22日在美國專利及商標局提交的美國臨時申請號62/245,150的優先權,該美國臨時申請的全部公開內容通過引用併入本文。
一個或複數個實施方式關於一種半導體製造系統,並且更特別地,關於例如包括用於形成薄層的沉積設備的半導體製造系統。
為了滿足更小半導體裝置的設計規則,已致力於在半導體基板上沉積更薄的層並通過使用低溫製程代替高溫製程來減少薄層之間的物理或化學干擾。如果使用電漿來沉積薄層,可誘發反應物之間的化學反應而不會增加其上安裝有基板的加熱器的溫度,而且與在高溫情況下的使用不同,可以防止反應器壽命的降低。例如,原子層沉積(ALD)方法廣泛應用於在具有複雜結構的半導體裝置中沉積薄層,這是因為在ALD方法中能夠容易地控制薄層的沉積厚度。此外,在熱過程下沒有發生化學反應的反應氣可以通過在電漿增強原子層沉積(PEALD)方法中與反應氣的供應 同步地供應電漿而被化學啟動並沉積以形成薄層,並因此PEALD方法已廣泛應用於工業領域。
一個或更多個實施方式包括一種沉積設備,該沉積設備具有的反應器結構能夠用於擴大製程視窗並且無論電容性耦合電漿(CCP)反應器中電極之間的距離和電極與反應器壁之間的距離的相對比例如何,都會防止在電極之間產生的電漿消失於反應器壁。
另外的方面將在以下說明書中部分地陳述並且,在某種程度上,所述另外的方面從所述說明書中將顯而易見,或可以通過實現所提供的實施方式的來理解此另外的方面。
根據一個或更多個實施方式,反應器包括:反應器壁;上電極;下電極;以及設置在該上電極上方並包括氣體供應路徑的氣流控制裝置。
該上電極和該氣流控制裝置可以單獨設置或作為一個單元設置。此外,可以在反應器壁和氣流控制裝置之間形成排氣路徑。可以在與該氣流控制裝置接觸的反應器壁的上部中形成與外部排氣泵連接的出氣口,以便通過反應器的上部排出氣體。
上電極可以與外部射頻(RF)功率發生器連接,並且可以向反應空間施加RF功率。氣體供應裝置(諸如噴頭)可以用作上電極。下電極可以面向上電極,並且基板安裝裝置(諸如基板容納裝置)可以用作下電極。
上電極的下表面的一部分可以具有凹形從而使得電漿在反應空間中的均勻分佈。例如,可以在距該上電極的下表面的中心一定距離處沿該上電極的下表面的圓周形成突出,並且可以在突出的附近形成凹入部分。例如,可以鄰近該上電極的下表面的邊緣形成突出,並且可以在突出裡面形成凹入區域。
根據一個或更多個實施方式,一種半導體製造系統,包括:起到第一電極的作用並包括複數個注入孔的氣體供應裝置;連接至該氣體供應裝置的反應器壁;以及起到第二電極作用的基板容納裝置,該基板容納裝置和該反應器壁配置成通過面密封而密封,其中,從該氣體供應裝置向該基板容納裝置供應的反應氣通過該氣體供應裝置和該反應器壁之間的排氣路徑排到外部,並且該第一電極包括鄰近該氣體供應裝置的邊緣的突出電極。
基板容納裝置與氣體供應裝置的中心區域之間的第一距離可以大於該反應器壁與該氣體供應裝置的該邊緣之間的第二距離,並且該基板容納裝置與該氣體供應裝置之間的第三距離可以小於該第二距離。
由該第一電極和第二電極產生的電漿由於該電漿在該第一距離中的徑向性而可以向該反應器壁移動並由於該電漿在該第三距離中的線性而可以向該基板容納裝置移動。
該電漿的該徑向性可以隨著該電漿的功率和該反應氣的流速中的一者或兩者的增加而增加。
該突出電極可以具有預定的曲率半徑。該基板容納裝 置可以包括:位置與該突出電極所處位置對應的凹槽。該突出電極和該凹槽可以具有相同的曲率半徑。
該氣體供應單元可以從該突出電極凹進從而在該氣體供應裝置中可以界定凹入空間。該半導體製造系統進一步可以包括在該氣體供應單元上方的出氣口。在這種情況下,該反應氣可以通過該排氣路徑和該出氣口排到外部。
該半導體製造系統進一步可以包括置於該氣體供應單元與該出氣口之間的氣流控制裝置。在這種情況下,該反應氣可以通過該排氣路徑、該氣流控制裝置和該出氣口排到外部。
該氣流控制裝置可以包括板和從該板突出的側壁,並且該側壁可以包括從其貫穿形成的複數個貫穿孔。
該基板容納裝置可以包括:用於容納基板的凹處區域;和環繞該凹處區域並用於該面密封的接觸區域。該凹處區域可以延伸至與該突出電極所處位置對應的位置。
根據一個或更多個實施方式,一種半導體製造系統,包括:反應器壁;連接至該反應器壁的氣流控制裝置;連接至該氣流控制裝置的氣體供應裝置;和面向該氣體供應裝置並與該反應器壁的下表面接觸從而在它們之間界定反應空間的下電極;該氣流控制裝置堆疊在該氣體供應裝置上;進氣口通過該反應器壁的上部、該氣流控制裝置和該氣體供應裝置形成,並且氣體通過該進氣口供應至該氣體供應裝置;該下電極與該氣體供應裝置的中心區域之間的第一距離大於該反應器壁與該氣體供應裝置的邊緣之間的 第二距離,並且該氣體供應裝置的該邊緣與該下電極的邊緣之間的第三距離小於該第二距離。
根據一個或更多個實施方式,一種半導體製造系統,包括:包括複數個注入孔的第一電極;在該第一電極上方的氣流控制裝置;連接至該第一電極和該氣流控制裝置的反應器壁;和配置成接觸反應器壁用以面密封的第二電極,其中通過該注入孔向該第二電極供應的反應氣通過該氣體供應裝置和該反應器壁之間的排氣路徑以及通過該氣流控制裝置排到外部,並且面向該第二電極的該第一電極的表面是凹入的。
該半導體製造系統進一步可以包括置於該氣流控制裝置上方的出氣口,其中該反應氣可以通過該排氣路徑、該氣流控制裝置和該出氣口排到外部。
該氣流控制裝置可以包括板和從該板突出的側壁,並且該側壁可以包括複數個貫穿孔。
該第二電極與該第一電極的中心區域之間的第一距離可以大於該反應器壁與該第一電極的邊緣之間的第二距離,並且該第一電極的該邊緣與該第二電極的邊緣之間的第三距離可以小於該第二距離。
該第一電極可以包括鄰近其邊緣的突出電極。該第二電極可以包括容納基板的凹處區域,並且該凹處區域可以延伸至與該突出電極所處位置對應的位置。
1‧‧‧上電極
2‧‧‧下電極
3‧‧‧反應器壁
100‧‧‧半導體製造系統
101‧‧‧反應器壁
103‧‧‧基板容納裝置
105‧‧‧氣流控制裝置
109‧‧‧氣體供應裝置
111‧‧‧貫穿孔
113‧‧‧進氣口
115‧‧‧出氣口
117‧‧‧排氣路徑
119‧‧‧氣體流路
123‧‧‧側壁
125‧‧‧反應空間
127‧‧‧凹槽
129‧‧‧凹槽
131‧‧‧凹槽
133‧‧‧氣體注入孔
301‧‧‧板
303‧‧‧射頻(RF)棒孔
305‧‧‧螺孔
313‧‧‧射頻(RF)棒
801‧‧‧頂蓋
803‧‧‧外腔室
805‧‧‧室內區域
1000‧‧‧反應室
1003‧‧‧基座
A‧‧‧距離
B‧‧‧電極間距離
C‧‧‧距離
G‧‧‧凹槽
J1‧‧‧初始位置
J2‧‧‧中心位置
J3‧‧‧端位置
K1、K2、K3‧‧‧虛線
P、P’‧‧‧突出電極
R‧‧‧凹處區域
S‧‧‧接觸區域
這些及/或其他方面將從以下結合圖式對實施方式的 描述中變得顯而易見並更容易被理解。
圖1是示出根據實施方式的半導體製造系統的橫截面視圖。
圖2是示出在實施方式的半導體製造系統中反應氣(和剩餘氣體)流動的視圖。
圖3是示出實施方式的半導體製造系統的另一橫截面視圖。
圖4和圖5是示出先前技術的半導體製造系統的視圖和示出根據實施方式的半導體製造系統的視圖。
圖6是示出根據另一實施方式的半導體製造系統的橫截面視圖。
圖7A和圖7B是示出根據實施方式的半導體製造系統的上電極的變型的橫截面視圖。
圖8和圖9是示出根據另一實施方式的半導體製造系統的橫截面視圖。
圖10至圖12是示出電漿耦合可靠性的視圖。
圖13和圖14是示出當使用先前技術的反應器和根據實施方式的反應器通過電漿增強原子層沉積(PEALD)方法來形成SiO2層時,取決於電漿功率(a)和氧氣流速(b)的薄層均勻度的視圖。
圖15是示出根據另一實施方式的半導體製造系統的橫截面視圖。
現在將參照圖式描述實施方式。
然而,本發明構思可以以很多不同的方式實現並且不應視為限制於在本文中提出的實施方式。而是,提供這些實施方式用以使本案所屬技術領域中具有通常知識者對本發明有清楚的理解。也就是說,提供實施方式從而使得本揭露將是充分和完整的,並且向對本案所屬技術領域中具有通常知識者完全傳達本發明的構思。
在以下描述中,術語僅用於解釋具體的實施方式而不限制本發明構思。單數形式的術語可以包括複數形式除非相反地指出。“包括”的含義指定形狀、固定數、步驟、方法、構件、元件及/或其組合但不排除其他形狀、固定數、步驟、方法、構件、元件及/或其組合。如在本文中所使用的術語“及/或”包括一個或複數個相關的所列項的任何和所有組合。
將理解的是,雖然可以在本文中使用第一、第二等術語來描述各種構件、區域及/或元件,但這些構件、區域及/或元件不應被這些術語限制。這些術語並非用於表示構件、區域或元件的特定的順序、位置關係或等級,而僅用於將一個構件、區域或元件與另一構件、區域或元件區別。因此,在下面討論的第一構件、區域或元件能夠被稱為第二構件、區域或元件而不會背離本發明的教導。
在下文中,將參照圖式描述實施方式。圖式中示出的形狀可以根據各種因素諸如製造方法及/或容差而改變。也就是說,實施方式不限於圖式中所示的形狀。應該考慮到因素諸如製造過程中形狀的變化。
首先,現在將根據圖1的實施方式來描述沉積設備。圖1是示出根據實施方式的半導體製造系統100的橫截面視圖。在圖1所示的半導體製造系統100中,反應器壁101可以與基板容納裝置103接觸。例如,反應器壁101的下表面可以與起到下電極作用的基板容納裝置103接觸,並因此可以在反應器壁101與基板容納裝置103之間形成反應空間125。
換言之,基板容納裝置103和反應器壁101可以彼此接觸並可以通過面密封來密封,並且由於此面密封,可以在基板容納裝置103與反應器壁101之間形成反應空間125。
氣流控制裝置105和氣體供應裝置109可以佈置在反應器壁101與基板容納裝置103之間。氣流控制裝置105和具有氣體注入孔133的氣體供應裝置109可以設置為一個單元或分離型單元(具有使氣體注入孔133分離的一部分)。在後者情況下,氣流控制裝置105可以置於氣體供應裝置109上。此外,氣體供應裝置109也可以設置為分離型設備。在這種情況下,氣體供應裝置109可以包括:具有複數個氣體注入孔133的氣體注入裝置;和位於該氣體注入裝置(參見圖3)上方的氣體通道。
氣流控制裝置105可以包括板301和從板301突出的側壁123。複數個貫穿孔111可以穿過該側壁123形成。
可以在反應器壁101與氣流控制裝置105之間和在氣流控制裝置105與氣體供應裝置109之間形成凹槽127、 凹槽129和凹槽131以容納密封構件諸如O型環。由於密封構件,外部氣體不可以滲入反應空間125中。此外,由於密封構件,反應氣可以沿預定路徑(亦即,圖2中示出的排氣路徑117和出氣口115)從反應空間125排出。也就是說,反應氣不會通過其他區域從預定路徑洩露。
在電漿製程諸如電容性耦合電漿(CCP)製程中,氣體供應裝置109可以用作電極。在這種情況下,氣體供應裝置109可以包括金屬諸如鋁(Al)。在CCP製程中,基板容納裝置103還可以用作電極。也就是說,電容性耦合可以通過起到第一電極作用的氣體供應裝置109和起到第二電極作用的基板容納裝置103來實現。
例如,由外部電漿發生器(未圖示)產生的電漿可以通過射頻(RF)棒313(參見圖3)而供應至氣體供應裝置109。RF棒313可以通過穿過反應器壁101的上部和氣流控制裝置105形成的射頻(RF)棒孔303而機械連接至氣體供應裝置109。
可選地,氣體供應裝置109可以包括導電材料,並且氣流控制裝置105可以包括絕緣材料諸如陶瓷材料從而使用作電漿電極的氣體供應裝置109與反應器壁101絕緣。
突出電極P可以鄰近氣體供應裝置109的邊緣佈置。突出電極P可以防止電漿消失於反應器壁101。突出電極P將在下面進一步描述。
如圖1所示,進氣口113穿過反應器壁101的上部和氣流控制裝置105的中心部分形成。此外,在氣體供應裝 置109中另外形成氣體流路119使得通過進氣口113從外部氣體供應單元(未圖示)供應的反應氣可以通過氣體流路119均勻分佈到氣體供應裝置109的氣體注入孔133。
此外,如圖1所示,出氣口115以與進氣口113非對稱的關係形成於反應器壁101的上部。然而,出氣口115和進氣口113可以彼此對稱(未圖示)。此外,由於反應器壁101與氣流控制裝置105的側壁(和氣體供應裝置109的側壁)隔開以形成排氣路徑117,所以製程之後剩餘的反應氣可以通過排氣路徑117排出。
圖2是示出在半導體製造系統100中反應氣(和剩餘氣體)流動的視圖。在圖2中,箭頭表示氣體流動。從外部氣體供應單元(未圖示)向進氣口113供應的反應氣可以通過氣體流路119而均勻地流到氣體供應裝置109(例如噴頭)的氣體注入孔133。
反應氣可以在反應空間125中或基板容納裝置103上的基板上進行化學反應以在基板上形成薄層。在形成薄層之後,剩餘的氣體可以通過在反應器壁101與氣體供應裝置109的側壁之間形成的排氣路徑117和在氣流控制裝置105的側壁123中形成的貫穿孔111而流入氣流控制裝置105中,並然後可以通過出氣口115排出。
圖3是示出實施方式的半導體製造系統100的另一橫截面視圖。參照圖3,氣流控制裝置105包括側壁123、進氣口113、由側壁123環繞的板301、RF棒孔303、螺孔305、貫穿孔111和容納密封構件諸如O型環的凹槽127。
板301可以具有由側壁123環繞的下凹內部。進氣口113位於用於接收反應氣的路徑的氣流控制裝置105的區域中。螺孔305的數目至少為2,並在進氣口113周圍形成,且機械連接構件諸如螺絲可以通過螺孔305插入用以連接氣流控制裝置105和氣體供應裝置109。RF棒孔303形成在氣流控制裝置105的另一區域,且因此連接至外部電漿供應單元(未圖示)的RF棒313可以通過RF棒孔303而連接至置於氣流控制裝置105下方的氣體供應裝置109。
在電漿製程諸如CCP製程中,連接至RF棒313的氣體供應裝置109可以用作電極。突出電極P可以沿氣體供應裝置109的邊緣佈置。
由於突出電極P,氣體供應裝置109的下表面(亦即,面向基板容納裝置103的表面)可以彎曲以形成凹入空間。
反應空間的結構可以對電漿製程影響顯著。例如,當使用由在上下電極之間施加的RF功率產生的電漿通過CCP方法進行電漿製程時,如果起到RF功率電極作用的上電極(例如,氣體供應裝置109諸如噴頭)是平的,則在反應空間的中心區域(例如,圖1所示的反應空間125)中的電漿濃度可以比反應空間的週邊區域的電漿濃度高。當上下電極之間的距離小時,這可能不會引起重大問題。然而,當上下電極之間的距離大時,由於電漿的徑向性,反應空間的週邊區域的電漿消失於反應器壁。在這種情況下,在基板上形成的層的性能(諸如厚度均勻性或在後來蝕刻製程中的濕蝕刻速率(WER))在基板的中心與邊緣之間可以 明顯不同。也就是說,可能很難形成均勻層。
例如,待考慮的因素是上下電極之間的距離和上電極與反應器壁(也就是,排氣路徑117的寬度)之間的距離的比例。也就是說,如果上下電極之間的距離大於上電極與反應器壁之間的距離,則一部分電漿(例如在上電極(通過其供應RF功率)的邊緣區域產生的電漿)可以向反應器壁移動而不向下電極移動。因此,可以降低電漿功率耦合可靠性,並且可以劣化在基板的邊緣區域中的薄層的性能諸如均勻性。這種現象可以隨著反應氣流速和電漿功率中的一者或兩者的增加而明顯發生(參見圖13和圖14)。因此,由於以上現象,先前技術中的CCP反應器具有受限制的製程視窗。
然而,本實施方式的半導體製造系統100包括沿氣體供應裝置109的邊緣的突出電極P。因此,在反應空間125中可以改善電漿功率耦合可靠性,並且使薄層的厚度可以不會局部減小薄層(亦即改善的均勻度)。此外,可以擴大用於穩定的沉積製程的製程視窗,並因此可以靈活進行沉積製程。
突出電極P可以具有預定的曲率半徑。根據另外的實施方式,突出電極P的曲率半徑可以根據製程條件而不同。例如,突出電極P的曲率半徑可以是大約R3.0(3.0mm)。
基板容納裝置103可以包括用於容納基板的凹處區域R和環繞凹處區域R的接觸區域S。基板可以置於凹處區域R中,並且反應器壁101可以密封地與接觸區域S接觸 (面密封)。凹處區域R可以延伸至與該突出電極所處位置對應的位置。這將在稍後描述。
圖4和圖5分別是示出先前技術的半導體製造系統的視圖和示出根據實施方式的半導體製造系統的視圖。
參照圖4,在先前技術的半導體製造系統中,通過上電極和下電極2之間的電極間距離B界定反應空間。如果將RF功率施加至上電極1,則可以在反應空間中產生電漿。然而,在這種情況下,如果氣體供應裝置諸如包括氣體注入孔的噴頭用作上電極1,則噴頭的氣體注入孔的形狀可以不被期望地轉移至基板。因此,可以增加電極間距離B以防止此發生。然而,在這種情況下,如果電極間距離B大於上電極1與反應器壁3之間的距離A,則在反應空間的邊緣區域產生的電漿可以向反應器壁3移動而不向下電極2移動。因此,可以降低電漿功率耦合可靠性,並且在基板上形成的薄層在基板的邊緣區域的均勻度可以低於在基板的中心區域的均勻度。
參照圖5,在實施方式的半導體製造系統中,如同圖4所示的半導體製造系統,上電極1與下電極2之間的電極間距離B大於上電極1與反應器壁3之間的距離A,並且鄰近上電極1的邊緣形成突出電極P。因此,上電極1的邊緣與下電極2之間的距離小於上電極1與反應器壁3之間的距離A。也就是說,可以滿足B>A>C。
由於突出電極P,即使電極間距離B大於上電極1與反應器壁3之間的距離A,也可以保持反應空間中的電漿 的均勻性而電漿功率不損失於反應器壁3。例如,由於由上電極1和下電極2產生的電漿在電極間距離B中具有很強的徑向性,所以電漿可以沿比電極間距離B短的距離A消失於反應器壁3。然而,電漿的這種消失於反應器壁3的現象通過突出電極P被防止。此外,由於由突出電極P和下電極2產生的電漿在距離C中具有很強的線性度,所以電漿可以不沿大於距離C的距離A向反應器壁3移動而是可以向起到下電極2作用的基板容納裝置移動。
圖6是示出根據另一實施方式的半導體製造系統的橫截面視圖。
參照圖6,起到下電極2作用的基板容納裝置可以包括:延伸至與該突出電極所處位置對應的位置的凹處區域R。在本文中,表述“凹處區域R延伸至與該突出電極所處位置對應的位置。”意指凹處區域R延伸至虛線K1、虛線K2和虛線K3中的一條(或虛線K1、虛線K2和虛線K3之間的任意線),該虛線K1、虛線K2和虛線K3從突出電極P開始突出的初始位置J1、突出電極P的中心位置J2和突出電極P的端位置J3中的一個沿垂直於下電極2的方向畫出。
根據本實施方式,雖然起到下電極2作用的基板容納裝置的凹處區域R延伸至與上電極1(也就是,氣體供應裝置)邊緣對應的位置,但可以進行沉積製程而不使電漿消失於反應器壁。因此,可以實現在大基板上沉積薄層時的空間效率,同時保持薄層的品質。
圖7A和圖7B是示出根據實施方式的半導體製造系統的上電極的變型的橫截面視圖。
參照圖7A和圖7B,氣體供應裝置的電極表面可以是各種形狀。例如,氣體供應裝置可以包括具有如圖7A所示的錐形形狀或如圖7B所示的凹形形狀(諸如圓頂狀)的電極表面(亦即,面向基板容納裝置的表面)。此外,氣體供應裝置可以包括具有臺階式形狀(未圖示)的突出電極。也就是說,本發明構思的範圍可以擴展到任何電極形狀,只要上電極的中心區域與下電極之間的距離大於下電極與上電極的邊緣之間的距離即可。
參照圖7A和圖7B,氣體供應裝置包括具有平的上表面的電極。然而,氣體供應裝置的電極的上表面可以是各種形狀的。例如,氣體供應裝置的電極的上表面可以具有錐形形狀或凸形形狀。
圖8和圖9是示出根據其它實施方式的半導體製造系統的橫截面視圖。
參照圖8,突出電極P’可以沿及/或鄰近下電極2的邊緣佈置。例如,突出電極P’可以佈置在起到下電極2作用的基板容納裝置的接觸區域S中。
在前述的實施方式中,突出電極P佈置於起到上電極作用的氣體供應裝置上。然而,在本實施方式中,突出電極P’置於下電極2上使得上電極1的中心區域與下電極2之間的距離可以大於上電極1和下電極2的邊緣之間的距離。雖然在圖8中未圖示,突出電極P’可以分別置於 上電極1和下電極2上。
參照圖9,起到下電極2作用的基板容納裝置可以包括在對應於該突出電極P所處位置處的凹槽G。由於凹槽G,電漿和剩餘的氣體可以在反應空間的週邊區域較少地受瓶頸現象的影響。根據另外的實施方式,突出電極P和凹槽G可以具有相同的曲率半徑。
圖10至圖12是示出電漿耦合可靠性的視圖。圖10和圖11是示出先前技術中的反應器的電漿耦合可靠性的視圖,並且圖12是示出根據實施方式的反應器的電漿耦合可靠性的視圖。
參照圖10,電極間距離是3.5mm,並且電極間距離小於上電極與反應器壁之間的距離6mm。在這種情況下,RF功率(用虛線表示)可以無損失地從上電極傳輸到下電極,並因此不會降低反應空間中的電漿功率耦合可靠性。因此,在基板的中心和邊緣區域上,沉積在基板上的薄層都可以是均勻的。
參照圖11,電極間距離是7mm,並且電極間距離大於上電極與反應器壁之間的距離6mm。在這種情況下,RF功率可以從上電極的邊緣區域部分消失於反應器壁而不是完整地傳輸到下電極。也就是說,電漿可以部分洩露到反應器壁而不是向下電極移動。
參照圖12,如在圖11中一樣,電極間距離和上電極與反應器壁之間的距離分別是7mm和6mm。然而,參照圖12,突出電極鄰近上電極的邊緣設置。因此,與在圖11 中所示的結構中的不同,可以防止電漿消失於反應器壁。即使反應空間的高度增加,當由於突出電極而不會降低反應空間中沉積的薄層的電漿功率耦合可靠性和均勻性。
圖13和圖14是示出當使用先前技術的反應器和根據實施方式的半導體製造系統通過電漿增強原子層沉積(PEALD)方法形成二氧化矽層時,取決於電漿功率(a)和氧氣流速(b)的薄層均勻性的視圖。
參照圖13,隨著施加至先前技術中的反應器的上電極的RF功率的增加,薄層在基板的邊緣區域中的厚度減小並且薄層的均勻性降低。在圖13中,用箭頭表示其中薄層厚度減小的區域。然而,即使在根據實施方式的反應器中增加RF功率,薄層在基板的邊緣區域中的厚度不會減小。
圖14示出當RF功率保持不變時取決於供應至反應空間的氧氣流速的薄層均勻性。在圖14中,用箭頭表示其中薄層厚度減小的區域。參照圖14,當使用先前技術中的反應器時,隨著氧氣流速的增加,薄層在基板的邊緣區域中的厚度減小。然而,當使用實施方式的反應器時,無論氧氣流速如何,薄層厚度不會增加。
圖15是示出根據另一實施方式的半導體製造系統的橫截面視圖。參照圖15,複數個反應室1000置於由頂蓋801和外腔室803形成的室內區域805中。每個反應室1000的氣流控制裝置、噴頭、進氣口和出氣口都佈置於頂蓋801中。反應室1000共用同一個氣體供應單元和同一個排氣泵,並因此可以對複數個基板同時進行相同的製程從而增 加生產率。
如下可以同時對複數個基板進行相同的製程。首先,通過位於外腔室803側面的門將基板傳送裝置插入室內區域805中從而在複數個基座1003上放置基板。接下來,外腔室803的室內區域805被排空或充滿惰性氣體諸如氬氣。接著,舉起基座1003並使其與反應器壁101的下側接觸,從而形成反應空間。室內區域805的壓力可以設成低於反應室1000的內壓力。
或者,反應室1000可以不共用氣體供應單元和排氣泵而可以連接至單獨的氣體供應單元和排氣泵從而同時進行不同的製程。例如,在依次向反應室1000移動基板的同時,可以進行複合薄層形成製程以在基板上沉積薄層。在這種情況下,可以快速進行複合薄層形成製程同時將暴露於空氣或等待時間降到最低限度。
圖式中所示的部件的形狀是為了清楚理解實施方式而提供的例子。也就是說,部件的形狀可以不同。
應理解的是本文中描述的實施方式應考慮為描述意義並無限制目的。各個實施方式中對特點或方面的描述應典型地考慮為對其他實施方式中的其他類似的特點或方面可用。
當已參照圖式描述了一個或更多個實施方式時,本案所屬技術領域中具有通常知識者應當理解可以在不背離如所附申請專利範圍所界定的本發明構思的精神和範圍下作出形式和細節上的各種變化。
100‧‧‧半導體製造系統
101‧‧‧反應器壁
103‧‧‧基板容納裝置
105‧‧‧氣流控制裝置
109‧‧‧氣體供應裝置
111‧‧‧貫穿孔
113‧‧‧進氣口
115‧‧‧出氣口
117‧‧‧排氣路徑
119‧‧‧氣體流路
123‧‧‧側壁
125‧‧‧反應空間
127‧‧‧凹槽
129‧‧‧凹槽
131‧‧‧凹槽
133‧‧‧氣體注入孔

Claims (20)

  1. 一種半導體製造系統,包括:氣體供應裝置,其起到第一電極的作用並包括複數個注入孔;反應器壁,其連接至該氣體供應裝置;以及基板容納裝置,其起到第二電極的作用,且該基板容納裝置和該反應器壁被配置成通過面密封而密封;從該氣體供應裝置向該基板容納裝置供應的反應氣通過該氣體供應裝置與該反應器壁之間的排氣路徑被排到外部;該第一電極包括鄰近該氣體供應裝置的邊緣的突出電極。
  2. 如請求項1所記載之半導體製造系統,其中該基板容納裝置與該氣體供應裝置的中心區域之間的第一距離大於該反應器壁與該氣體供應裝置的該邊緣之間的第二距離;該基板容納裝置與該氣體供應裝置的該突出電極之間的第三距離小於該第二距離。
  3. 如請求項2所記載之半導體製造系統,其中由該第一電極和該第二電極產生的電漿由於該電漿在該第一距離中的徑向性而向該反應器壁移動,並且由於該電漿在該第三距離中的線性度而向該基板容納裝置移 動。
  4. 如請求項3所記載之半導體製造系統,其中該電漿的該徑向性隨著該電漿的功率和該反應氣的流速中的一者或兩者的增加而增加。
  5. 如請求項1所記載之半導體製造系統,其中該突出電極具有預定的曲率半徑。
  6. 如請求項1所記載之半導體製造系統,其中該氣體供應裝置從該突出電極凹進,使得在該氣體供應裝置中界定凹入空間。
  7. 如請求項1所記載之半導體製造系統,其中該半導體製造系統進一步包括該氣體供應裝置上方的出氣口;該反應氣通過該排氣路徑和該出氣口排放到外部。
  8. 如請求項7所記載之半導體製造系統,其中該半導體製造系統進一步包括佈置於該氣體供應裝置與該出氣口之間的氣流控制裝置;該反應氣通過該排氣路徑、該氣流控制裝置和該出氣口被排放到外部。
  9. 如請求項8所記載之半導體製造系統,其中該氣流控制裝置包括板和從該板突出的側壁;該側壁包括從其貫穿形成的複數個貫穿孔。
  10. 如請求項1所記載之半導體製造系統,其中該基板容納裝置包括:位置與該突出電極所處位置對應的凹槽。
  11. 如請求項10所記載之半導體製造系統,其中該突出電極和該凹槽具有相同的曲率半徑。
  12. 如請求項1所記載之半導體製造系統,其中該基板容納裝置包括:凹處區域,其用於容納基板;以及接觸區域,其環繞該凹處區域用於該面密封。
  13. 如請求項12所記載之半導體製造系統,其中該凹處區域延伸至與該突出電極所處位置對應的位置。
  14. 一種半導體製造系統,包括:反應器壁;氣流控制裝置,其連接至該反應器壁;氣體供應裝置,其連接至該氣流控制裝置;以及下電極,其面向該氣體供應裝置並與該反應器壁的下表面接觸從而在其之間界定反應空間;該氣流控制裝置佈置於該氣體供應裝置上;進氣口穿過該反應器壁的上部、該氣流控制裝置和該氣體供應裝置形成,並且氣體通過該進氣口供應至該氣體供應裝置;該下電極與該氣體供應裝置的中心區域之間的第一距離大於該反應器壁與該氣體供應裝置的邊緣之間的第二距離;該氣體供應裝置的該邊緣與該下電極的邊緣之間的第三距離小於該第二距離。
  15. 一種半導體製造系統,包括: 第一電極,其包括複數個注入孔;氣流控制裝置,其在該第一電極上方;反應器壁,其連接至該第一電極和該氣流控制裝置;以及第二電極,其配置成通過面密封與該反應器壁密封在一起;通過該複數個注入孔向該第二電極供應的反應氣通過該氣體供應裝置與該反應器壁之間的排氣路徑以及通過該氣流控制裝置被排到外部;面向該第二電極的該第一電極的表面是凹入的。
  16. 如請求項15所記載之半導體製造系統,其中該半導體製造系統進一步包括在該氣流控制裝置上方的出氣口;該反應氣通過該排氣路徑、該氣流控制裝置和該出氣口被排到外部。
  17. 如請求項15所記載之半導體製造系統,其中該氣流控制裝置包括板和從該板突出的側壁;該側壁包括複數個貫穿孔。
  18. 如請求項15所記載之半導體製造系統,其中該第二電極與該第一電極的中心區域之間的第一距離大於該反應器壁與該第一電極的邊緣之間的第二距離;該第一電極的該邊緣與該第二電極的邊緣之間的第三距離小於該第二距離。
  19. 如請求項15所記載之半導體製造系統,其中該第一 電極包括鄰近其邊緣的突出電極。
  20. 如請求項19所記載之半導體製造系統,其中該第二電極包括容納基板的凹處區域;該凹處區域延伸至與該突出電極所處位置對應的位置。
TW105119291A 2015-10-22 2016-06-20 包括沉積設備的半導體製造系統 TWI571909B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562245150P 2015-10-22 2015-10-22
US15/087,736 US10358721B2 (en) 2015-10-22 2016-03-31 Semiconductor manufacturing system including deposition apparatus

Publications (2)

Publication Number Publication Date
TWI571909B TWI571909B (zh) 2017-02-21
TW201715578A true TW201715578A (zh) 2017-05-01

Family

ID=58561913

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105119291A TWI571909B (zh) 2015-10-22 2016-06-20 包括沉積設備的半導體製造系統

Country Status (4)

Country Link
US (1) US10358721B2 (zh)
KR (1) KR102610458B1 (zh)
CN (1) CN106609363B (zh)
TW (1) TWI571909B (zh)

Families Citing this family (220)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11421321B2 (en) 2015-07-28 2022-08-23 Asm Ip Holding B.V. Apparatuses for thin film deposition
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) * 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) * 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP7547382B2 (ja) * 2019-05-15 2024-09-09 アプライド マテリアルズ インコーポレイテッド 処理システム用の動的マルチゾーン流量制御
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11447866B2 (en) * 2020-06-17 2022-09-20 Applied Materials, Inc. High temperature chemical vapor deposition lid
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220021514A (ko) 2020-08-14 2022-02-22 삼성전자주식회사 상부 전극 및 이를 포함하는 기판 처리 장치
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4355937A (en) * 1980-12-24 1982-10-26 International Business Machines Corporation Low shock transmissive antechamber seal mechanisms for vacuum chamber type semi-conductor wafer electron beam writing apparatus
US4534816A (en) * 1984-06-22 1985-08-13 International Business Machines Corporation Single wafer plasma etch reactor
US4590042A (en) * 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
US4786359A (en) * 1987-06-24 1988-11-22 Tegal Corporation Xenon enhanced plasma etch
DE3914065A1 (de) * 1989-04-28 1990-10-31 Leybold Ag Vorrichtung zur durchfuehrung von plasma-aetzverfahren
JPH0423429A (ja) * 1990-05-18 1992-01-27 Mitsubishi Electric Corp 半導体装置のプラズマ処理装置及びプラズマ処理方法
FR2682047B1 (fr) * 1991-10-07 1993-11-12 Commissariat A Energie Atomique Reacteur de traitement chimique en phase gazeuse.
TW299559B (zh) * 1994-04-20 1997-03-01 Tokyo Electron Co Ltd
GB9410567D0 (en) * 1994-05-26 1994-07-13 Philips Electronics Uk Ltd Plasma treatment and apparatus in electronic device manufacture
US5891350A (en) * 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
US5772770A (en) * 1995-01-27 1998-06-30 Kokusai Electric Co, Ltd. Substrate processing apparatus
TW323387B (zh) * 1995-06-07 1997-12-21 Tokyo Electron Co Ltd
US5735960A (en) * 1996-04-02 1998-04-07 Micron Technology, Inc. Apparatus and method to increase gas residence time in a reactor
JP3955340B2 (ja) * 1996-04-26 2007-08-08 株式会社神戸製鋼所 高温高圧ガス処理装置
JP3314151B2 (ja) * 1998-01-05 2002-08-12 株式会社日立国際電気 プラズマcvd装置及び半導体装置の製造方法
US6106663A (en) * 1998-06-19 2000-08-22 Lam Research Corporation Semiconductor process chamber electrode
JP4275769B2 (ja) * 1998-06-19 2009-06-10 株式会社渡辺商行 基体の移載装置
JP3320387B2 (ja) * 1998-09-07 2002-09-03 キヤノン株式会社 電子源の製造装置及び製造方法
DE60035948T2 (de) * 1999-06-19 2008-05-15 Asm Genitech Korea Ltd. Chemischer abscheidungsreaktor und dessen verwendung für die abscheidung eines dünnen films
US6415736B1 (en) * 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
JP3483537B2 (ja) * 2000-03-06 2004-01-06 キヤノン株式会社 画像表示装置の製造方法
JP3590328B2 (ja) * 2000-05-11 2004-11-17 東京エレクトロン株式会社 塗布現像処理方法及び塗布現像処理システム
WO2002009147A2 (en) * 2000-07-26 2002-01-31 Tokyo Electron Limited High pressure processing chamber for semiconductor substrate
US6475336B1 (en) * 2000-10-06 2002-11-05 Lam Research Corporation Electrostatically clamped edge ring for plasma processing
US6391787B1 (en) * 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
AU2002211730A1 (en) * 2000-10-16 2002-04-29 Tokyo Electron Limited Plasma reactor with reduced reaction chamber
US6852167B2 (en) * 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
JP2002299240A (ja) * 2001-03-28 2002-10-11 Tadahiro Omi プラズマ処理装置
US6974523B2 (en) * 2001-05-16 2005-12-13 Lam Research Corporation Hollow anode plasma reactor and method
EP1421606A4 (en) * 2001-08-06 2008-03-05 Genitech Co Ltd PLASMA ACTIVE ATOMIC LAYER (PEALD) DEPOSITION APPARATUS AND METHOD OF FORMING THIN FILM USING SAID APPARATUS
US7780785B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US7160577B2 (en) * 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US7008484B2 (en) * 2002-05-06 2006-03-07 Applied Materials Inc. Method and apparatus for deposition of low dielectric constant materials
US6846380B2 (en) * 2002-06-13 2005-01-25 The Boc Group, Inc. Substrate processing apparatus and related systems and methods
JP4186536B2 (ja) 2002-07-18 2008-11-26 松下電器産業株式会社 プラズマ処理装置
KR100465877B1 (ko) * 2002-08-23 2005-01-13 삼성전자주식회사 반도체 식각 장치
JP4152802B2 (ja) * 2003-05-09 2008-09-17 日本エー・エス・エム株式会社 薄膜形成装置
US20050011447A1 (en) * 2003-07-14 2005-01-20 Tokyo Electron Limited Method and apparatus for delivering process gas to a process chamber
US7699932B2 (en) * 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US7845309B2 (en) * 2004-07-13 2010-12-07 Nordson Corporation Ultra high speed uniform plasma processing system
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
KR20060076714A (ko) * 2004-12-28 2006-07-04 에이에스엠지니텍코리아 주식회사 원자층 증착기
KR101463581B1 (ko) * 2005-01-18 2014-11-20 에이에스엠 아메리카, 인코포레이티드 박막 성장용 반응 시스템
US7608549B2 (en) * 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
JP2008540840A (ja) * 2005-05-09 2008-11-20 エイエスエム・ジェニテック・コリア・リミテッド 複数の気体流入口を有する原子層堆積装置の反応器
US7837825B2 (en) * 2005-06-13 2010-11-23 Lam Research Corporation Confined plasma with adjustable electrode area ratio
US7641762B2 (en) * 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
US20070116872A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
US20070116873A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
US8454749B2 (en) * 2005-12-19 2013-06-04 Tokyo Electron Limited Method and system for sealing a first assembly to a second assembly of a processing system
US7794546B2 (en) * 2006-03-08 2010-09-14 Tokyo Electron Limited Sealing device and method for a processing system
US7740705B2 (en) * 2006-03-08 2010-06-22 Tokyo Electron Limited Exhaust apparatus configured to reduce particle contamination in a deposition system
US7670432B2 (en) * 2006-03-08 2010-03-02 Tokyo Electron Limited Exhaust system for a vacuum processing system
US8008596B2 (en) * 2006-03-16 2011-08-30 Tokyo Electron Limited Plasma processing apparatus and electrode used therein
US7740736B2 (en) * 2006-06-08 2010-06-22 Lam Research Corporation Methods and apparatus for preventing plasma un-confinement events in a plasma processing chamber
KR100799735B1 (ko) * 2006-07-10 2008-02-01 삼성전자주식회사 금속 산화물 형성 방법 및 이를 수행하기 위한 장치
US7976898B2 (en) * 2006-09-20 2011-07-12 Asm Genitech Korea Ltd. Atomic layer deposition apparatus
US20080081114A1 (en) * 2006-10-03 2008-04-03 Novellus Systems, Inc. Apparatus and method for delivering uniform fluid flow in a chemical deposition system
US7993457B1 (en) * 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
US7943007B2 (en) * 2007-01-26 2011-05-17 Lam Research Corporation Configurable bevel etcher
JP2008192642A (ja) * 2007-01-31 2008-08-21 Tokyo Electron Ltd 基板処理装置
KR101412620B1 (ko) * 2007-06-28 2014-06-26 램 리써치 코포레이션 플라즈마 식각 장치
KR20090018290A (ko) * 2007-08-17 2009-02-20 에이에스엠지니텍코리아 주식회사 증착 장치
JP5347294B2 (ja) * 2007-09-12 2013-11-20 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
KR101376336B1 (ko) * 2007-11-27 2014-03-18 한국에이에스엠지니텍 주식회사 원자층 증착 장치
US8092606B2 (en) * 2007-12-18 2012-01-10 Asm Genitech Korea Ltd. Deposition apparatus
WO2009104732A1 (ja) * 2008-02-20 2009-08-27 東京エレクトロン株式会社 ガス供給装置
JP2011517087A (ja) * 2008-04-07 2011-05-26 チャーム エンジニアリング シーオー エルティーディー プラズマ処理装置及びプラズマ処理方法
JP5030850B2 (ja) 2008-04-23 2012-09-19 株式会社日立国際電気 プラズマ処理装置
US20090325386A1 (en) * 2008-06-02 2009-12-31 Mattson Technology, Inc. Process and System For Varying the Exposure to a Chemical Ambient in a Process Chamber
US20100037820A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Vapor Deposition Reactor
US8470718B2 (en) * 2008-08-13 2013-06-25 Synos Technology, Inc. Vapor deposition reactor for forming thin film
WO2010065473A2 (en) * 2008-12-01 2010-06-10 Applied Materials, Inc. Gas distribution blocker apparatus
KR20100077828A (ko) * 2008-12-29 2010-07-08 주식회사 케이씨텍 원자층 증착장치
KR101172147B1 (ko) * 2009-02-23 2012-08-07 시너스 테크놀리지, 인코포레이티드 플라즈마에 의한 라디칼을 이용한 박막 형성 방법
KR101245769B1 (ko) * 2009-07-28 2013-03-20 엘아이지에이디피 주식회사 화학기상증착장치, 화학기상증착장치용 가이드부재 및 화학기상증착장치를 이용한 박막제조방법
US8826855B2 (en) * 2010-06-30 2014-09-09 Lam Research Corporation C-shaped confinement ring for a plasma processing chamber
WO2012015744A1 (en) * 2010-07-28 2012-02-02 Synos Technology, Inc. Rotating reactor assembly for depositing film on substrate
KR101234594B1 (ko) * 2011-07-25 2013-02-19 피에스케이 주식회사 배플 및 이를 포함하는 기판 처리 장치
US9005539B2 (en) * 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US20130337657A1 (en) * 2012-06-19 2013-12-19 Plasmasi, Inc. Apparatus and method for forming thin protective and optical layers on substrates
US8933375B2 (en) * 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
JP6107327B2 (ja) * 2013-03-29 2017-04-05 東京エレクトロン株式会社 成膜装置及びガス供給装置並びに成膜方法
US20150001180A1 (en) * 2013-06-28 2015-01-01 Applied Materials, Inc. Process kit for edge critical dimension uniformity control
KR102152811B1 (ko) * 2013-11-06 2020-09-07 어플라이드 머티어리얼스, 인코포레이티드 Dc 바이어스 변조에 의한 입자 발생 억제기
JP2015090916A (ja) * 2013-11-06 2015-05-11 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US20150167160A1 (en) * 2013-12-16 2015-06-18 Applied Materials, Inc. Enabling radical-based deposition of dielectric films
JP6379550B2 (ja) * 2014-03-18 2018-08-29 東京エレクトロン株式会社 成膜装置
JP5808472B1 (ja) * 2014-09-24 2015-11-10 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体
KR102372893B1 (ko) * 2014-12-04 2022-03-10 삼성전자주식회사 발광 소자 제조용 화학 기상 증착 장치
US9963782B2 (en) * 2015-02-12 2018-05-08 Asm Ip Holding B.V. Semiconductor manufacturing apparatus
JP5961297B1 (ja) * 2015-03-26 2016-08-02 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
KR102417930B1 (ko) * 2015-08-13 2022-07-06 에이에스엠 아이피 홀딩 비.브이. 증착 장치 및 이를 포함하는 증착 시스템
US9754769B2 (en) * 2015-09-15 2017-09-05 Lam Research Corporation Metrology methods to detect plasma in wafer cavity and use of the metrology for station-to-station and tool-to-tool matching
US9953843B2 (en) * 2016-02-05 2018-04-24 Lam Research Corporation Chamber for patterning non-volatile metals
KR102632725B1 (ko) * 2016-03-17 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 기판 지지 플레이트 및 이를 포함하는 박막 증착 장치 및 박막 증착 방법

Also Published As

Publication number Publication date
TWI571909B (zh) 2017-02-21
US20170114460A1 (en) 2017-04-27
KR102610458B1 (ko) 2023-12-07
US10358721B2 (en) 2019-07-23
CN106609363A (zh) 2017-05-03
CN106609363B (zh) 2020-06-09
KR20170047155A (ko) 2017-05-04

Similar Documents

Publication Publication Date Title
TWI571909B (zh) 包括沉積設備的半導體製造系統
US10811296B2 (en) Substrate support with dual embedded electrodes
TWI671792B (zh) 基板處理設備
US11728139B2 (en) Process chamber for cyclic and selective material removal and etching
TWI662640B (zh) 氣體供應單元及包括氣體供應單元的基板處理裝置
US20180061618A1 (en) Plasma screen for plasma processing chamber
KR20210013634A (ko) 프로세싱 챔버 내에서의 퍼징 및 플라스마 억제를 위한 방법 및 장치
TW201824334A (zh) 氧氣相容電漿源
KR20210044906A (ko) 내장형 rf 차폐부를 갖는 반도체 기판 지지부들
US20020134511A1 (en) Substrate supporting table,method for producing same, and processing system
TWI805367B (zh) 半導體製程設備中的承載裝置和半導體製程設備
KR102595824B1 (ko) 전도성 전극들을 갖는 세라믹 샤워헤드들
KR20010070484A (ko) 플라즈마 처리장치
US11732358B2 (en) High temperature chemical vapor deposition lid
KR20190018572A (ko) 스퍼터링 샤워헤드
TWI695902B (zh) 基板支撐組件、具有其之處理腔室以及處理基板的方法
KR20230016584A (ko) 기판 처리 장치 및 기판 처리 방법
KR20130098529A (ko) 배치식 원자층 증착장치 및 이를 포함하는 클러스터형 원자층 증착장치
KR20100006715U (ko) 화학 기상 증착 장치의 펌핑 플레이트
JP2002110571A (ja) 成膜装置および成膜方法
JPH0851082A (ja) 半導体製造装置のサセプタ
KR20170133671A (ko) 기판 처리 방법