KR102610458B1 - 증착 장치를 포함하는 반도체 제조 장치 - Google Patents

증착 장치를 포함하는 반도체 제조 장치 Download PDF

Info

Publication number
KR102610458B1
KR102610458B1 KR1020160073833A KR20160073833A KR102610458B1 KR 102610458 B1 KR102610458 B1 KR 102610458B1 KR 1020160073833 A KR1020160073833 A KR 1020160073833A KR 20160073833 A KR20160073833 A KR 20160073833A KR 102610458 B1 KR102610458 B1 KR 102610458B1
Authority
KR
South Korea
Prior art keywords
electrode
gas
supply device
gas supply
semiconductor manufacturing
Prior art date
Application number
KR1020160073833A
Other languages
English (en)
Other versions
KR20170047155A (ko
Inventor
김대연
김희철
장현수
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20170047155A publication Critical patent/KR20170047155A/ko
Application granted granted Critical
Publication of KR102610458B1 publication Critical patent/KR102610458B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32513Sealing means, e.g. sealing between different parts of the vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • H01L21/205
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Abstract

안정적인 증착 공정을 수행할 수 있는 공정 창(process window)이 확대되어 보다 유연한 공정 운영을 가능케 하는 반도체 제조 장치가 개시된다. 반도체 제조 장치는, 제1 전극으로서 작용하며, 복수의 분사 홀들을 포함하는 기체 공급 장치, 기체 공급 장치와 연결된 반응기 벽, 제2 전극으로서 작용하며, 반응기 벽과 면 실링하도록 구성된 기판 수용 장치를 포함하고, 기체 공급 장치로부터 기판 수용 장치를 향하여 공급되는 반응 기체는, 기체 공급 장치와 반응기 벽 사이의 기체 배출 통로를 통해 외부로 배출되며, 제1 전극은 기체 공급 장치의 가장자리(edge)에 형성된 돌출 전극을 포함할 수 있다.

Description

증착 장치를 포함하는 반도체 제조 장치{Semiconductor Manufacturing System Including Deposition Apparatus}
본 발명은 반도체 제조 장치에 관한 것으로, 더욱 구체적으로는 박막 형성에 이용되는 증착 장치와 같은 반도체 제조 장치에 관한 것이다.
반도체 소자의 디자인 룰(design rule)이 감소함에 따라 반도체 기판상에 증착되는 막의 두께 역시 감소되고 있고 박막간의 물리적, 화학적 영향을 줄이기 위해 기존 고온 공정 대신 저온 공정을 진행하고자 하는 노력이 계속되고 있다. 플라즈마를 이용한 박막 증착은 기판을 장착하고 있는 열원(heater)의 온도를 높이지 않고도 반응 물질간의 화학반응을 유도할 수 있을 뿐만 아니라 고온으로 인한 반응기의 수명 저하를 방지할 수 있다는 장점이 있다. 특히 점점 복잡해지는 반도체 소자에 증착되는 얇은 박막의 두께 제어를 보다 원활히 할 수 있는 원자층 증착방법(ALD; atomic layer deposition)이 많이 응용되고 있고 열공정(thermal process) 하에서 화학반응이 일어나지 않는 반응기체의 경우, 반응기체 공급과 동기되어 플라즈마를 공급함으로써 박막을 증착할 수 있는 플라즈마 원자층 증착방법(PEALD; plasma enhanced atomic layer deposition)의 공업적 응용이 활발해지고 있다.
본 발명은 CCP 방식의 플라즈마 공정 반응기에서 전극간의 거리와 전극과 반응기 벽간의 거리의 상대적 비(relative ratio)에 관계없이 전극 사이에서 발생한 플라즈마의 일부가 반응기 벽으로 소실되는 것을 방지할 수 있고 공정 범위(process windows)를 확장할 수 있는 새로운 반응기 구조를 갖는 증착 장치를 제안하고자 한다.
본 발명에 따른 반응기는 예를 들어 반응기 벽; 상부 전극; 하부 전극; 및 상기 상부 전극의 상부에 탑재되며 기체 공급 통로를 포함하는 기체흐름 제어장치;를 포함할 수 있다.
상기 상부 전극과 기체흐름 제어장치는 분리형 내지는 일체형으로 구성될 수 있다. 또한 상기 반응기 벽과 상기 기체 흐름 제어 장치 사이에 기체 배출 통로가 형성될 수 있다. 상기 기체흐름 제어 장치와 접하는 반응기 벽 상부에는 외부 배기 펌프와 연결되는 기체 유출구가 형성되어 있어 상단 배기가 가능하도록 구성될 수 있다.
상기 상부 전극은 외부의 RF 전력(RF power) 생성기와 연결될 수 있고, 그에 따라 RF 전력이 반응공간으로 공급될 수 있다. 기체 공급수단(예를 들어, showerhead)은 상부 전극으로 사용될 수 있다. 상기 하부 전극은 상기 상부 전극과 마주보며, 기판을 탑재하는 수단(예를 들어, 기판 수용 장치)이 하부 전극으로 사용될 수 있다.
또한 반응 공간 내에서 플라즈마의 균일한 분포를 유도하기 위해 상기 상부 전극 하부 표면의 일부는 오목한(concave) 구조로 형성될 수 있고, 구체적으로는 상기 상부 전극 하부 표면의 중심부로부터 일정거리의 원주 방향으로 돌출부와 그 주변의 오목한 부분으로 이루어질 수 있다. 더욱 구체적으로는 상기 상부 전극 하부 표면의 가장자리를 따라 형성된 돌출부와 안쪽의 오목한 영역을 갖는 구조로 이루어질 수 있다.
본 발명의 일 측면에 따르면, 반도체 제조 장치는, 제1 전극으로서 작용하며, 복수의 분사 홀들을 포함하는 기체 공급 장치; 상기 기체 공급 장치와 연결된 반응기 벽; 제2 전극으로서 작용하며, 상기 반응기 벽과 면 실링(face sealing)하도록 구성된 기판 수용 장치를 포함하고, 상기 기체 공급 장치로부터 상기 기판 수용 장치를 향하여 공급되는 반응 기체는, 상기 기체 공급 장치와 상기 반응기 벽 사이의 기체 배출 통로를 통해 외부로 배출되며, 상기 제1 전극은 상기 기체 공급 장치의 가장자리(edge)에 형성된 돌출 전극을 포함할 수 있다.
상기 반도체 제조 장치의 일 예에 따르면, 상기 기체 공급 장치의 중심부와 상기 기판 수용 장치 사이의 제1 거리는 상기 기체 공급 장치의 측면과 상기 반응기 벽 사이의 제2 거리보다 크며, 상기 기체 공급 장치의 상기 돌출 전극과 상기 기판 수용 장치 사이의 제3 거리는 상기 제2 거리보다 작을 수 있다.
상기 반도체 제조 장치의 다른 예에 따르면, 상기 제1 전극과 상기 제2 전극 사이에 생성되는 플라즈마는, 상기 제1 거리에서는 방사성(radiality)으로 인해 상기 반응기 벽으로 향하고, 상기 제3 거리에서는 직진성(linearity)으로 인해 상기 기판 수용 장치로 향할 수 있다.
상기 반도체 제조 장치의 다른 예에 따르면, 상기 플라즈마는, 상기 반응 기체의 유량이 증가할수록, 상기 플라즈마의 전력이 증가할수록, 또는 상기 반응 기체의 유량이 증가하고 상기 플라즈마의 전력이 증가할수록, 더욱 큰 방사성을 가질 수 있다.
상기 반도체 제조 장치의 다른 예에 따르면, 상기 돌출 전극은 소정의 곡률을 갖도록 형성될 수 있다. 선택적으로 상기 기판 수용 장치는 상기 돌출 전극이 형성된 위치에 상응하는 위치에 형성된 홈을 포함할 수 있다. 또한, 선택적으로, 상기 돌출 전극의 곡률과 상기 홈의 곡률은 서로 동일할 수도 있다.
상기 반도체 제조 장치의 다른 예에 따르면, 상기 기체 공급 장치에는 상기 돌출 전극으로부터 파여진 오목 공간(concave space)이 형성될 수 있다.
상기 반도체 제조 장치의 다른 예에 따르면, 상기 반도체 제조 장치는 상기 기체 공급 장치 상부에 배치된 기체 유출구를 더 포함할 수 있다. 그 결과 상기 반응 기체는 상기 기체 배출 통로 및 상기 기체 유출구를 통해 외부로 배출될 수 있다.
선택적으로, 상기 반도체 제조 장치는 상기 기체 공급 장치와 상기 기체 유출구 사이에 배치된 기체 흐름 제어 장치를 더 포함할 수 있다. 그에 따라 상기 반응 기체는 상기 기체 배출 통로, 상기 기체 흐름 제어 장치, 및 상기 기체 유출구를 통해 외부로 배출될 수 있다.
상기 반도체 제조 장치의 다른 예에 따르면, 상기 기체 흐름 제어 장치는 플레이트 및 상기 플레이트로부터 돌출된 측벽을 포함할 수 있고, 상기 측벽은 상기 측벽을 관통하는 복수의 홀들을 포함할 수 있다.
상기 반도체 제조 장치의 다른 예에 따르면, 상기 기판 수용 장치는 기판 수용을 위한 리세스 영역; 및 상기 리세스 영역의 주위를 둘러싸며 상기 면 실링을 수행하는 접촉 영역을 포함할 수 있다. 선택적으로, 상기 리세스 영역은 상기 돌출 전극이 형성된 위치에 상응하는 위치까지 연장될 수 있다.
본 발명의 다른 측면에 따르면, 반도체 제조 장치는, 반응기 벽; 상기 반응기 벽에 연결된 기체 흐름 제어 장치; 상기 기체 흐름 제어 장치와 연결된 기체 공급 장치; 및 상기 기체 공급 장치와 마주보며, 상기 반응기 벽의 하부면과 접하면서 반응공간을 형성하는 하부 전극을 포함하며, 상기 기체 흐름 장치는 상기 기체 공급 장치 상에 적층되며, 상기 반응기 벽 상부, 상기 기체 흐름 제어 장치, 및 상기 기체 공급 장치를 관통하여 기체 유입구가 형성되고, 상기 기체 유입구를 통해 상기 기체 공급 장치에 기체가 공급되며, 상기 기체 공급 장치의 중심부와 상기 하부 전극 사이의 제1 거리는 상기 기체 공급 장치의 가장자리와 상기 반응기 벽 사이의 제2 거리보다 크며, 상기 기체 공급 장치의 가장자리와 상기 하부 전극의 가장자리 사이의 제3 거리는 상기 제2 거리보다 작을 수 있다.
본 발명의 또 다른 측면에 따르면, 반도체 제조 장치는, 복수의 분사 홀들을 포함하는 제1 전극; 상기 제1 전극 상부의 기체 흐름 제어 장치; 상기 제1 전극 및 상기 기체 흐름 제어 장치와 연결된 반응기 벽; 상기 반응기 벽과 면 실링을 위해 접촉하도록 구성된 제2 전극을 포함하고, 상기 복수의 분사홀들을 통해 상기 제2 전극을 향하여 공급되는 반응 기체는, 상기 기체 공급 장치와 상기 반응기 벽 사이의 기체 배출 통로 및 상기 기체 흐름 제어 장치를 통해 외부로 배출되며, 상기 제1 전극의 상기 제2 전극을 바라보는 표면은 오목한 형상을 가질 수 있다.
상기 반도체 제조 장치의 일 예에 따르면, 상기 기체 흐름 제어 장치 상부에 배치된 기체 유출구를 더 포함하고, 상기 반응 기체는 상기 기체 배출 통로, 상기 기체 흐름 제어 장치, 및 상기 기체 유출구를 통해 외부로 배출될 수 있다.
상기 반도체 제조 장치의 다른 예에 따르면, 상기 기체 흐름 제어 장치는 플레이트 및 상기 플레이트로부터 돌출된 측벽을 포함하며, 상기 측벽은 상기 측벽을 관통하는 복수의 홀들을 포함할 수 있다.
상기 반도체 제조 장치의 다른 예에 따르면, 상기 제1 전극의 중심부와 상기 제2 전극 사이의 제1 거리는 상기 제1 전극의 측면과 상기 반응기 벽 사이의 제2 거리보다 크며, 상기 제1 전극의 가장자리와 상기 제2 전극의 가장자리 사이의 제3 거리는 상기 제2 거리보다 작을 수 있다.
상기 반도체 제조 장치의 다른 예에 따르면, 상기 제1 전극은 가장자리(edge)에 형성된 돌출 전극을 포함할 수 있다. 선택적으로, 상기 제2 전극은 기판 수용을 위한 리세스 영역을 포함하고, 상기 리세스 영역은 상기 돌출 전극이 형성된 위치에 상응하는 위치까지 연장될 수 있다.
도 1은 본 발명에 따른 반도체 제조 장치의 단면을 나타내는 도면이다.
도 2는 본 발명에 따른 반도체 제조 장치 에서의 반응 기체(및 잔류 기체)의 흐름을 보여주는 도면이다.
도 3은 본 발명에 따른 반도체 제조 장치의 다른 단면에서 바라본 단면도이다.
도 4 및 도 5는 기존 반도체 제조 장치와 본 발명에 따른 반도체 제조 장치의 구조를 각각 나타낸다.
도 6은 본 발명의 기술적 사상에 의한 다른 실시예에 따른 반도체 제조 장치를 나타내는 단면도이다.
도 7a 및 도 7b는 본 발명의 기술적 사상에 의한 다른 실시예에 따른 반도체 제조 장치 내 상부 전극의 변형예들을 나타내는 단면도들이다.
도 8 및 도 9는 본 발명의 기술적 사상에 의한 다른 실시예들에 따른 반도체 제조 장치를 나타내는 단면도이다.
도 10 내지 도 12는 플라즈마 커플링 신뢰성(plasma coupling reliability)을 나타내는 도면들이다.
도 13 및 도 14는 기존 반응기와 본 발명에 따른 반응기에서 PEALD 방법으로 SiO2 박막을 증착할 때 (a) 플라즈마 전력(plasma power) 및 (b) 산소 유량에 따른 박막 균일도 변화를 나타낸다.
도 15는 본 발명에 따른 반응 챔버의 또 다른 실시예를 나타내는 단면도이다.
이하, 첨부된 도면을 참조하여 본 발명의 바람직한 실시예를 상세히 설명하기로 한다.
본 발명의 실시예들은 당해 기술 분야에서 통상의 지식을 가진 자에게 본 발명을 더욱 완전하게 설명하기 위하여 제공되는 것이며, 아래의 실시예들은 여러 가지 다른 형태로 변형될 수 있으며, 본 발명의 범위가 아래의 실시예들로 한정되는 것은 아니다. 오히려, 이들 실시예는 본 개시를 더욱 충실하고 완전하게 하며 당업자에게 본 발명의 사상을 완전하게 전달하기 위하여 제공되는 것이다.
본 명세서에서 사용된 용어는 특정 실시예를 설명하기 위하여 사용되며, 본 발명을 제한하기 위한 것이 아니다. 본 명세서에서 사용된 바와 같이 단수 형태는 문맥상 다른 경우를 분명히 지적하는 것이 아니라면, 복수의 형태를 포함할 수 있다. 또한, 본 명세서에서 사용되는 경우 “포함한다(comprise)” 및/또는 “포함하는(comprising)”은 언급한 형상들, 숫자, 단계, 동작, 부재, 요소 및/또는 이들 그룹의 존재를 특정하는 것이며, 하나 이상의 다른 형상, 숫자, 동작, 부재, 요소 및/또는 그룹들의 존재 또는 부가를 배제하는 것이 아니다. 본 명세서에서 사용된 바와 같이, 용어 “및/또는”은 해당 열거된 항목 중 어느 하나 및 하나 이상의 모든 조합을 포함한다.
본 명세서에서 제1, 제2 등의 용어가 다양한 부재, 영역 및/또는 부위들을 설명하기 위하여 사용되지만, 이들 부재, 부품, 영역, 층들 및/또는 부위들은 이들 용어에 의해 한정되어서는 안됨은 자명하다. 이들 용어는 특정 순서나 상하, 또는 우열의 의미하지 않으며, 하나의 부재, 영역 또는 부위를 다른 부재, 영역 또는 부위와 구별하기 위하여만 사용된다. 따라서, 이하 상술할 제1 부재, 영역 또는 부위는 본 발명의 가르침으로부터 벗어나지 않고서도 제2 부재, 영역 또는 부위를 지칭할 수 있다.
이하, 본 발명의 실시예들은 본 발명의 이상적인 실시예들을 개략적으로 도시하는 도면들을 참조하여 설명한다. 도면들에 있어서, 예를 들면, 제조 기술 및/또는 공차에 따라, 도시된 형상의 변형들이 예상될 수 있다. 따라서, 본 발명의 실시예는 본 명세서에 도시된 영역의 특정 형상에 제한된 것으로 해석되어서는 아니 되며, 예를 들면 제조상 초래되는 형상의 변화를 포함하여야 한다.
먼저, 도 1을 참고하여, 본 발명의 일 실시예에 따른 증착 장치에 대하여 설명한다. 도 1은 본 발명에 따른 반도체 제조 장치(100)의 단면을 나타내는 도면이다. 도 1의 반도체 제조 장치(100)에서, 반응기 벽(101)은 기판 수용 장치(103)와 접할 수 있다. 보다 구체적으로 반응기 벽(101)의 하부면은 하부 전극으로 작용하는 기판 수용 장치(103)와 접하면서 반응 공간이 형성될 수 있다.
다시 말해, 기판 수용 장치(103)는 반응기 벽(101)과 면 실링(face sealing)하도록 구성될 수 있고, 상기 면 실링에 의해 반응기 벽(101)과 기판 수용 장치(103) 사이에 반응 공간(125)이 형성될 수 있다. 또한, 상기 면 실링에 의해 기체 흐름 제어 장치(105) 및 기체 공급 장치(109)와 반응기 벽 사이에 기체 배출 통로(117)가 형성될 수 있다.
반응기 벽(101)과 기판 수용 장치(103) 사이에는 기체 흐름 제어 장치(105) 및 기체 공급 장치(109)가 배치될 수 있다. 기체 흐름 제어 장치(105)와 기체 공급 장치(109)는 일체형으로 구성될 수도 있고 기체 분사 홀들(133)이 있는 부분이 분리된 분리형으로 구성될 수도 있다. 분리형 구조에서, 상기 기체 흐름 제어 장치(105)는 기체 공급 장치(109) 위에 적층될 수 있다. 선택적으로, 기체 공급 장치(109)도 분리형으로 구성될 수 있으며, 이 경우 기체 공급 장치(109)는 복수의 가스 분사 홀들(133)을 갖는 기체 분사 장치 및 기체 분사 장치 상부에 적층된 기체 채널을 포함할 수 있다(도 3 참조).
기체 흐름 제어 장치(105)는 플레이트 및 플레이트로부터 돌출된 측벽(123)을 포함할 수 있다. 측벽(123)에는 측벽(123)을 관통하는 복수의 홀들(111)이 형성될 수 있다.
반응기 벽(101)과 기체 흐름 제어 장치(105) 사이 및 기체 흐름 제어 장치(105)와 기체 공급 장치(109) 사이에는 오링(O-ring)과 같은 밀폐부재를 수용할 수 있는 홈(127, 129, 131; groove)들이 형성될 수 있다. 상기 밀폐부재에 의해, 외부기체의 유입이 반응 공간(125) 내로 유입되는 것이 방지될 수 있다. 또한 상기 밀폐부재에 의해 반응 공간(125) 내의 반응 기체가 규정된 경로(다시 말해, 기체 배출 통로(117) 및 기체 유출구(115), 도 2 참조)를 따라 배출될 수 있다. 따라서 상기 반응 기체가 상기 규정된 경로 이외의 영역으로 유출되는 것이 방지될 수 있다.
기체 공급 장치(109)는 축전용량결합(CCP; capacitively coupled plasma) 방식과 같은 플라즈마 공정에서 전극(electrode)으로 사용될 수 있다. 이 경우 기체 공급 장치(109)는 알루미늄(Al)과 같은 금속 물질을 포함할 수 있다. 상기 축전용량결합 방식에서, 기판 수용 장치(103)도 전극으로 사용될 수 있고, 그 결과 제1 전극으로서 작용하는 기체 공급 장치(109) 및 제2 전극으로서 작용하는 기판 수용 장치(103)에 의해 용량 결합이 달성될 수 있다.
보다 구체적으로, 외부 플라즈마 생성기(미도시)에서 생성된 플라즈마는 RF 로드(RF rod, 도 3의 313)에 의해 기체 공급 장치(109)에 전달될 수 있다. 상기 RF 로드는 상기 반응기 벽(101) 상부와 기체 흐름 제어 장치(105)를 관통하는 RF rod 홀(도 3의 303)을 통해 기체 공급 장치(109)와 기계적으로 연결될 수 있다.
선택적으로, 기체 공급 장치(109)는 도체로 형성되는 반면에, 기체 흐름 제어 장치(105)는 세라믹과 같은 절연물질로 이루어짐으로써, 플라즈마 전극으로 사용되는 기체 공급 장치(109)가 반응기 벽(101)과 절연될 수 있다.
기체 공급 장치(109)의 가장자리에는 돌출 전극(P)이 형성될 수 있다. 상기 돌출 전극(P)은 플라즈마의 반응기 벽(101)으로의 소실을 방지하는 기능을 수행할 수 있다. 돌출 전극(P)에 대해서는 추후 보다 구체적으로 설명하기로 한다.
도 1에 나타난 바와 같이, 반응기 벽(101) 상부에는 반응기 벽(101)을 관통하고 기체 흐름 제어 장치(105)의 중심부를 관통하는 기체 유입구(113)가 형성된다. 또한, 기체 공급 장치(109) 내부에는 기체 흐름 통로(119)가 추가로 형성되어 있어 외부의 기체 공급부(미도시)에서 기체 유입구(113)를 통해 공급된 반응 기체가 기체 공급 장치(109)의 각 기체 분사 홀(133)들로 균일하게 공급될 수 있다.
또한, 도 1에 나타난 바와 같이, 반응기 벽(101)의 상단에는 기체 유출구(115)가 배치되어 있으며 기체 유입구(113)에 대해 비대칭으로 배치되어 있다. 비록 도면에 도시되지는 않았지만, 기체 유출구(115)는 기체 유입구(113)에 대해 대칭으로 배치될 수도 있다. 또한 반응기 벽(101)과 기체 흐름 제어 장치(105)의 측벽(및 기체 공급 장치(109)의 측벽)이 이격되어, 공정 진행 후 반응 기체의 잔류 기체가 배기되는 기체 배출 통로(117)가 형성될 수 있다.
도 2는 본 발명에 따른 반도체 제조 장치 에서의 반응 기체(및 잔류 기체)의 흐름을 보여주는 도면이다. 화살표는 기체 흐름의 방향을 보여 주는데, 외부의 기체 공급부(미도시)에서 기체 유입구(113)로 공급된 반응 기체는 기체 흐름 통로(119)를 통해 샤워헤드 내부에 형성된 기체 분사 홀(133)들로 균일하게 공급될 수 있다.
상기 반응 기체의 반응 공간(125) 내에서 혹은 기판(110) 상에서 화학반응이 수행되어 기판(110) 상에 박막이 형성된다. 박막 형성 후의 잔류 기체는 반응기 벽(101)과 기체 공급 장치(109)의 측벽 사이에 형성된 기체 배출 통로(117)를 거쳐 기체 흐름 제어 장치(105)의 측벽(123)에 형성된 관통홀(111)들을 통해 기체 흐름 제어 장치(105)의 내부 공간으로 유입되고 이후 기체 유출구(115)를 통해 외부로 배기될 수 있다.
도 3은 본 발명에 따른 반도체 제조 장치를 다른 단면에서 바라본 단면도이다. 도 3을 참조하면, 기체 흐름 제어 장치(105)는 측벽(123), 기체 유입구(113), 측벽(123)으로 둘러싸인 플레이트(301), RF rod 홀(303), 스크류홀(305), 관통홀(111) 및 O-ring등의 밀폐부재를 수용하는 홈(127)으로 이루어져 있다.
플레이트(301)는 돌출된 측벽(123)으로 둘러싸여 내부가 오목한 형태를 가질 수 있다. 기체 흐름 제어 장치(105)의 일부에는 외부 반응기체가 유입되는 통로인 기체 유입구(113)가 배치된다. 기체 유입구(113) 주위에는 적어도 2개의 스크류홀(305)이 제공되며, 기체 흐름 제어 장치(105)와 기체 공급 장치(109)를 연결해 주는 기계적 연결부재인 스크류는 상기 스크류홀(305)을 관통한다. 기체흐름 제어 장치(105)의 다른 부분에는 RF rod 홀(303)이 제공되어, 외부의 플라즈마 공급부(미도시)와 연결된 RF 로드(RF rod, 313)가 기체 흐름 제어 장치(105)의 하부에 위치한 기체 공급 장치(109)와 연결되도록 한다.
RF 로드(313)와 연결된 기체 공급 장치(109)는 축전용량결합(CCP; capacitively coupled plasma)방식의 플라즈마 공정에서 전극으로 작용할 수 있다. 이 경우, 기체 공급 장치(109)의 가장자리에는 돌출 전극(P)이 형성될 수 있다.
상기 돌출 전극(P)에 의해, 기체 공급 장치(109)의 하부 표면(즉, 기판 수용 장치(103)를 바라보는 표면)에는 돌출 전극(P)으로부터 파여진 오목 공간(concave space)이 형성될 수 있다.
플라즈마 공정에서는 반응이 일어나는 반응공간의 구조적 영향성이 중요하다. 예를 들어, 상부 및 하부 전극 사이에서 RF power에 의해 플라즈마를 발생시키는 축전용량결합(CCP; capacitively coupled plasma)방식의 플라즈마 공정에 있어서, RF power의 전극이 되는 상부 전극(예를 들어, 샤워헤드와 같은 기체 공급 장치)이 편평한 경우, 반응 공간(예를 들어, 도 1의 125)의 중심부에서의 플라즈마 밀도는는 반응 공간의 가장자리에서의 플라즈마 밀도보다 높다. 상부 전극과 하부 전극 사이의 거리가 작을 때는 큰 문제가 되지 않지만 거리가 클 경우에는 플라즈마가 갖는 방사성(radiality)으로 인해 가장자리에 형성된 플라즈마가 반응기 벽으로 소실될 수 있다. 그 결과 기판 상에 증착되는 막의 중심부와 가장자리부분의 막질(예를 들어, 박막 두께 균일도, 후속 식각 공정에서의 식각율(WER; wet etch rate))이 차이가 커지게 되고 따라서 전체적으로 균일한 막을 증착하는 것이 어렵게 된다.
보다 구체적으로, 상부 전극과 하부 전극간의 거리와, 상부 전극과 전극을 둘러싸는 반응기 벽간의 거리(즉, 기체 배출 통로(117)의 폭)의 상대적 비율이 중요하다. 두 전극 사이의 거리가 전극과 반응기 사이의 거리보다 클 경우, 플라즈마의 일부, 특히 RF 전력을 공급하는 상부 전극 가장자리 부분에서 발생하는 플라즈마는 하부 전극을 향하는 대신, 반응기 벽으로 향하게 된다. 결과적으로 플라즈마 전력 결합 신뢰성(plasma power coupling reliability)이 감소하게 되고 기판 가장자리 부분에서의 박막 균일도등의 박막 특성이 저하되게 된다. 반응기체 유량이 많아지거나 플라즈마 파워가 커질 경우(또는 이들 양자의 경우)에는 플라즈마의 방사성이 증가하여 이러한 현상이 두드러진다(도 13 및 도 14 참조). 따라서 기존의 통상적인 CCP 방식의 플라즈마 반응기의 경우 이러한 문제로 인해 공정 범위(process windows)가 제한 받게 된다.
본 발명의 기술적 사상에 따른 반도체 제조 장치의 경우, 기체 공급 장치(109)의 가장자리에 돌출 전극(P)을 도입한다. 그 결과 반응 공간(125) 내에서의 플라즈마 전력 결합 신뢰성이 향상되고, 증착되는 박막에서의 두께 저하 현상을 방지할 수 있다. 또한 안정적인 증착 공정을 수행할 수 있는 공정 창(process window)이 확대되어 보다 유연한 공정 운영이 가능하다.
상기 돌출 전극(P)은 소정의 곡률을 갖도록 형성될 수 있다. 바람직한 실시예에서, 상기 곡률은 공정 조건에 따라 조절될 수 있으며, 예를 들어 상기 돌출 전극(P)은 R3.0 (3.0mm)로 구성될 수 있다.
기판 수용 장치(103)는 기판 수용을 위한 리세스 영역(R) 및 리세스 영역(R)의 주위를 둘러싸는 접촉 영역(S)을 포함할 수 있다. 리세스 영역(R)에는 기판이 안착되고, 접촉 영역(S)에는 반응기 벽이 면 실링될 수 있다. 상기 리세스 영역(R)은 상기 돌출 전극(P)이 형성된 위치에 상응하는 위치까지 연장될 수 있으며, 이에 대해서는 추후 보다 구체적으로 설명하기로 한다.
도 4 및 도 5는 기존 반도체 장치와 본 발명에 따른 반도체 제조 장치의 구조를 각각 나타낸다.
도 4의 기존 반도체 제조 장치의 구조에서는 상부 전극(1)과 하부 전극(2)사이의 거리(B)에 의해 반응공간이 정의된다. 상부 전극(1)에 공급된 RF 전력에 의해 상기 반응공간에서 플라즈마가 발생한다. 그러나 기체 분사 홀을 포함하는 샤워헤드와 같은 기체 공급 장치를 상부 전극으로 사용할 경우, 기판에 샤워헤드 홀 형상이 그대로 전사되는 문제가 발생할 수 있다. 따라서 이를 방지하기 위해 전극 간 거리(B)를 증가시키게 된다. 반면에 전극 간 거리(B)가 상부 전극(1)과 반응기 벽(3)간 거리(A)보다 큰 경우는 반응공간 가장자리 부분에 형성된 플라즈마가 하부 전극(2)로 향하지 않고 반응기 벽(3)으로 향하게 될 수 있다. 그 결과 플라즈마 전력 결합 신뢰성(plasma power coupling reliability)이 저하되는 또 다른 문제가 발생하게 되고, 그에 따라 기판에 증착된 박막의 가장자리의 박막 균일도는 중심부에 비해 낮아지게 된다.
도 5에서는 도 4에서처럼 전극 간 거리(B)를 상부 전극(1)과 반응기 벽(3) 사이의 거리(A)보다 크게 유지하고, 추가로 상부 전극(1) 가장자리 부분에 돌출 전극(P)을 형성한다. 그 결과 상부 전극(1)의 가장자리 부분과 하부 전극(2)사이의 거리(C)는 상부 전극(1)과 반응기 벽(3)간 거리(A)보다 작게 형성된다. 다시 말해, B > A > C의 관계가 성립될 수 있다.
돌출 전극(P)을 도입함으로써, 전극간 거리(B)가 상부 전극(1)과 반응기 벽(3)간 거리(A)보다 큰 경우에도, 반응기 벽(3)으로의 플라즈마 파워의 손실 없이 반응공간 내에서의 플라즈마의 균일성이 유지될 수 있다. 더욱 구체적으로, 상부 전극(1)과 하부 전극(2)에 의해 생성되는 플라즈마는, 거리(B)에서는 방사성이 강하기 때문에, 생성된 플라즈마가 더 짧은 거리(A)에 위치하는 반응기 벽(3)으로 향할 수 있지만, 그러한 반응기 벽(3)으로의 플라즈마 소실은 돌출 전극(P)에 의해 방지될 수 있다. 또한, 돌출 전극(P)과 하부 전극(2)에 의해 생성되는 플라즈마는, 거리(C)에서는 직진성이 강하기 때문에, 생성된 플라즈마가 더 긴 거리(A)에 위치하는 반응기 벽(3)으로 향하지 않고 하부 전극(2)으로서 작용하는 기판 수용 장치로 향하게 된다.
도 6은 본 발명의 기술적 사상에 의한 다른 실시예에 따른 반도체 제조 장치를 나타내는 단면도이다.
도 6을 참조하면, 하부 전극(2)으로서 작용하는 기판 수용 장치의 리세스 영역(R)은 돌출 전극(P)이 형성된 위치에 상응하는 위치까지 연장될 수 있다. 여기서 "돌출 전극이 형성된 위치에 상응하는 위치까지 연장된다"는 것의 의미는, 돌출 전극(P)의 돌출이 시작되는 지점(J1), 중심점(J2), 또는 돌출이 끝나는 지점(J3) 중 어느 하나로부터 하부 전극(2)에 수직한 방향으로 연장되는 가상선(K1, K2, K3) 중 어느 하나까지(또는 이들 사이의 임의의 선)까지 리세스 영역(R)이 연장됨을 의미하는 것이다.
본 발명의 기술적 사상에 따르면, 하부 전극(2)으로서 작용하는 기판 수용 장치의 리세스 영역(R)이 상부 전극(즉, 기체 공급 장치)의 가장자리에 상응하는 지점까지 연장되면서도 플라즈마의 반응기 벽으로의 소실 없이 증착 공정이 수행될 수 있다. 따라서 증착된 박막의 품질을 유지하면서도 대형 기판 증착에 요구되는 공간 효율성이 달성될 수 있다.
도 7a 및 도 7b는 본 발명의 기술적 사상에 의한 다른 실시예에 따른 반도체 제조 장치내 상부 전극의 변형예들을 나타내는 단면도들이다.
도 7a 및 도 7b를 참조하면, 기체 공급 장치의 전극의 표면은 다양한 형태로 구성될 수 있다. 예를 들어, 기체 공급 장치의 전극의 표면(즉, 기판 수용 장치를 향하는 표면)은 도 7a에 나타난 바와 같이 원추 형상(즉, 삼각형 형태의 단면을 갖는 형상)을 가질 수도 있고, 도 7b에 나타난 바와 같이 오목한 형상(예를 들어, 돔 형상)을 가질 수 있다. 비록 도면에 도시되지는 않았지만, 기체 공급 장치는 단차 형태의 돌출 전극이 형성된 형상을 가질 수도 있다. 다시 말해, 본원 발명의 기술적 사상의 범위는 상부 전극의 중심부와 하부 전극 사이의 거리가 상기 상부 전극의 가장자리와 상기 하부 전극 사이의 거리보다 큰 임의의 형상으로 확장될 수 있다.
또한, 비록 도 7a 및 도 7b에서는 기체 공급 장치의 전극의 상부 표면이 평평하도록 도시되었지만, 상기 상부 표면의 형상 역시 다양하게 변형될 수 있다. 예를 들어, 기체 공급 장치의 전극의 상부 표면은 원추 형상으로 형성될 수도 있고, 볼록한 형상으로 형성될 수도 있을 것이다.
도 8 및 도 9는 본 발명의 기술적 사상에 의한 다른 실시예들에 따른 반도체 제조 장치를 나타내는 단면도이다.
도 8을 참조하면, 돌출 전극(P')은 하부 전극(2)의 가장자리에 형성될 수 있다. 보다 구체적으로, 돌출 전극(P')은 하부 전극(2)으로 작용하는 기판 수용 장치의 접촉 영역(S)에 형성될 수 있다.
이전 실시예들에서 돌출 전극은 상부 전극(1)으로서 작용하는 기체 공급 장치에 형성되었으나, 본 실시예에 따르면 돌출 전극(P')이 하부 전극(2)에 형성됨으로써, 상부 전극(1)의 중심부와 하부 전극(2) 사이의 거리가 상기 상부 전극(1)의 가장자리와 상기 하부 전극(2)의 가장자리 사이의 거리보다 크도록 구성될 수 있다. 또한 도면에 나타나지는 않았지만, 돌출 전극은 상부 전극(1) 및 하부 전극(2) 모두에 형성될 수도 있다.
도 9를 참조하면, 기판 수용 장치로서 작용하는 하부 전극(2)은, 돌출 전극(P)이 형성된 위치에 상응하는 위치에 형성된 홈(G)을 포함할 수 있다. 상기 홈(G)에 의해 반응 공간 가장자리에서 발생하는 플라즈마 및 잔류 가스의 병목현상이 개선될 수 있다. 선택적인 실시예에서, 돌출 전극(P)의 곡률과 홈(G)의 곡률은 서로 동일할 수 있다.
도 10 내지 도 12는 플라즈마 커플링 신뢰성(plasma coupling reliability)을 나타내는 도면들로서, 도 10 및 도 11은 기존의 반응기에서의 신뢰성을 나타내고 도 12는 본 발명에 따른 반응기에서의 신뢰성을 나타낸다.
도 10에서 전극간 거리는 3.5mm로서 상부 전극과 반응기 벽간의 거리 6mm보다 작다. 이런 경우 상부 전극을 통해 전달된 RF 전력(점선 부분)은 그대로 하부 전극으로 전달되므로, 반응공간 내 플라즈마 전력 커플링 신뢰성은 저하되지 않는다. 기판에 증착된 박막은 기판 중심부와 주변부에 걸쳐 균일하게 증착된다.
도 11에서는 전극 간의 거리를 7mm로 하여 상부 전극과 반응기 벽 간의 거리 6mm보다 크게 한다. 이 경우 RF 전력의 일부, 특히 상부 전극 주변부에 있는 플라즈마의 일부는 하부 전극으로 향하지 않고 반응기 벽으로 소실된다.
도 12의 경우, 전극 간 거리 및 상부 전극과 반응기 벽 간의 거리는 도 11에서와 동일하게 각각 7mm, 6mm로 구성하였다. 다만 도 12에서는 상부 전극 가장자리에 돌출 전극을 형성함으로써 도 11과 달리 반응기 벽으로의 플라즈마 소실을 방지할 수 있다. 상기 돌출 전극에 의해, 반응 공간의 거리가 늘어나도 반응공간 내의 플라즈마 전력 커플링 신뢰성 및 증착 박막의 균일성이 저하되는 것을 방지할 수 있다.
도 13 및 도 14는 기존 반응기와 본 발명에 따른 반도체 제조 장치에서 플라즈마 강화 원자층 증착(PEALD) 방법으로 실리콘 산화막을 증착할 때 (a) 플라즈마 전력(plasma power) 및 (b) 산소 유량에 따른 박막 균일도 변화를 나타낸다.
도 13을 참조하면, 상부 전극에 공급되는 RF 전력이 증가할수록 기존 반응기에서는 기판 가장자리 부분의 두께가 저하되고 박막 균일도가 저하되는 것을 알 수 있다. 도 13에서 화살표로 지적되는 부분이 두께가 저하되는 부분이다. 반면 본 발명에 따른 반응기에서는 RF 전력이 증가해도 가장 자리 부분의 두께 저하가 발생하지 않는 것을 알 수 있다.
도 14를 참조하면, 공급되는 RF 전력이 일정한 조건에서 반응공간에 공급되는 산소 유량에 따른 박막 균일도 변화가 나타난다. 도 14에서 화살표로 지적되는 부분이 두께가 저하되는 부분을 나타낸다. 도 14에서는 산소 유량이 증가할수록 기존 반응기에서는 가장자리 부분의 두께가 저하되는 것을 알 수 있으나 본 발명에 따른 반응기에서는 산소 유량에 관계없이 기판 가장 자리에서의 박막 두께 저하가 발생하지 않음을 알 수 있다.
도 15는 본 발명에 따른 반도체 제조 장치의 또 다른 실시예를 나타내는 단면도이다. 도 15에서 복수개의 반응 챔버(100)들이 탑리드(801)와 외부 챔버(803)로 이루어진 챔버 내부(805)에 설치되어 있고 각 반응 챔버(100)의 기체 흐름 제어 장치, 샤워헤드부, 기체 유입부와 기체 유출부는 탑리드(801)에 설치되어 있다. 복수개의 반응 챔버(100)들은 동일한 기체 공급부와 동일한 배기펌프를 공유하고 있어서 복수의 기판상에 동일한 공정을 동시에 처리할 수 있어 생산성 향상을 이룰 수 있다.
복수의 기판 상에 동일한 공정을 동시에 처리하는 공정은 다음과 같다. 먼저 외부 챔버(803)의 측면에 있는 개폐 통로를 통해 챔버 내부(805)로 기판 이송 기구가 삽입되어 기판이 복수의 서셉터들(103) 상으로 안착된다. 이후 외부 챔버(803)의 챔버 내부(805)는 진공 상태가 되거나, 아르곤과 같은 불활성 기체로 충진된다. 이후 서셉터(103)는 상승하여 반응기 벽(101) 하부와 접촉하면서 반응 공간(125)을 형성하게 된다. 전술한 바와 같이, 챔버 내부(805)의 압력은 반응 챔버(100) 내부의 압력보다 낮게 설정될 수 있다.
선택적으로, 기체 공급부와 배기펌프를 공유하지 않고 개별적으로 연결구성을 함으로써 서로 상이한 공정들을 동시에 진행할 수도 있다. 예컨대, 반응 챔버 간에 기판을 순차적으로 이동하여 박막을 증착함으로써 대기에의 노출이나 대기 시간을 최소화 하면서 복합 박막 공정을 신속히 진행할 수 있다.
본 발명을 명확하게 이해시키기 위해 첨부한 도면의 각 부위의 형상은 예시적인 것으로 이해하여야 한다. 도시된 형상 외의 다양한 형상으로 변형될 수 있음에 주의하여야 할 것이다.
이상에서 설명한 본 발명이 전술한 실시예 및 첨부된 도면에 한정되지 않으며, 본 발명의 기술적 사상을 벗어나지 않는 범위 내에서 여러 가지 치환, 변형 및 변경이 가능하다는 것은, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 있어 명백할 것이다.

Claims (20)

  1. 제1 전극으로서 작용하며, 복수의 분사 홀들을 포함하는 기체 공급 장치;
    상기 기체 공급 장치와 연결된 반응기 벽;
    제2 전극으로서 작용하며, 상기 반응기 벽과 면 실링하도록 구성된 기판 수용 장치를 포함하고,
    상기 기체 공급 장치로부터 상기 기판 수용 장치를 향하여 공급되는 반응 기체는, 상기 기체 공급 장치와 상기 반응기 벽 사이의 기체 배출 통로를 통해 외부로 배출되며,
    상기 제1 전극은 상기 기체 공급 장치의 가장자리(edge)에 형성된 돌출 전극을 포함하고,
    상기 기체 공급 장치의 중심부와 상기 기판 수용 장치 사이의 제1 거리는 상기 기체 공급 장치의 가장자리와 상기 반응기 벽 사이의 제2 거리보다 크며,
    상기 기체 공급 장치의 상기 돌출 전극과 상기 기판 수용 장치 사이의 제3 거리는 상기 제2 거리보다 작은 것을 특징으로 하는, 반도체 제조 장치.
  2. 삭제
  3. 청구항 1에 있어서,
    상기 제1 전극과 상기 제2 전극에 의해 생성되는 플라즈마는, 상기 제1 거리에서는 방사성(radiality)으로 인해 상기 반응기 벽으로 향하고, 상기 제3 거리에서는 직진성(linearity)으로 인해 상기 기판 수용 장치로 향하는 것을 특징으로 하는, 반도체 제조 장치.
  4. 청구항 3에 있어서,
    상기 플라즈마는, 상기 반응 기체의 유량이 증가할수록, 상기 플라즈마의 전력이 증가할수록, 또는 상기 반응 기체의 유량이 증가하고 상기 플라즈마의 전력이 증가할수록, 더욱 큰 방사성을 갖는 것을 특징으로 하는, 반도체 제조 장치.
  5. 청구항 1에 있어서,
    상기 돌출 전극은 소정의 곡률을 갖도록 형성된 것을 특징으로 하는, 반도체 제조 장치.
  6. 청구항 1에 있어서,
    상기 기체 공급 장치에는 상기 돌출 전극으로부터 파여진 오목 공간(concave space)이 형성되는 것을 특징으로 하는, 반도체 제조 장치.
  7. 청구항 1에 있어서,
    상기 기체 공급 장치 상부에 배치된 기체 유출구를 더 포함하고,
    상기 반응 기체는 상기 기체 배출 통로 및 상기 기체 유출구를 통해 외부로 배출되는 것을 특징으로 하는, 반도체 제조 장치.
  8. 청구항 7에 있어서,
    상기 기체 공급 장치와 상기 기체 유출구 사이에 배치된 기체 흐름 제어 장치를 더 포함하고,
    상기 반응 기체는 상기 기체 배출 통로, 상기 기체 흐름 제어 장치, 및 상기 기체 유출구를 통해 외부로 배출되는 것을 특징으로 하는, 반도체 제조 장치.
  9. 청구항 8에 있어서,
    상기 기체 흐름 제어 장치는 플레이트 및 상기 플레이트로부터 돌출된 측벽을 포함하며,
    상기 측벽은 상기 측벽을 관통하는 복수의 홀들을 포함하는, 반도체 제조 장치.
  10. 제1 전극으로서 작용하며, 복수의 분사 홀들을 포함하는 기체 공급 장치;
    상기 기체 공급 장치와 연결된 반응기 벽;
    제2 전극으로서 작용하며, 상기 반응기 벽과 면 실링하도록 구성된 기판 수용 장치를 포함하고,
    상기 기체 공급 장치로부터 상기 기판 수용 장치를 향하여 공급되는 반응 기체는, 상기 기체 공급 장치와 상기 반응기 벽 사이의 기체 배출 통로를 통해 외부로 배출되며,
    상기 제1 전극은 상기 기체 공급 장치의 가장자리(edge)에 형성된 돌출 전극을 포함하고,
    상기 기판 수용 장치는 상기 돌출 전극이 형성된 위치에 상응하는 위치에 형성된 홈을 포함하는 것을 특징으로 하는, 반도체 제조 장치.
  11. 청구항 10에 있어서,
    상기 돌출 전극의 곡률과 상기 홈의 곡률은 서로 동일한 것을 특징으로 하는, 반도체 제조 장치.
  12. 청구항 1에 있어서,
    상기 기판 수용 장치는,
    기판 수용을 위한 리세스 영역; 및
    상기 리세스 영역의 주위를 둘러싸며 상기 면 실링을 수행하는 접촉 영역을 포함하는, 반도체 제조 장치.
  13. 청구항 12에 있어서,
    상기 리세스 영역은 상기 돌출 전극이 형성된 위치에 상응하는 위치까지 연장되는 것을 특징으로 하는, 반도체 제조 장치.
  14. 반응기 벽;
    상기 반응기 벽에 연결된 기체 흐름 제어 장치;
    상기 기체 흐름 제어 장치와 연결된 기체 공급 장치; 및
    상기 기체 공급 장치와 마주보며, 상기 반응기 벽의 하부면과 접하면서 반응공간을 형성하는 하부 전극을 포함하며,
    상기 기체 흐름 제어 장치는 상기 기체 공급 장치 상에 적층되며,
    상기 반응기 벽 상부, 상기 기체 흐름 제어 장치, 및 상기 기체 공급 장치를 관통하여 기체 유입구가 형성되고, 상기 기체 유입구를 통해 상기 기체 공급 장치에 기체가 공급되며,
    상기 기체 공급 장치의 중심부와 상기 하부 전극 사이의 제1 거리는 상기 기체 공급 장치의 가장자리와 상기 반응기 벽 사이의 제2 거리보다 크며,
    상기 기체 공급 장치의 가장자리와 상기 하부 전극의 가장자리 사이의 제3 거리는 상기 제2 거리보다 작은 것을 특징으로 하는, 반도체 제조 장치.
  15. 복수의 분사 홀들을 포함하는 제1 전극;
    상기 제1 전극 상부의 기체 흐름 제어 장치;
    상기 제1 전극 및 상기 기체 흐름 제어 장치와 연결된 반응기 벽;
    상기 반응기 벽과 면 실링하도록 구성된 제2 전극을 포함하고,
    상기 분사 홀들을 통해 상기 제2 전극을 향하여 공급되는 반응 기체는, 상기 제1 전극과 상기 반응기 벽 사이의 기체 배출 통로 및 상기 기체 흐름 제어 장치를 통해 외부로 배출되며,
    상기 제1 전극의 상기 제2 전극을 바라보는 표면은 오목한 형상을 갖고,
    상기 제1 전극의 중심부와 상기 제2 전극 사이의 제1 거리는 상기 제1 전극의 가장자리와 상기 반응기 벽 사이의 제2 거리보다 크며,
    상기 제1 전극의 가장자리와 상기 제2 전극의 가장자리 사이의 제3 거리는 상기 제2 거리보다 작은 것을 특징으로 하는, 반도체 제조 장치.
  16. 청구항 15에 있어서,
    상기 기체 흐름 제어 장치 상부에 배치된 기체 유출구를 더 포함하고,
    상기 반응 기체는 상기 기체 배출 통로, 상기 기체 흐름 제어 장치, 및 상기 기체 유출구를 통해 외부로 배출되는 것을 특징으로 하는, 반도체 제조 장치.
  17. 청구항 15에 있어서,
    상기 기체 흐름 제어 장치는 플레이트 및 상기 플레이트로부터 돌출된 측벽을 포함하며,
    상기 측벽은 상기 측벽을 관통하는 복수의 홀들을 포함하는, 반도체 제조 장치.
  18. 삭제
  19. 청구항 15에 있어서,
    상기 제1 전극은 가장자리(edge)에 형성된 돌출 전극을 포함하는, 반도체 제조 장치.
  20. 청구항 19에 있어서,
    상기 제2 전극은 기판 수용을 위한 리세스 영역을 포함하고,
    상기 리세스 영역은 상기 돌출 전극이 형성된 위치에 상응하는 위치까지 연장되는 것을 특징으로 하는, 반도체 제조 장치.
KR1020160073833A 2015-10-22 2016-06-14 증착 장치를 포함하는 반도체 제조 장치 KR102610458B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562245150P 2015-10-22 2015-10-22
US62/245,150 2015-10-22
US15/087,736 US10358721B2 (en) 2015-10-22 2016-03-31 Semiconductor manufacturing system including deposition apparatus
US15/087,736 2016-03-31

Publications (2)

Publication Number Publication Date
KR20170047155A KR20170047155A (ko) 2017-05-04
KR102610458B1 true KR102610458B1 (ko) 2023-12-07

Family

ID=58561913

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160073833A KR102610458B1 (ko) 2015-10-22 2016-06-14 증착 장치를 포함하는 반도체 제조 장치

Country Status (4)

Country Link
US (1) US10358721B2 (ko)
KR (1) KR102610458B1 (ko)
CN (1) CN106609363B (ko)
TW (1) TWI571909B (ko)

Families Citing this family (207)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) * 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) * 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) * 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) * 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
US11798803B2 (en) * 2019-05-15 2023-10-24 Applied Materials, Inc. Dynamic multi zone flow control for a processing system
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11447866B2 (en) * 2020-06-17 2022-09-20 Applied Materials, Inc. High temperature chemical vapor deposition lid
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220021514A (ko) 2020-08-14 2022-02-22 삼성전자주식회사 상부 전극 및 이를 포함하는 기판 처리 장치
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002299240A (ja) * 2001-03-28 2002-10-11 Tadahiro Omi プラズマ処理装置
JP2008211243A (ja) 2008-04-23 2008-09-11 Hitachi Kokusai Electric Inc プラズマ処理装置
KR101177192B1 (ko) * 2007-09-12 2012-08-24 도쿄엘렉트론가부시키가이샤 성막 장치, 성막 방법 및 기억 매체

Family Cites Families (93)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4355937A (en) * 1980-12-24 1982-10-26 International Business Machines Corporation Low shock transmissive antechamber seal mechanisms for vacuum chamber type semi-conductor wafer electron beam writing apparatus
US4534816A (en) * 1984-06-22 1985-08-13 International Business Machines Corporation Single wafer plasma etch reactor
US4590042A (en) * 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
US4786359A (en) * 1987-06-24 1988-11-22 Tegal Corporation Xenon enhanced plasma etch
DE3914065A1 (de) * 1989-04-28 1990-10-31 Leybold Ag Vorrichtung zur durchfuehrung von plasma-aetzverfahren
JPH0423429A (ja) * 1990-05-18 1992-01-27 Mitsubishi Electric Corp 半導体装置のプラズマ処理装置及びプラズマ処理方法
FR2682047B1 (fr) * 1991-10-07 1993-11-12 Commissariat A Energie Atomique Reacteur de traitement chimique en phase gazeuse.
TW299559B (ko) * 1994-04-20 1997-03-01 Tokyo Electron Co Ltd
GB9410567D0 (en) * 1994-05-26 1994-07-13 Philips Electronics Uk Ltd Plasma treatment and apparatus in electronic device manufacture
US5891350A (en) * 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
US5772770A (en) * 1995-01-27 1998-06-30 Kokusai Electric Co, Ltd. Substrate processing apparatus
TW323387B (ko) * 1995-06-07 1997-12-21 Tokyo Electron Co Ltd
US5735960A (en) * 1996-04-02 1998-04-07 Micron Technology, Inc. Apparatus and method to increase gas residence time in a reactor
JP3955340B2 (ja) * 1996-04-26 2007-08-08 株式会社神戸製鋼所 高温高圧ガス処理装置
JP3314151B2 (ja) * 1998-01-05 2002-08-12 株式会社日立国際電気 プラズマcvd装置及び半導体装置の製造方法
US6106663A (en) * 1998-06-19 2000-08-22 Lam Research Corporation Semiconductor process chamber electrode
JP4275769B2 (ja) * 1998-06-19 2009-06-10 株式会社渡辺商行 基体の移載装置
JP3320387B2 (ja) * 1998-09-07 2002-09-03 キヤノン株式会社 電子源の製造装置及び製造方法
WO2000079576A1 (en) * 1999-06-19 2000-12-28 Genitech, Inc. Chemical deposition reactor and method of forming a thin film using the same
US6415736B1 (en) * 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
JP3483537B2 (ja) * 2000-03-06 2004-01-06 キヤノン株式会社 画像表示装置の製造方法
JP3590328B2 (ja) * 2000-05-11 2004-11-17 東京エレクトロン株式会社 塗布現像処理方法及び塗布現像処理システム
EP1303870A2 (en) * 2000-07-26 2003-04-23 Tokyo Electron Limited High pressure processing chamber for semiconductor substrate
US6475336B1 (en) * 2000-10-06 2002-11-05 Lam Research Corporation Electrostatically clamped edge ring for plasma processing
US6391787B1 (en) * 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
AU2002211730A1 (en) * 2000-10-16 2002-04-29 Tokyo Electron Limited Plasma reactor with reduced reaction chamber
US6852167B2 (en) * 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
US6974523B2 (en) * 2001-05-16 2005-12-13 Lam Research Corporation Hollow anode plasma reactor and method
WO2003023835A1 (en) * 2001-08-06 2003-03-20 Genitech Co., Ltd. Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof
US7780785B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US7160577B2 (en) * 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US7008484B2 (en) * 2002-05-06 2006-03-07 Applied Materials Inc. Method and apparatus for deposition of low dielectric constant materials
US6846380B2 (en) * 2002-06-13 2005-01-25 The Boc Group, Inc. Substrate processing apparatus and related systems and methods
JP4186536B2 (ja) * 2002-07-18 2008-11-26 松下電器産業株式会社 プラズマ処理装置
KR100465877B1 (ko) * 2002-08-23 2005-01-13 삼성전자주식회사 반도체 식각 장치
JP4152802B2 (ja) * 2003-05-09 2008-09-17 日本エー・エス・エム株式会社 薄膜形成装置
US20050011447A1 (en) * 2003-07-14 2005-01-20 Tokyo Electron Limited Method and apparatus for delivering process gas to a process chamber
US7699932B2 (en) * 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US7845309B2 (en) * 2004-07-13 2010-12-07 Nordson Corporation Ultra high speed uniform plasma processing system
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US20060137608A1 (en) * 2004-12-28 2006-06-29 Choi Seung W Atomic layer deposition apparatus
US8211230B2 (en) * 2005-01-18 2012-07-03 Asm America, Inc. Reaction system for growing a thin film
US7608549B2 (en) * 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
JP2008540840A (ja) * 2005-05-09 2008-11-20 エイエスエム・ジェニテック・コリア・リミテッド 複数の気体流入口を有する原子層堆積装置の反応器
US7837825B2 (en) * 2005-06-13 2010-11-23 Lam Research Corporation Confined plasma with adjustable electrode area ratio
US7641762B2 (en) * 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
US20070116872A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
US20070116873A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
US8454749B2 (en) * 2005-12-19 2013-06-04 Tokyo Electron Limited Method and system for sealing a first assembly to a second assembly of a processing system
US7794546B2 (en) * 2006-03-08 2010-09-14 Tokyo Electron Limited Sealing device and method for a processing system
US7670432B2 (en) * 2006-03-08 2010-03-02 Tokyo Electron Limited Exhaust system for a vacuum processing system
US7740705B2 (en) * 2006-03-08 2010-06-22 Tokyo Electron Limited Exhaust apparatus configured to reduce particle contamination in a deposition system
US8008596B2 (en) * 2006-03-16 2011-08-30 Tokyo Electron Limited Plasma processing apparatus and electrode used therein
US7740736B2 (en) * 2006-06-08 2010-06-22 Lam Research Corporation Methods and apparatus for preventing plasma un-confinement events in a plasma processing chamber
KR100799735B1 (ko) * 2006-07-10 2008-02-01 삼성전자주식회사 금속 산화물 형성 방법 및 이를 수행하기 위한 장치
US7976898B2 (en) * 2006-09-20 2011-07-12 Asm Genitech Korea Ltd. Atomic layer deposition apparatus
US20080081114A1 (en) * 2006-10-03 2008-04-03 Novellus Systems, Inc. Apparatus and method for delivering uniform fluid flow in a chemical deposition system
US7993457B1 (en) * 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
US7943007B2 (en) * 2007-01-26 2011-05-17 Lam Research Corporation Configurable bevel etcher
JP2008192642A (ja) * 2007-01-31 2008-08-21 Tokyo Electron Ltd 基板処理装置
KR101412620B1 (ko) * 2007-06-28 2014-06-26 램 리써치 코포레이션 플라즈마 식각 장치
KR20090018290A (ko) * 2007-08-17 2009-02-20 에이에스엠지니텍코리아 주식회사 증착 장치
KR101376336B1 (ko) * 2007-11-27 2014-03-18 한국에이에스엠지니텍 주식회사 원자층 증착 장치
US8092606B2 (en) * 2007-12-18 2012-01-10 Asm Genitech Korea Ltd. Deposition apparatus
TWI498988B (zh) * 2008-02-20 2015-09-01 Tokyo Electron Ltd A gas supply device, a film forming apparatus, and a film forming method
WO2009125951A2 (en) * 2008-04-07 2009-10-15 Sosul Co., Ltd. Plasma processing apparatus and method for plasma processing
KR20110022036A (ko) * 2008-06-02 2011-03-04 맷슨 테크놀로지, 인크. 기판 처리방법
US8470718B2 (en) * 2008-08-13 2013-06-25 Synos Technology, Inc. Vapor deposition reactor for forming thin film
US20100037820A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Vapor Deposition Reactor
WO2010065473A2 (en) * 2008-12-01 2010-06-10 Applied Materials, Inc. Gas distribution blocker apparatus
KR20100077828A (ko) * 2008-12-29 2010-07-08 주식회사 케이씨텍 원자층 증착장치
KR101172147B1 (ko) * 2009-02-23 2012-08-07 시너스 테크놀리지, 인코포레이티드 플라즈마에 의한 라디칼을 이용한 박막 형성 방법
KR101245769B1 (ko) * 2009-07-28 2013-03-20 엘아이지에이디피 주식회사 화학기상증착장치, 화학기상증착장치용 가이드부재 및 화학기상증착장치를 이용한 박막제조방법
US8826855B2 (en) * 2010-06-30 2014-09-09 Lam Research Corporation C-shaped confinement ring for a plasma processing chamber
KR20130079489A (ko) * 2010-07-28 2013-07-10 시너스 테크놀리지, 인코포레이티드 기판상에 막을 증착하기 위한 회전 반응기 조립체
KR101234594B1 (ko) * 2011-07-25 2013-02-19 피에스케이 주식회사 배플 및 이를 포함하는 기판 처리 장치
US9005539B2 (en) * 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US20130337657A1 (en) * 2012-06-19 2013-12-19 Plasmasi, Inc. Apparatus and method for forming thin protective and optical layers on substrates
US8933375B2 (en) * 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
JP6107327B2 (ja) * 2013-03-29 2017-04-05 東京エレクトロン株式会社 成膜装置及びガス供給装置並びに成膜方法
US20150001180A1 (en) * 2013-06-28 2015-01-01 Applied Materials, Inc. Process kit for edge critical dimension uniformity control
WO2015069428A1 (en) * 2013-11-06 2015-05-14 Applied Materials, Inc. Particle generation suppressor by dc bias modulation
JP2015090916A (ja) * 2013-11-06 2015-05-11 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US20150167160A1 (en) * 2013-12-16 2015-06-18 Applied Materials, Inc. Enabling radical-based deposition of dielectric films
JP6379550B2 (ja) * 2014-03-18 2018-08-29 東京エレクトロン株式会社 成膜装置
JP5808472B1 (ja) * 2014-09-24 2015-11-10 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体
KR102372893B1 (ko) * 2014-12-04 2022-03-10 삼성전자주식회사 발광 소자 제조용 화학 기상 증착 장치
US9963782B2 (en) * 2015-02-12 2018-05-08 Asm Ip Holding B.V. Semiconductor manufacturing apparatus
JP5961297B1 (ja) * 2015-03-26 2016-08-02 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
KR102417930B1 (ko) * 2015-08-13 2022-07-06 에이에스엠 아이피 홀딩 비.브이. 증착 장치 및 이를 포함하는 증착 시스템
US9754769B2 (en) * 2015-09-15 2017-09-05 Lam Research Corporation Metrology methods to detect plasma in wafer cavity and use of the metrology for station-to-station and tool-to-tool matching
US9953843B2 (en) * 2016-02-05 2018-04-24 Lam Research Corporation Chamber for patterning non-volatile metals
KR102632725B1 (ko) * 2016-03-17 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 기판 지지 플레이트 및 이를 포함하는 박막 증착 장치 및 박막 증착 방법

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002299240A (ja) * 2001-03-28 2002-10-11 Tadahiro Omi プラズマ処理装置
KR101177192B1 (ko) * 2007-09-12 2012-08-24 도쿄엘렉트론가부시키가이샤 성막 장치, 성막 방법 및 기억 매체
JP2008211243A (ja) 2008-04-23 2008-09-11 Hitachi Kokusai Electric Inc プラズマ処理装置

Also Published As

Publication number Publication date
TWI571909B (zh) 2017-02-21
US10358721B2 (en) 2019-07-23
TW201715578A (zh) 2017-05-01
CN106609363B (zh) 2020-06-09
KR20170047155A (ko) 2017-05-04
CN106609363A (zh) 2017-05-03
US20170114460A1 (en) 2017-04-27

Similar Documents

Publication Publication Date Title
KR102610458B1 (ko) 증착 장치를 포함하는 반도체 제조 장치
CN108206151B (zh) 基板处理设备
KR102343829B1 (ko) 이중 매립 전극들을 갖는 기판 지지부
JP7175339B2 (ja) 周期的かつ選択的な材料の除去及びエッチングのための処理チャンバ
KR102594473B1 (ko) 내장형 rf 차폐부를 갖는 반도체 기판 지지부들
KR102523441B1 (ko) 다수의 프리커서 유동을 위한 반도체 처리 챔버
US20190139743A1 (en) Insulated semiconductor faceplate designs
KR20210013634A (ko) 프로세싱 챔버 내에서의 퍼징 및 플라스마 억제를 위한 방법 및 장치
US9679750B2 (en) Deposition apparatus
KR20170088394A (ko) 프로세스 균일성을 증대하기 위한 방법 및 시스템
US20180122638A1 (en) Substrate processing apparatus
KR102595824B1 (ko) 전도성 전극들을 갖는 세라믹 샤워헤드들
KR20220155591A (ko) 고성능 코팅을 갖는 반도체 챔버 구성요소들
US20230402261A1 (en) Uniform in situ cleaning and deposition
KR102525049B1 (ko) 비정상적인 전기 방전 및 플라즈마 집중을 방지하기 위한 절연 구조물
KR20200001493A (ko) 플라스마 처리 장치
US12009218B2 (en) Pulsed etch process

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right