KR20210129627A - 목표 조성 및 막 특성들을 갖는 SiC 부류의 막들을 획득하는 방법 - Google Patents

목표 조성 및 막 특성들을 갖는 SiC 부류의 막들을 획득하는 방법 Download PDF

Info

Publication number
KR20210129627A
KR20210129627A KR1020210140454A KR20210140454A KR20210129627A KR 20210129627 A KR20210129627 A KR 20210129627A KR 1020210140454 A KR1020210140454 A KR 1020210140454A KR 20210140454 A KR20210140454 A KR 20210140454A KR 20210129627 A KR20210129627 A KR 20210129627A
Authority
KR
South Korea
Prior art keywords
silicon
precursor
organo
silicon carbide
substrate
Prior art date
Application number
KR1020210140454A
Other languages
English (en)
Other versions
KR102542262B1 (ko
Inventor
브하드리 엔. 바라다라잔
Original Assignee
노벨러스 시스템즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 노벨러스 시스템즈, 인코포레이티드 filed Critical 노벨러스 시스템즈, 인코포레이티드
Publication of KR20210129627A publication Critical patent/KR20210129627A/ko
Priority to KR1020220042654A priority Critical patent/KR102545881B1/ko
Priority to KR1020220042655A priority patent/KR102494203B1/ko
Priority to KR1020220042652A priority patent/KR102500935B1/ko
Priority to KR1020220042657A priority patent/KR102494204B1/ko
Priority to KR1020230072675A priority patent/KR20230087429A/ko
Application granted granted Critical
Publication of KR102542262B1 publication Critical patent/KR102542262B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Carbon And Carbon Compounds (AREA)

Abstract

실리콘 카바이드 부류의 막들을 제공하기 위한 방법들 및 시스템들이 제공된다. 실리콘 카바이드 막의 조성은 프리커서들의 조합의 선정 및 프리커서들 간의 플로우 레이트 비에 의해서 제어될 수 있다. 실리콘 카바이드 막들은 2 개의 상이한 유기-실리콘 프리커서들을 유동시키고 반응 챔버 내에서 서로 혼합시킴으로써 기판 상에서 증착될 수 있다. 유기-실리콘 프리커서들은 실질적으로 낮은 에너지 상태에서 하나 이상의 라디칼들과 반응하여서 실리콘 카바이드 막을 형성한다. 하나 이상의 라디칼들은 리모트 플라즈마 소스 내에서 형성될 수 있다.

Description

목표 조성 및 막 특성들을 갖는 SiC 부류의 막들을 획득하는 방법{METHOD TO OBTAIN SiC CLASS OF FILMS OF DESIRED COMPOSITION AND FILM PROPERTIES}
본 개시는 전반적으로 실리콘 카바이드 부류의 막들을 형성하는 것에 관한 것이다.
실리콘 카바이드 (SiC) 부류의 박막들은 고유한 물리적, 화학적, 전기적 및 기계적 특성들을 가지며 다양한 애플리케이션들에서, 특히 집적 회로 애플리케이션에서 사용된다. 실리콘 카바이드 (SiC) 부류의 박막들의 실례들은 실리콘 옥시카바이드 (SiOC), 실리콘 카본 나이트라이드 (SiCN), 및 실리콘 옥시카본나이트라이드 (SiOCN) 와 같은 산소 및/또는 질소 도핑된 SiC를 포함한다. 실리콘 카바이드 (SiC) 부류의 박막들의 조성은 이 박막들의 목표 물리적, 화학적, 전기적 및 기계적 특성들에 영향을 줄 수 있다.
본 개시는 실리콘 카바이드 막을 증착하는 방법에 관한 것이다. 실리콘 카바이드 막은 도핑되거나 도핑되지 않을 수 있다. 실리콘 카바이드 막을 증착하는 방법은 기판을 제공하는 단계 및 상기 기판 상으로 제 1 유기-실리콘 프리커서 (organo-silicon precursor) 및 제 2 유기-실리콘 프리커서 (organo-silicon precursor) 를 함께 유동시키는 (flowing) 단계를 포함한다. 상기 제 1 유기-실리콘 프리커서는 상기 제 2 유기-실리콘 프리커서와 상이하다. 이 방법은 라디칼들이 상기 제 1 유기-실리콘 프리커서 및 상기 제 2 유기-실리콘 프리커서와 반응하여서 상기 기판 상에 실리콘 카바이드 막을 증착하도록, 실질적으로 낮은 에너지 상태로 하나 이상의 라디칼들을 소스 가스로부터 도입시키는 단계를 더 포함한다. 도핑되거나 도핑되지 않은 실리콘 카바이드 막의 조성은 프리커서들의 조합의 선정 및 프리커서들의 플로우 레이트들 간의 비에 따라서 조절될 수 있다. 몇몇 실시예들에서, 질소 도핑된 실리콘 카바이드 막은 실리콘-질소 결합들 (bonds) 에 대한 실리콘-탄소 결합들 (bonds) 의 상이한 비들을 갖는 적어도 2 개의 유기-실리콘 프리커서들을 혼합함으로써 형성될 수 있다. 몇몇 실시예들에서, 산소 도핑된 실리콘 카바이드 막은 실리콘-산소 결합들 (bonds) 에 대한 실리콘-탄소 결합들 (bonds) 의 상이한 비들을 갖는 적어도 2 개의 유기-실리콘 프리커서들을 혼합함으로써 형성될 수 있다. 몇몇 실시예들에서, 산소 및 질소 도핑된 실리콘 카바이드 막은 실리콘-산소 결합들 (bonds) 을 갖는 유기-실리콘 프리커서와 실리콘-질소 결합들 (bonds) 을 갖는 다른 유기-실리콘 프리커서를 혼합함으로써 형성될 수 있다. 몇몇 실시예들에서, 도핑되지 않은 실리콘 카바이드 막은 실리콘-탄소 결합들 (bonds) 의 상이한 비들을 갖는 적어도 2 개의 유기-실리콘 프리커서들을 혼합함으로써 형성될 수 있다. 몇몇 실시예들에서, 상기 실리콘 카바이드 막은 상기 실리콘 카바이드 막의 하부 표면과 상부 표면 간에서 산소 및 질소의 가변 농도 (varying concentration) 를 갖는다. 몇몇 실시예들에서, 상기 라디칼들은 상기 소스 가스를 리모트 플라즈마에 노출시킴으로써 도입된다. 상기 라디칼들은 질소, 수소, 암모니아, 및 아민으로 구성된 그룹으로부터 선택된 소스 가스로부터 생성될 수 있다.
본 개시는 또한 장치에 관한 것이며, 이 장치는 반응 챔버; 상기 반응 챔버에 연결되고 상기 반응 챔버 외부에서 플라즈마를 생성하도록 구성된 플라즈마 소스; 상기 반응 챔버에 연결된 하나 이상의 제 1 가스 유입구들; 상기 반응 챔버에 연결된 제 2 가스 유입구; 및 제어기를 포함한다. 제어기는 (a) 상기 하나 이상의 제 1 가스 유입구들을 통해서 제 1 유기-실리콘 프리커서 (organo-silicon precursor) 를 상기 반응 챔버 내로 유동시키는 동작; (b) 상기 제 1 유기-실리콘 프리커거와 혼합되도록, 상기 하나 이상의 제 1 가스 유입구들을 통해서 제 2 유기-실리콘 프리커서 (organo-silicon precursor) 를 상기 반응 챔버 내로 유동시키는 동작으로서, 상기 제 1 유기-실리콘 프리커서는 상기 제 2 유기-실리콘 프리커서와 상이한, 상기 제 2 유기-실리콘 프리커서를 유동시키는 동작; (c) 상기 플라즈마 소스 내의 플라즈마로부터 하나 이상의 라디칼 종들을 실질적으로 저 에너지 상태로 제공하는 동작; 및 (d) 상기 제 1 유기-실리콘 프리커서 및 상기 제 2 유기-실리콘 프리커서와 반응하여서 기판 상에 실리콘 카바이드 막을 형성하도록 상기 하나 이상의 라디칼 종들을 상기 제 2 가스 유입구를 통해서 상기 반응 챔버 내로 유동시키는 동작을 수행하기 위한 인스트럭션들을 갖도록 구성될 수 있다. 몇몇 실시예들에서, 상기 실리콘 카바이드 막은 질소 및/또는 산소로 도핑된다. 몇몇 실시예들에서, 상기 제어기는 상기 제 1 유기-실리콘 프리커서의 플로우 레이트와 상기 제 2 유기-실리콘 프리커서의 플로우 레이트 간의 비가 약 1:1 내지 약 2:1가 되도록 상기 제 1 유기-실리콘 프리커서과 상기 제 2 유기-실리콘 프리커서를 각각 유동시키도록 구성된다. 몇몇 실시예들에서, 상기 제 1 유기-실리콘 프리커서 및 상기 제 2 유기-실리콘 프리커서 각각은 하나 이상의 실리콘-수소 결합들 및/또는 실리콘-실리콘 결합들을 갖는다. 몇몇 실시예들에서, 상기 라디칼 종들은 질소, 수소, 암모니아, 및 아민으로 구성된 그룹으로부터 선택된 소스 가스로부터 생성된다.
이러한 실시예들 및 다른 실시예들이 도면들을 참조하여서 이하에서 더 상세하게 기술될 것이다.
도 1은 실리콘 카바이드 막을 증착하는 방법을 예시하는 예시적인 흐름도를 도시한다.
도 2a는 기판 위에 증착된 실리콘 카바이드 막의 실례의 단면을 도시한다.
도 2b는 기판 위에 증착된 구배 도핑된 (graded doped) 실리콘 카바이드 막의 실례의 단면을 도시한다.
도 2c는 트랜지스터의 게이트 전극 구조물의 측벽들 상의 실리콘 카바이드 수직형 구조물들 (vertical structures) 을 도시한다.
도 2d는 에어 갭 타입 (air gap type) 금속화 층 내의 구리 라인들의 노출된 측벽들 상의 실리콘 카바이드 수직형 구조물들 (vertical structures) 을 도시한다.
도 2e는 다공성 유전체 재료들을 위한 실리콘 카바이드 기공 실링제들 (pore sealants) 을 도시한다.
도 3은 대표적인 캐이징된 (caged) 실록산 프리커서들의 실례를 도시한다.
도 4는 리모트 플라즈마 소스를 갖는 프로세싱 장치의 개략도를 도시한다.
다음의 설명에서, 다수의 특정 세부사항들이 제공된 개념들의 철저한 이해를 제공하기 위해서 제시된다. 제공된 개념들은 이러한 특정 세부 사항들 전부 또는 일부 없이도 실시될 수 있다. 다른 실례들에서, 잘 알려진 프로세스 동작들은 기술된 개념들을 불필요하게 모호하게 하지 않도록 하기 위해서 세부적으로는 기술될지 않았다. 몇몇 개념들이 특정 실시예들과 함께 기술될 것이지만, 이러한 실시예들은 한정적으로 해석되지 말아야 함이 이해될 것이다.
서론
반도체 디바이스들의 제조는 통상적으로 통합된 제조 프로세스에서 기판 상에 하나 이상의 박막들을 증착하는 것과 관련된다. 통합된 제조 프로세스의 몇몇 양태들에서, SiC, SiCN 및 SiOC와 같은 부류의 박막들은 원자적 층 증착 (ALD), 화학 기상 증착 (CVD), 플라즈마 강화된 화학 기상 증착 (PECVD), 또는 임의의 다른 적합한 증착 방법을 사용하여서 증착될 수 있다.
PECVD 프로세스들은 실리콘 카바이드 부류의 박막들을 증착하기 위한 인 시츄 (in situ) 플라즈마 프로세싱을 사용할 수 있으며, 여기서 플라즈마 프로세싱은 기판에 직접 접하여서 발생한다. 그러나, 고품질 실리콘 카바이드 부류의 박막들을 증착하는 것은 몇몇 과제들을 갖는다고 알려져있다. 예를 들어서, 이러한 과제들은 무엇보다도 우수한 스텝 커버리지, 저 유전체 상수, 높은 항복 전압, 저 누설 전류, 저 기공율, 높은 기밀성, 고밀도, 고 경도, 및 노출된 금속 표면들을 산화시키지 않고서 노출된 금속 표면에 걸친 커버리지를 갖는 실리콘 카바이드 부류의 박막들을 제공하는 것을 포함할 수 있다.
본 개시는 임의의 특정 이론에 의해서 한정되지 않지만, 통상적인 PECVD 프로세스들에서 플라즈마 상태들은 바람직하지 않은 효과들을 생성한다고 사료된다. 예를 들어서, PECVD 프로세스는 프리커서 분자들 내의 Si-O 결합, Si-N 결합 및/또는 Si-C 결합을 깨는 직접형 플라즈마 상태들을 제공할 수 있다. 직접형 플라즈마 상태들은 대전된 입자 충돌 및 고 에너지 자외선 조사를 포함하며, 이는 박막을 손상하는 효과를 낳는다.
직접형 플라즈마 상태들로부터 기인되는 이러한 박막 손상 효과는 불량한 스텝 커버리지로 이어질 수 있다. 직접형 플라즈마 상태들 내의 대전된 입자들은 증가된 점착 계수 (sticking coefficinet) 를 갖는 강한 반응성 라디칼들로 이어진다. 증착된 실리콘 카바이드 막은 "댕글링 (dangling)" 상태에 있는 실리콘, 탄소, 산소 및/또는 질소 결합들을 가질 수 있는데, 이는 실리콘, 탄소, 산소 및/또는 질소가 쌍을 이루지 않은 (unpaired) 반응성 원자가 전자들 (valence electrons) 을 가질 것을 의미한다. 프리커서 분자들의 증가된 점착 계수는 불량한 스텝 커버리지를 갖는 실리콘 카바이드 막 증착으로 이어지는데, 이는 반응성 프리커서 단편들 (precusor fragment) 이 이전에 증착된 막들 또는 층들의 측벽들에 점착되는 경향이 있기 때문이다.
직접형 플라즈마 상태들로부터 기인되는 다른 박막 손상 효과는 증착 지향성을 포함할 수 있다. 이는 부분적으로 프리커서 분자들을 깨는데 필요한 에너지가 저 주파수에서 존재할 수 있으며 이는 표면에서 다량의 이온 충돌을 생성하기 때문이다. 지향적 증착도 역시 불량한 스텝 커버리지로 이어질 수 있다.
또한, PECVD 내의 직접형 플라즈마 상태들은 또한 실리콘 카바이드 막 내의 실리콘-수소 결합 (Si-H) 의 생성을 증가시킬 수 있다. 구체적으로, 깨진 Si-C 결합이 Si-H 결합으로 대체될 수 있다. 이는 저감된 탄소 함량을 낳을 뿐만 아니라 며몇 실례들에서는 불량한 전기적 특성들을 낳는다. 예를 들어서, Si-H 결합의 존재는 항복 전압을 작게 하며 누설 전류를 증가시키는데 그 이유는 Si-H 결합이 전자들에게 누설 경로를 제공하기 때문이다.
또한, PECVD 상태들은 통상적으로 실리콘 카바이드 재료의 도핑을 제어하기 위해서 도핑제 또는 공반응물 (co-reactant) 을 포함한다. 이러한 도핑제들은 카본 다이옥사이드 (carbon dioxide (CO2)), 카본 모노옥사이드 (carbon monoxide (CO)), 물 (water (H2O)), 메탄올 (methanol (CH3OH)), 산소 (oxygen (O2)), 오존 (ozone (O3)), 질소 (nitrogen (N2)), 암모니아 (ammonia (NH3)), 메탄 (methane (CH4)), 에탄 (ethane (C2H6)), 아세틸렌 (acetylene (C2H2)), 및 에틸렌 (ethylene (C-2H4)) 을 포함할 수 있다. 산소 및/또는 질소 도핑된 실리콘 카바이드 막들의 제조는 일반적으로 이러한 도핑제들의 사용을 요구할 수 있다. 예를 들어서, 실리콘 함유 프리커서는 질소 도핑된 실리콘 카바이드 (SiCN) 박막을 제조하도록 NH3와 혼합될 수 있거나, 실리콘 함유 프리커서는 산소 도핑된 실리콘 카바이드 (SiOC) 박막을 제조하도록 CO2 또는 O2와 혼합될 수 있다. 통상적인 PECVD 상태들은 실리콘 카바이드 부류의 박막들을 도핑하기 위해서 이러한 도핑제들을 사용하기 때문에, 이는 탄소 함량을 저하시킬 수 있다.
PECVD 프로세스들에서, 실리콘 카바이드 막의 조성을 제어하는 일 방식은 프리커서 자체의 구조 또는 선정하는 것을 변화시키는 것이다. 예를 들면, 실리콘 카바이드 막 내의 탄소, 산소 및/또는 질소의 농도는 프리커서 분자의 화학양비에 크게 의존한다. 이와 달리, 실리콘 카바이드 막의 조성을 제어하는 다른 방식은 실리콘 카바이드 막의 조성을 제어하도록 프리커서의 플로우 레이트를 변화시키고/시키거나 반응물 가스 종들을 변화시키는 것이다. 예를 들어서, 테트라 메틸 실란 (4MS) 이 각기 SiCN 또는 SiOC를 형성하도록 NH3 또는 CO2와 반응하게 유동될 수 있다. 탄소 함량이 낮은 SiOC를 형성하기 위해서, 4MS의 플로우 레이트는 매우 낮으며 CO2의 플로우 레이트는 매우 높을 수 있다. 탄소 함량이 역시 낮은 SiCN을 형성하기 위해서, 4MS의 플로우 레이트는 매우 낮으며 NH3의 플로우 레이트는 매우 높을 수 있다. 실제로, Si-N 결합 또는 Si-O 결합을 형성하는 것이 Si-C 결합을 형성하는 것에 비해서 에너지 측면에서 보다 선호적이며, 이로써 이러한 막에서 탄소 함량은 낮을 수 밖에 없다. 따라서, 탄소 함량과 같이, 실리콘 카바이드 막 내에서의 조성 제어는 좁은 범위로 한정될 수 있다. 본 명세서에서 조기에 설명한 바와 같이, PECVD 사용은 실리콘 카바이드 막이 도핑되지 않는 경우에도 바람직하지 않게는 매우 많은 개수의 Si-H 결합들을 낳을 수 있다.
또한, 통상적인 PECVD 프로세스들은 O2, O3, 및 CO2와 같은 산화 종들을 도입하는 경우에, 이러한 산화 종들을 사용하여서 실리콘 카바이드 막을 증착하는 것은 금속 표면을 산화시킬 수 있다. 예를 들어서, 노출된 구리 표면들에 대해서 이러한 산화 종들을 사용하여서 실리콘 카바이드 막을 증착하는 것은 구리를 산화시킬 수 있다.
방법
도핑된 실리콘 카바이드 막들을 형성하기 위해서 NH3, O2, O3, 및 CO2 등과 같은 도핑제들을 사용하는 대신에, 본 명세서에서 기술된 개시된 구현예들은 도핑되거나 도핑되지 않은 실리콘 카바이드 박막들을 형성하도록 유기-실리콘 프리커서 (organo-silicon precursor) 와 반응하는 라디칼들을 사용하는 것과 관련된다. 또한, 도핑되거나 도핑되지 않은 실리콘 카바이드 박막의 조성은 공반응물들 (co-reactants) 로서 다수의 유기-실리콘 프리커서들을 유동시킴으로써 제어될 수 있다.
도 1은 실리콘 카바이드 막을 증착하는 방법을 예시하는 예시적인 흐름도이다. 프로세스 (100) 는 단계 (110) 에서 시작하며 이 단계에서 기판이 제공된다. 기판은 임의의 웨이퍼, 반도체 웨이퍼, 부분적으로 제조된 집적 회로, 인쇄 회로 기판 또는 다른 적합한 작업 피스 (work piece) 를 포함할 수 있다.
프로세스 (100) 는 단계 (120) 에서 계속되며, 이 단계에서 제 1 유기-실리콘 프리커서 (organo-silicon precursor) 가 기판 상으로 유동된다. 몇몇 구현예들에서, 제 1 유기-실리콘 프리커서 (organo-silicon precursor) 는 하나 이상의 실리콘-산소 (Si-O) 결합들 및/또는 하나 이상의 실리콘-질소 (Si-N) 결합들을 갖는다. 또한, 제 1 유기-실리콘 프리커서는 하나 이상의 실리콘-탄소 (Si-C) 결합들 및, 몇몇 구현예들에서는, 하나 이상의 실리콘-실리콘 (Si-Si) 결합들 및/또는 하나 이상의 실리콘-수소 (Si-H) 결합들을 갖는다. 도핑된 실리콘 카바이드 막을 위해서, 제 1 유기-실리콘 프리커서 (organo-silicon precursor) 를 수소 라디칼들과 반응시킬 때에 결과적으로 생성되는 도핑된 실리콘 카바이드 막의 질소에 대한 탄소의 비 (C:N) 또는 산소에 대한 탄소의 비 (C:O) 는 제 1 유기-실리콘 프리커서의 선정에 실질적으로 의존할 수 있다.
프로세스 (100) 는 단계 (130) 에서 계속되며, 이 단계에서 상기 제 1 유기-실리콘 프리커서 (organo-silicon precursor) 와 함께 제 2 유기-실리콘 프리커서 (organo-silicon precursor) 가 유동된다. 몇몇 구현예들에서, 제 2 유기-실리콘 프리커서 (organo-silicon precursor) 는 하나 이상의 실리콘-산소 (Si-O) 결합들 및/또는 하나 이상의 실리콘-질소 (Si-N) 결합들을 갖는다. 그러나, 제 2 유기-실리콘 프리커서는 제 1 유기-실리콘 프리커서와는 상이하다. 적어도 2 개의 서로 상이한 유기-실리콘 프리커서들을 함께 유동시키는 것은 단일 유기-실리콘 프리커서를 하나 이상의 도핑제들과 반응시키는 것에 비해서, 결과적으로 생성된 실리콘 카바이드 막에 대한 보다 우수한 조성 제어를 달성할 수 있다. 단일 프리커서를 반응시키는 것은 선정된 프리커서의 화학양비, 도핑제들 및 프리커서의 플로우 레이트들 및 도핑제의 선정으로 한정될 수 있는 한정된 범위의 C:N 비 또는 C:O 비를 생성할 수 있다.
제 1 유기-실리콘 프리커서를 제 2 유기-실리콘 프리커서과 함께 유동시키는 것은 동시에 또는 순차적으로 이루어질 수 있다. 동시적 유동은 제 1 유기-실리콘 프리커서와 제 2 유기-실리콘 프리커서가 동시에 혼합되게 할 수 있다. 순차적 유동은 제 2 유기-실리콘 프리커서가 전달된 후에 제 1 유기-실리콘 프리커서와 제 2 유기-실리콘 프리커서가 혼합되게 할 수 있다.
몇몇 구현예들에서, 제 1 유기-실리콘 프리커서 및 제 2 유기-실리콘 프리커서는 유기-실리콘 산화물 프리커서와 유기-실리콘 질화물 프리커서의 상이한 조합일 수 있다. 구체적으로, 질소 및 산소 도핑된 실리콘 카바이드 (SiOCN) 를 형성하도록 유기-실리콘 산화물 프리커서는 유기-실리콘 질화물 프리커서와 조합될 수 있다. 산소 도핑된 실리콘 카바이드 (SiOC) 를 형성하도록 유기-실리콘 산화물 프리커서는 다른 유기-실리콘 산화물 프리커서와 조합될 수 있다. 질소 도핑된 실리콘 카바이드 (SiCN) 를 형성하도록 유기-실리콘 질화물 프리커서는 다른 유기-실리콘 질화물 프리커서와 조합될 수 있다.
SiONC를 형성하기 위해서, 제 1 유기-실리콘 프리커서는 하나 이상의 Si-O 결합들을 가지며, 제 2 유기-실리콘 프리커서는 하나 이상의 Si-N 결합들을 가질 수 있다. SiOC를 형성하기 위해서, 제 1 유기-실리콘 프리커서 및 제 2 유기-실리콘 프리커서는 각기 각기 Si-C 결합과 Si-O 결합 간의 상이한 비들을 가질 수 있다. SiCN를 형성하기 위해서, 제 1 유기-실리콘 프리커서 및 제 2 유기-실리콘 프리커서는 각기 각기 Si-C 결합과 Si-N 결합 간의 상이한 비들을 가질 수 있다.
프로세스 (100) 는 단계 (140) 에서 계속되며, 이 단계에서 하나 이상의 라디칼들이 제 1 유기-실리콘 프리커서 및 제 2 유기-실리콘 프리커서와 반응하도록 실질적으로 낮은 에너지 상태로 소스 가스로부터 도입된다. 이 반응으로 인해서 기판 상에 실리콘 카바이드 막이 증착된다. 라디칼들은 소스 가스로부터 생성되며 소스 가스는 수소, 질소, 암모니아, 및/또는 아민을 포함할 수 있다. 몇몇 경우들에서, 라디칼들은 실질적으로 수소 라디칼들이다. 제 1 유기-실리콘 프리커서 및 제 2 유기-실리콘 프리커서는, 결과적으로 생성되는 실리콘 카바이드 막의 조성이 제 1 유기-실리콘 프리커서 및 제 2 유기-실리콘 프리커서의 선정 및 플로우 레이트에 크게 의존하도록, 라디칼들과 반응한다. 몇몇 구현예들에서, 라디칼들은 실질적으로 접지 상태 (ground state) 수소 라디칼들이다. 몇몇 구현예들에서, 라디칼들은 소스 가스를 리모트 플라즈마에 노출시킴으로써 도입된다.
증착 동안 기판 표면에서의 분위기
도 2a는 도핑되거나 도핑되지 않을 수 있는, 기판 상에 증착된 실리콘 카바이드 막의 실례의 단면도이다. 본 명세서에서 조기에 언급된 바와 같이, 기판 (200) 은 임의의 웨이퍼, 반도체 웨이퍼, 부분적으로 제조된 집적 회로, 인쇄 회로 기판, 또는 다른 적합한 작업 피스를 포함할 수 있다. 실리콘 카바이드 막 (201) 은 기판 (200) 에 인접한 적절한 프로세스 조건들 및 분위기 하에서 형성될 수 있다. 실리콘 카바이드 막은 SiC, SiCN, SiOC, 또는 SiOCN를 포함할 수 있으며, 이는 임의의 도핑된 실리콘 카바이드 막이 산소 및/또는 질소 도핑됨을 의미한다. 실리콘 카바이드 막 (201) 은 적어도 2 개의 상이한 유기-실리콘 프리커서들을 함께 유동시키고 각각이 기판 (200) 에 인접한 라디칼들과 반응함으로써 형성될 수 있다. 예시적인 유기-실리콘 프리커서들의 화학적 구조들은 이하에서 보다 상세하게 논의된다.
유기-실리콘 프리커서들은 하나 이상의 Si-O 결합들 및/또는 하나 이상의 Si-N 결합들을 포함할 수 있다. 몇몇 구현예들에서, 유기-실리콘 프리커서들은 하나 이상의 Si-H 결합들 및/또는 하나 이상의 Si-Si 결합들을 포함할 수 있다. 어떠한 이론에도 억매이지 않고서, 유기-실리콘 프리커서들과 반응하는 라디칼들에 대한 프로세스 조건들은 Si-H 결합 또는 Si-Si 결합을 깨지만 Si-O 결합, Si-N 결합 및/또는 Si-C 결합을 실질적으로 보존하도록 충분한 에너지를 갖는 라디칼들을 포함할 수 있다. Si-H 결합 및/또는 Si-Si 결합이 깨지면, 깨진 결합은 실리콘 카바이드 막 (201) 에서 유기-실리콘 프리커서들 간의 결합을 형성하기 위한 반응성 자리 (site) 역할을 할 수 있다. 깨진 결합은 또한 증착 동안 또는 이후에 수행되는 열적 프로세스들 동안에 크로스-링킹 (cross-linking) 를 위한 자리 역할을 할 수도 있다. 반응성 자리들에서의 결합 (bonding) 및 크로스-링킹은 결과적인 실리콘 카바이드 막 (201) 내에서 주 백본 (primary backbone) 또는 기질 (matrix) 을 형성할 수 있다.
일반적으로, 기술된 반응 상태들은 기판 (200) 의 노출된 면 (실리콘 카바이드 막 (201) 이 증착되는 면) 에서 존재한다. 이러한 반응 상태들은 또한 기판 (200) 위로 어느 정도 떨어져서, 예를 들어서 기판 (200) 위로 약 0.5 마이크론 내지 약 150 밀리미터만큼 떨어져서 존재할 수도 있다. 실제로, 유기-실리콘 프리커서의 활성화는 기판 (200) 위로 실질적인 거리에서 가스상 (gas phase) 에서 발생할 수 있다. 통상적으로, 적절한 반응 상태들은 기판 (200) 의 전체 노출된 표면에 걸쳐서 균일하거나 실질적으로 균일할 것이지만, 특정 애플리케이션은 몇몇 편차를 허용할 수도 있다.
기판 (200) 에 인접하는 분위기는 하나 이상의 라디칼 종들을 바람직하게는 실질적으로 낮은 에너지 상태에서 포함할 수 있다. 이러한 라디칼 종들은 수소 원자 라디칼을 포함할 수 있지만, 다른 라디칼 종들이 또한 포함되거나 수소 원자 라디칼들 대신에 사용될 수 있으며, 이러한 다른 라디칼 종들은 원소적 질소 라디칼, 암모니아 라디칼, 및 아민 라디칼들이 있을 수 있다. 아인 라디칼의 실례는 다음으로 한정되지 않지만 메틸아민 라디칼, 디메틸아민 라디칼, 및 아닐린 라디칼을 포함할 수 있다. 몇몇 실시예들에서, 모든 라디칼들 또는 실질적으로 모든 라디칼들은 접지 상태에 있을 수 있으며, 예를 들어서 기판 (200) 에 인접하는 라디칼들의 적어도 약 90 퍼센트 또는 95 퍼센트가 접지 상태에 있을 수 있다. 몇몇 실시예들에서, 이하에서 보다 상세하게 기술될 바와 같이, 라디칼 종들은 리모트 플라즈마 소스에 의해서 생성될 수 있다.
몇몇 실시예들에서, 프로세스 조건들은 Si-O 결합, Si-N 결합 및 Si-C 결합을 실질적으로 보존하면서 Si-H 결합 및/또는 Si-Si 결합을 깨도록 실질적으로 낮은 에너지 상태에서 라디칼 종들을 가질 수 있다. 프로세스 조건들은 상당한 양의 이온들, 전자들, 자외 (UV) 방사선을 가지지 않으며, 상술한 바와 같이 접지 상태에 있는 것과 같이, 높은 에너지 상태에 있는 라디칼 종들을 가지지 않을 것이다. 몇몇 실시예들에서, 막에 인접하는 영역에서의 이온들의 농도는 약 107/cm3보다 크지 않다. 상당한 양의 이온들, 자외 (UV) 방사선 또는 높은 에너지 라디칼들의 존재는 Si-O 결합, Si-N 결합 또는 Si-C 결합을 깨는 경향이 있으며, 이는 바람직하지 않은 전기적 특성 (예를 들어서, 고 유전체 상수 및/또는 저 항복 전압) 및 불량한 컨포멀성 (conformality) 및 제어되지 않는 조성을 갖는 막들을 생성할 수 있다. 또한, 과잉성 반응 분위기는 높은 점착 계수 (점착 계수는 작업 피스 측벽에 화학적으로 또는 물리적으로 부착되는 경향성을 나타냄) 을 갖는 반응성 프리커서 단편들을 생성하여서 불량한 컨포멀성 (conformality) 을 낳는다고 사료된다.
그러나, 기판 (200) 에 인접하는 프로세스 조건들은 실리콘 카바이드 막 (201) 을 형성하기 위해서 반드시 Si-H 결합 및/또는 Si-Si 결합을 깨지 않을 수도 있음이 이해된다. Si-H 결합 및/또는 Si-Si 결합이 선정된 프리커서 분자들에는 존재하지 않을 수도 있다. 실제로, 프로세스 조건들은 또한 Si-O 결합, Si-N 결합 또는 Si-C 결합을 포함하는 다른 결합들을 깨고 실리콘 카바이드 막 (201) 을 형성하도록 이온들, 전자들 또는 고 에너지 상태의 라디칼들을 포함할 수도 있다.
어떠한 이론에도 매이지 않고서, 실리콘 카바이드 막 (201) 을 형성할 시의 반응 메카니즘은 활성화 단계, 성장 단계 및 크로스-링킹 단계의 순서적 발생에 의해서 일어날 수 있다. 예시적으로, DMADMS (dimethyl amino dimethyl silane) 는 다음과 같은 반응 메카니즘들 중 몇몇을 겪을 수 있다:
(활성화: 1a) 수소 라디칼들이 DMADMS 프리커서 분자로부터 수소를 추출한다:
Figure pat00001
(활성화: 1b) 2 차 가스상 (gas phase) 반응들이 발생한다:
Figure pat00002
(활성화: 1c) 또한, 강하게 반응성인 과도 중간물 (실라니민: silanimine) 을 형성하도록 가열된 표면 상에서 활성화가 발생한다:
Figure pat00003
(성장 단계 2a) 라디칼들의 재결합이 성장 표면에서 발생한다:
Figure pat00004
(성장 단계 2b) 올리고머들이 라디칼과의 표면 반응들을 겪으며, 이로써 실리콘 또는 질소 중 어느 하나에 결합된 메틸 그룹으로부터의 수소 추출이 일어난다:
Figure pat00005
(성장 단계 2c) 이로써 형성된 라디칼 자리들과 성장 표면 상의 다른 라디칼들 또는 성장 표면에 도달한 라디칼들 간의 재결합이 발생한다:
Figure pat00006
(성장 단계 2d) 실라니민 중간물의 Si-N 극성 (polar) 유닛들 내로의 단계적 삽입이 발생한다:
Figure pat00007
(성장 단계 2e) 디메틸아미노실란 그룹들의 축합이 발생한다 (흡열 반응 - 높은 기판 온도에서 발생함):
Figure pat00008
(성장 단계 2f) 디실란 (disilane) 유닛들이 재구성되어서 카본-실란 결합을 형성한다:
Figure pat00009
(크로스-링킹 단계 3a) 아미노기전이 (transamination) 반응들이 Si-N 망 형성을 낳는다:
Figure pat00010
(크로스-링킹 단계 3b) 또한, 메틸실릴 (methylsilyl) 그룹들이 열적으로 증가된 크로스-링킹을 겪는다:
Figure pat00011
유기-실리콘 프리커서들은 기판 (200) 에 인접한 분위기 내에서 다른 종들에 대해서 상대적인 비율들로 존재할 수 있다. 특히, 유기-실리콘 프리커서들은 라디칼 종들 및 다른 반응성 종들 및/또는 캐리어 가스들을 포함하는 다른 종들과 함께 존재할 수도 있다. 몇몇 실시예들에서, 유기-실리콘 프리커서들은 혼합 상태로 도입될 수도 있다. 증착 반응 표면으로부터 상류에서, 유기-실리콘 프리커서들이 불활성 캐리어 가스와 혼합될 수 있다. 예시적인 불활성 캐리어 가스는 다음으로 한정되지 않지만 질소 (N2), 아르곤 (Ar) 및 헬륨 (He) 을 포함한다.
기판 (200) 에 인접하는 분위기의 온도는 실리콘 카바이드 막 (201) 을 포함하는 디바이스의 애플리케이션에 따라서 임의의 적합한 온도일수 있다. 기판 (200) 에 인접하는 분위기의 온도는 대체적으로 예를 들어서 실리콘 카바이드 막 (201) 의 증착 동안에 기판 (200) 이 지지되는 페데스탈의 온도에 의해서 제어될 수 있다. 몇몇 실시예들에서, 동작 온도는 약 50 ℃ 내지 약 500 ℃ 일 수 있다. 예를 들어서, 동작 온도는 수많은 집적 회로 애플리케이션들에서는 약 250 ℃ 내지 약 400 ℃ 일 수 있다. 몇몇 실시예들에서, 온도를 증가시키면 기판 (200) 의 표면 상에서의 크로스-링킹이 증가한다.
기판 (200) 에 인접하는 분위기의 압력은 프로세스 챔버 내에서 반응성 라디칼들을 생성하는데 적합한 임의의 압력일 수 있다. 몇몇 실시예들에서, 압력은 약 35 Torr 이하일 수 있다. 예를 들어서, 압력은 마이크로웨이브에 의해서 생성되는 플라즈마를 구현하는 실시예들에서는 약 10 Torr 내지 약 20 Torr일 수 있다. 다른 실례들에서, 압력은 무선 주파수 (RF) 에 의해서 생성되는 플라즈마를 구현하는 실시예들에서는 약 5 Torr보다 작을 수 있거나 약 0.2 Torr 내지 약 5 Torr일 수 있다. 몇몇 실례들에서, 압력은 약 0.5 Torr 내지 약 10 Torr이거나 약 1.5 Torr 내지 약 7 Torr일 수 있다.
본 명세서에서 조기에 기술된 프로세스 조건들은 매우 컨포멀한 막 구조를 제공할 수 있다. 상대적으로 온화한 프로세스 조건들은 증착이 지향성을 가지지 않도록 기판의 표면에서의 이온 충돌의 정도가 최소화시킬 수 있다. 또한, 상대적으로 온화한 프로세스 조건들은 이전에 증착된 층들 또는 막들의 측벽에 부착될 수 있는 경향성을 가질 수 있는 고 점착 계수를 갖는 라디칼들의 개수를 줄일 수 있다. 몇몇 실시예들에서, 약 6:1의 종횡비에 있어서, 실리콘 카바이드 막 (201) 은 약 25 퍼센트 내지 약 100 퍼센트이 컨포멀성 및 대표적으로 약 50 퍼센트 내지 약 80 퍼센트이 컨포멀성을 갖도록 증착될 수 있다.
몇몇 실시예들에서, 실리콘 카바이드 막 (202) 은 구배형 (graded) 또는 층형 (layered) 구조를 가질 수 있다. 몇몇 경우들에서 실리콘 카바이드 막 (202)의 조성이 두께에 따라서 변하는 구배형 (graded) 또는 층형 (layered) 실리콘 카바이드 막 (202) 을 형성하는 것이 바람직하다. 2 개의 상이한 유기-실리콘 프리커서들이 시간 t0에서 동시에 유동되며 이어서 하나 이상의 상이한 유기-실리콘 프리커서들이 시간 t1에서 유동될 수 있다. 이와 달리, 하나 이상의 상이한 유기-실리콘 프리커서들이 시간 t0에서 유동되며 이어서 2 개의 상이한 유기-실리콘 프리커서들이 시간 t1에서 유동될 수 있다. 이러한 유동 프로세스 각각에서 유기-실리콘 프리커서들은 수소 라디칼과 반응하여서, 상이한 층들을 갖는 실리콘 카바이드 막을 형성한다.
일 실례에서, 증착의 초기 부분은 SiCN을 실질적으로 포함하는 실리콘 카바이드 막 (202) 을 형성하기 위해서 유기-실리콘 질화물 프리커서를 사용한다. 이어서, 유기-실리콘 산화물 프리커서와 유기-실리콘 질화물 프리커서의 혼합물을 사용하는 증착이 이루어지며 이로써 실리콘 카바이드 막 (202) 에서 SiCN 상에 SiOCN를 실질적으로 형성한다. SiCN 위에 SiOCN를 증착하는 대신에 또는 이와 더불어, 이 프로세스에서는 이어서 유기-실리콘 산화물 프리커서를 사용하는 증착이 이루어지며 이로써 실리콘 카바이드 막 (202) 에서 SiOCN 및/또는 SiCN 위에 SiOC를 실질적으로 형성한다. 이로써, 프리커서들의 조합이 층형 또는 구배형 실리콘 카바이드 막 (202) 을 형성하도록 시간에 따라서 특정 시점들에서 변할 수 있다.
다른 실례에서, 피리커서들의 플로우 레이트들이 층형 또는 구배형 실리콘 카바이드 막 (202) 을 형성하도록 점진적으로 변할 수 있다. 2 개의 상이한 유기-실리콘 프리커서들이 플로우 레이트들 간의 소정의 비로 해서 동시에 유동되고 이어서 2 개의 상이한 유기-실리콘 프리커서들이 플로우 레이트들 간의 다른 비를 갖도록 점진적으로 또는 순간적으로 변할 수 있다. 예시적으로, 유기-실리콘 산화물 프리커서와 유기-실리콘 질화물 프리커서의 혼합물이 이 2 개의 프리커서들 간의 플로우 레이트의 비가 약 1:1 내지 약 2:1이 되도록 동시에 유동될 수 있다. 유기-실리콘 산화물 프리커서와 유기-실리콘 질화물 프리커서 간의 플로우 레이트의 비가 약 2:1보다 크게 변하면, 결과적으로 생성되는 SiOCN 막은 두께가 증가함에 따라서 질소에 비해서 산소의 농도가 증가하게 될 수 있다.
층형 또는 구배형 실리콘 카바이드 막 (202) 은 몇몇 애플리케이션들을 가질 수 있다. 예를 들어서, SiOC 막은 구리에 대한 에칭 층 또는 확산 베리어 층으로서 바람직할 수 있다. 그러나, SiOC 막은 노출된 구리 표면들에 대해서 빈약한 부착성을 보일 수 있다. 따라서, 노출된 구리 표면에 대해서 우수한 부착성을 갖는 하나 이상의 SiCN 층을 먼저 증착하고 이어서 하나 이상의 SiOC 층을 증착할 수 있다.
도 2c 내지 도 2e는 다양한 애플리케이션들에서의 실리콘 카바이드 막들의 단면들을 예시한다. 그러나, 실리콘 카바이드 막들은 이러한 애플리케이션들로 한정되지 않음이 이해된다. 도 2c는 트랜지스터의 게이트 전극 구조물의 측벽들 상의 실리콘 카바이드 수직형 구조물들을 예시한다. 도 2d는 에어 갭 타입 (air gap type) 금속화 층 내의 구리 라인들의 노출된 측벽들 상의 실리콘 카바이드 수직형 구조물들 (vertical structures) 을 도시한다. 도 2e는 다공성 유전체 재료들을 위한 실리콘 카바이드 기공 실링제들 (pore sealants) 을 도시한다. 다른 애플리케이션들은 MRAM 디바이스 애플리케이션 및 RRAM 디바이스 애플리케이션과 같은 메모리 디바이스 애플리케이션들을 위한 패터닝 동안의 캡슐화를 제공하는 것을 포함한다. 이러한 애플리케이션 각각은 이하에서 더 상세하게 설명된다.
프리커서들의 화학적 구조
본 명세서에서 조기에 언급한 바와 같이, 도핑되거나 도핑되지 않은 실리콘 카바이드 막을 형성할 시에 채용되는 프리커서들은 유기-실리콘 프리커서들을 포함할 수 있다. 몇몇 실시예들에서, 유기-실리콘 프리커서들은 적어도 하나의 Si-O 결합 및/또는 적어도 하나의 Si-N 결합을 포함할 수 있다. 몇몇 실시예들에서, 유기-실리콘 프리커서들은 또한 적어도 하나의 Si-H 결합 및/또는 적어도 하나의 Si-Si 결합을 포함할 수도 있다.
몇몇 실시예들에서, 유기-실리콘 프리커서들은 몇 개의 화학적 부류들 중 하나에 해당할 수 있다. 유기-실리콘 프리커서들의 임의의 적합한 화학적 부류들이 채용될 수 있으며 유기-실리콘 프리커서들은 이하에서 기술되는 화학적 부류들로 한정되지 않음이 이해된다.
먼저, 유기-실리콘 프리커서는 실록산일 수 있다. 몇몇 실시예들에서, 실록산은 사이클릭 (cyclic) 일 수 있다. 사이클릭 실록산은 2,4,6,8-TMCTS (tetramethylcyclotetrasiloxane), OMCTS (octamethylcyclotetrasiloxane) 및 HMCTS (heptamethylcyclotetrasiloxane) 와 같은 사이클로테트라실록산들을 포함할 수 있다. 다른 사이클릭 실록산들은 다음으로 한정되지 않지만 사이클로트리실록산들 및 사이클로펜타실록산들을 또한 포함할 수 있다. 사이클릭 실록산들을 사용하는 실시예들은 기공성을 실리콘 카바이드 막 내에 도입할 수 있는 링 구조들이며, 기공 (pore) 의 크기는 링의 반경에 대응한다. 예를 들어서, 사이클로테트라실록산 링은 약 6.7 Å의 반경을 가질 수 있다.
몇몇 실시예들에서, 실록산은 3차원 구조 또는 케이지된 (caged) 구조를 가질 수 있다. 도 3은 대표적인 캐이지된 실록산 프리커서들의 실례들을 도시한다. 캐이지된 실록산들은 폴리헤드론 또는 임의의 3차원 구조를 형성하도록 산소 원자들을 통해서 서로 브리지된 (bridged) 실리콘 원자들을 갖는다. 캐이지된 실록산 프리커서 분자의 실례는 실세스퀴옥산 (silsesquioxane) 이다. 캐이지된 실록산 구조들은 Cleemput 등에 허여된 공동으로 소유된 미국 특허 번호 6,576,345에 보다 상세하게 기술되며, 이 문헌은 그 전체 내용이 모든 목적을 위해서 본 명세서에서 참조로서 인용된다. 사이클릭 실록산들과 같이, 캐이지된 실록산도 기공성을 실리콘 카바이드 막 내에 도입할 수 있다. 몇몇 실시예들에서, 기공성 스케일 (porosity scale) 은 메조포러스 (mesoporous) 하다.
몇몇 실시예들에서, 실록산은 선형일 수 있다. 선형 실록산들은 다음으로 한정되지 않지만 PMDSO (pentamethyldisiloxane) 및 TMDSO (tetramethyldisiloxane) 을 포함할 수 있다. PMDSO 및 TMDSO은 SiOC 막들을 형성하는데 사용될 수 있다.
다음으로, 유기-실리콘 프리커서는 알콕시 실란일 수 있다. 알콕시 실란은 중앙 실리콘 원자 및 중앙 실리콘 원자에 결합된 하나 이상의 알콕시 그룹들 및 중앙 실리콘 원자에 결합된 하나 이상의 수소 원자들을 포함한다. 그 실례는 다음으로 한정되지 않지만 TMOS (trimethoxysilane), DMOS (dimethoxysilane), MOS (methoxysilane), MDMOS (methyldimethoxysilane), DMES (diethoxymethylsilane), dimethylethoxysilane, DMAMES (dimethylaminomethoxysilane), 및 DMMOS (dimethylmethoxysilane) 를 포함한다. 이러한 프리커서들 중 다수는 SiOC 막들을 형성하는데 사용될 수 있다.
다음으로, 유기-실리콘 프리커서는 알킬 실란일 수 있다. 알킬 실란은 중앙 실리콘 원자 및 중앙 실리콘 원자에 결합된 하나 이상의 알킬 그룹들 및 중앙 실리콘 원자에 결합된 하나 이상의 수소 원자들을 포함한다. 특정 실시예들에서, 임의의 하나 이상의 알킬 그룹들은 1 개 내지 5 개의 탄소 원자들을 포함한다. 알킬 그룹들은 포화 또는 불포화될 수 있다. 그 실례는 다음으로 한정되지 않지만 4MS (tetramethylsilane), 3MS (trimethylsilane), 2MS (dimethylsilane), 및 TES (triethylsilane) 를 포함할 수 있다. 몇몇 실시예들에서, 이러한 알킬 그룹들은 SiC 막들을 형성하는데 사용될 수 있다.
다른 타입의 알킬 실란들은 알킬카르보실란들 (alkylcarbosilanes), 알킬아미노실란들 (alkylaminosilanes), 및 알킬디실라잔들 (alkyldisilazanes) 을 포함할 수 있다. 알킬카르보실란들 (alkylcarbosilanes) 은 실리콘 원자에 결합된 탄소 및 실리콘 원자에 결합된 알킬 그룹들을 갖는 브랜치된 폴리머성 구조 (branched polymeric structure) 를 가질 수 있다. 그 실례는 DTMSM (dimethyl trimethylsilyl methane) 및 BDMSE (bis-dimethylsilyl ethane) 를 포함한다. 알킬아미노실란들 (alkylaminosilanes) 은 실리콘 원자에 결합되고 알킬 그룹들을 갖는 아민들을 포함한다. 그 실례는 DMADMS (dimethylamino dimethylsilane), BDMAMS (bis-dimethylamino methylsilane), 및 TDMAS (tris-dimethylamino silane) 을 포함한다. 몇몇 실시예들에서, 이러한 알킬 실란들은 SiCN 막들을 형성할 수 있다. 알킬디실라잔들 (alkyldisilazanes) 은 실리잔들 및 2 개의 실리콘 원자들에 결합된 알킬 그룹들을 포함한다. 그 실례는 1,1,3,3-TMDSN (tetramethyldisilazane) 를 포함한다. 몇몇 실시예들에서, TMDSN은 SiCN 막들을 형성할 수 있다.
또한, 모노실란들 대신에 디실란들 (disilanes), 트리실란들 (trisilanes), 또는 다른 고차 실란들이 사용될 수 있다. 알킬 실란 부류로부터 이러한 일 디실란의 실례는 HMDS (hexamethyldisilane) 이다. 알킬 실란 부류로부터 디실란의 다른 실례는 PMDS (pentamethyldisilane) 를 포함하며, 이는 SiC 막을 형성하는데 사용될 수 있다. 몇몇 실시예들에서, 실리콘 원자들 중 하나는 자신에게 독점적으로 부착된 탄소-함유 그룹 또는 알콕시-함유 그룹을 가질 수 있으며, 실리콘 원자들 중 하나는 자신에게 독점적으로 부착된 수소 원자를 가질 수 있다.
몇몇 실시예들에서, 프리커서는 단일 프리커서와 조합되는 다수의 화학적 그룹들을 포함할 수 있다. 예를 들어서, 단일 프리커서는 알콕시 그룹, 아미노 그룹 및 알킬 그룹을 포함하며, 예를 들어서 DMADMS를 포함할 수 있다.
실리콘 카바이드 막을 증착할 시에, 다수의 유기-실리콘 프리커서들이 프로세스 가스 내에 존재할 수 있다. 예를 들어서, 실록산 및 알킬 실란이 함께 사용되거나 실록산 및 알콕시 실란이 함께 사용될 수 있다. 개별 프리커서들의 상대적 비율은 선정된 프리커서들의 화학적 구조들 및 결과적으로 생성된 실리콘 카바이드 막의 애플리케이션에 기초하여서 선정될 수 있다. 예를 들어서, 다공성 막을 형성하기 위해서는 실록산의 양은 실란의 양보다 몰 퍼센티지 (molar percentage) 로 클 수 있다.
증착된 막의 조성
증착된 실리콘 카바이드 막은 실리콘, 탄소, 산소 및 질소의 상대적 비율을 포함할 수 있다. 도핑 또는 도핑되지 않은 실리콘 카바이드 막의 목표 조성은 (1) 유기-실리콘 프리커서들의 적절한 조합을 선정하고, (2) 유기-실리콘 프리커서들의 플로우들 또는 플로우 레이트들을 조절함으로써 이루어질 수 있다. 이러한 파라미터들을 조절함으로써, 실리콘 카바이드 막의 벌크 조성 (bulk composition) 을 변화시킬 수 있다. 또한, 두께가 변함에 따라서 실리콘 카바이드 막의 조성도 변할 수 있다.
실리콘 카바이드 막의 상대적 원자적 농도들은 유기-실리콘 프리커서들의 조합의 선정에 따라서 변할 수 있다. 유기-실리콘 프리커서들의 선정 (choice) 은 도핑된 실리콘 카바이드 막의 C:N 비 또는 C:O 비에 직접 영향을 줄 수 있다. 또한, 유기-실리콘 프리커서의 선정은 도핑 또는 도핑되지 않은 실리콘 카바이드 막의 탄소 농도에 직접 영향을 줄 수 있다. 유기-실리콘 프리커서의 화학양비는 프리커서 분자 내에서의 탄소 원자와 질소 원자의 상대적 개수 또는 탄소 원자와 산소 원자의 상대적 개수를 표시하므로, 유기-실리콘 프리커서들의 선정 (choice) 은 결과적인 도핑된 실리콘 카바이드 막의 C:N 비 또는 C:O 비에 직접 영향을 줄 수 있다. 그러나, 프리커서 분자의 화학량론적 비는 크로스-링킹과 같은 효과들로 인해서 도핑된 실리콘 카바이드 막의 C:N 비 또는 C:O 비와 정확하게 동일하지 않음이 이해된다. 달리 말하면, 프리커서 분자는 탄소 원자 5 개 및 질소 원자 2 개를 갖는다고 해서, 도핑된 실리콘 카바이드 막에서 C:N 비가 반드시 5:2가 되는 것은 아니다.
예시적으로, DMADMS 프리커서 분자는 BDMAMS 프리커서 분자보다 높은 C:N 비를 가지며, BDMAMS 프리커서 분자는 TDMAS 프리커서 분자보다 높은 C:N 비를 갖는다. 이로써, DMADMS를 사용하는 것은 BDMAMS을 사용하는 것보다 SiCN 막에 보다 높은 C:N 비를 제공하며, BDMAMS을 사용하는 것은 TDMAS을 사용하는 것보다 SiCN 막에 보다 높은 C:N 비를 제공할 수 있다.
소정의 프리커서 분자에 대한 C:N 비 또는 C:O 비는 서로 개별적일 (discrete) 수 있다. 따라서, SiCN 막의 C:N 비 또는 SiOC 막의 C:O 비는 개별적인 비들에 의해서 한정될 수 있다. 달리 말하면, DMADMS가 약 2.0의 C:N 비를 갖는 SiCN 막을 생성하고, BDMAMS가 약 1.5의 C:N 비를 갖는 SiCN 막을 생성하면, 1.5 내지 2.0 간의 C:N 비를 갖는 SiCN 막을 생성하는 것은 어려울 수 있다. 몇몇 실시예들에서, 보다 높은 C:N 비 또는 C:O 비는 디실란들, 트리실란들, 및 보다 고차의 실란들을 포함하여, 보다 많은 실리콘 원자들을 갖는 프리커서들을 선택함으로써 이루어질 수 있다. 그러나, 소정의 프리커서 분자에 대한 개별적인 C:N 비 또는 C:O 비로 한정되지 않게 하면서 SiC 부류 막들에 있어서 조성을 정확하게 튜닝 (tuning) 하는 것은 어려울 수도 있다.
적어도 2 개의 상이한 유기-실리콘 프리커서들을 동시에 유동시킴으로써, 가변하는 조성들을 갖는 실리콘 카바이드 막들이 달성될 수 있다. 제 1 유기-실리콘 프리커서 분자가 독립적으로 유동된 때에 개별적인 C:N 비 또는 C:O 비를 갖고 제 2 유기-실리콘 프리커서 분자가 독립적으로 유동된 때에 다른 개별적인 C:N 비 또는 C:O 비를 갖는다면, 이 2 개의 유기-실리콘 프리커서 분자들이 동시에 유동할 때에 결과적인 C:N 비 또는 C:O 비는 서로 독립적으로 유동되는 프리커서 분자들의 개별적인 C:N 비들 또는 C:O 비들 간에서 있을 수 있다. 본 명세서에서 조기에 사용된 실례로부터 취하여서, DMADMS 및 BDMAMS 을 함께 유동시키는 것은 실제로 약 1:5 내지 약 2:0 의 C:N 비를 갖는 SiCN 막을 생성할 수 있다.
적어도 2 개의 상이한 유기-실리콘 프리커서들을 유동시키는 것은 가변하는 조성을 갖는 실리콘 카바이드 막을 생성할 뿐만 아니라 SiOCN 막을 생성할 수도 있다. SiNC 막 및 SiOC 막을 위해서 사용되는 유기-실리콘 프리커서들을 함께 혼합하는 것은 SiOCN 막의 증착을 가능하게 한다. 따라서, DMADMS과 같은 유기-실리콘 질화물 프리커서와 DEMS와 같은 유기-실리콘 산화물 프리커서를 함께 유동시키는 것은 SiOCN 막을 생성할 수 있다.
SiCN 막, SiOC 막 또는 SiOCN 막 내에서의 실리콘, 탄소, 질소, 및 수소의 개별적 농도를 변화시키는 것은 프리커서들의 조합들의 선정에 의해서 결정될 뿐만 아니라 프리커서 플로우들 간의 비에 의해서도 결정될 수 있다. 예를 들어서, DMADMS와 BDMAMS 간의 플로우 레이트들의 비가 약 1:1이고 SiCN 막 내의 소정의 C:N 비를 산출하면, 이 플로우 레이트들의 비를 1:1보다 크게 증가시키는 것은 SiCN 막 내의 보다 큰 C:N 비를 산출할 수 있다. 몇몇 실시예들에서, 제 1 유기-실리콘 프리커서와 제 2 유기-실리콘 프리커서 간의 플로우 레이트들의 비가 약 1:1 내지 약 2:1이다. 몇몇 실시예들에서, 제 1 유기-실리콘 프리커서와 제 2 유기-실리콘 프리커서 간의 플로우 레이트들의 비가 약 2:1보다 클 수 있다. 이로써, 실리콘 카바이드 막의 조성은 2 개의 상이한 유기-실리콘 프리커서들의 플로우 레이트들의 비에 따라서 튜닝될 수 있다.
몇몇 실시예들에서, 실리콘 카바이드 막의 조성은 구배형이거나 층형화될 수 있다. 달리 말하면, 실리콘 카바이드 막은 이 막의 하부 표면과 상부 표면 간에서 질소 및 산소의 농도가 가변할 수 있다. 몇몇 실례들에서, 프리커서 조합들의 선정은 시간에 따라서 소정의 시점에서 변할 수 있다. 예를 들어서, SiCN 증착이 초기에 DMADMS를 독립적으로 유동시킴으로써 발생하고, 이어서 DMADMS와 DEMS 를 동시에 유동시킴으로서 SiOCN 증착이 이루어질 수 있다. 다른 실례들에서, 프리커서들 간의 플로우 레이트들의 비가 시간에 따라서 점진적으로 변하거나 소정의 시점에서 변할 수 있다. 예를 들어서, 가변하는 농도를 갖는 SiOCN 증착은 초기에 TDMAS와 DEMS를 동시에 그리고 TDMAS와 DEMS 간에서 약 2:1의 플로우 레이트 비로 해서 유동시키고 이어서 플로우 레이트 비를 약 1:2가 되게 점진적으로 또는 순간적으로 변화시킴으로써 발생한다. 이는 SiOCN 막의 하부 표면에서는 질소의 농도가 높으며 산소의 농도는 낮으며 SiOCN 막의 상부 표면에서는 산소의 농도가 높으며 질소의 농도가 낮은 SiOCN 막을 낳는다.
플로우 레이트들의 적합한 비와 프리커서들의 적합한 조합을 혼합함으로써, 실리콘 카바이드 막의 목표 조성이 달성될 수 있다. 실리콘 카바이드 막의 목표 조성은 이 막의 목표 애플리케이션과 관련될 수 있다. 실리콘, 탄소, 질소 및 산소의 개별 농도를 튜닝하는 것은 다른 특성들 중에서도, 목표 항복 전압, 목표 누설 전류, 목표 유전체 상수, 목표 기밀성, 목표 밀도, 구리와 같은 금속의 표면에 대한 목표 부착력, 목표 응력, 목표 모듈러스, 및 목표 경도를 갖는 막 구조물을 생성할 수 있다. 또한, 실리콘, 탄소, 질소 및 산소의 개별 농도를 튜닝하는 것은 목표 에칭 레이트, 후속 프로세싱 동안의 아래에 놓인 막들을 위한 산화 내성, 고온 어닐링 동작 또는 급속 열적 처리에 대한 내성을 가지면서 초저-k (ultra low-k) 유전체들의 UV 경화 동안 UV 방사선에 대해서 원하는 영향을 주는 막 구조물을 생성할 수 있다.
예를 들어서, 실리콘 카바이드 막은 탄소 함량을 줄이고 산소 또는 질소 함량을 증가시킴으로써 감소된 누설 전류 특성을 가질 수 있다. 실리콘 카바이드 부류 재료 내로 산소 또는 질소를 도입시킴으로써, Si-H 본디 및/또는 Si-CH2-Si 결합에 의해서 생성되는 전류 누설 경로들이 차단될 수 있다. 이와 달리, 탄소 함량을 줄임으로써, Si-CH2-Si 결합에 의해서 제공되는 누설 경로들은 소수만이 가능하게 된다. 이는 상대적으로 낮은 유전체 상수를 유지하면서 전기적 특성을 개선시킬 수 있다.
또한, 실리콘 카바이드 막은 탄소 함량이 감소되면 낮은 유전체 상수를 가질 수 있다. Si-C 결합 개수보다 증가된 Si-N 결합 개수 또는 Si-O 결합 개수를 포함하는 증착된 막은 이 막의 유효 유전체 상수를 낮출 수 있다. 그러나, 몇몇 경우들에서, 탄소의 보다 높은 농도 (예를 들어서, 탄소를 실리콘 산화물 또는 실리콘 질화물에 부가함) 는 특히 PECVD 방법들에서 보다 낮아진 유효 유전체 상수를 낳을 수 있다는 것이 이해된다. 말단 메틸 그룹들로 인해서, 밀도가 감소하고, 극성이 감소되며, Si-O 및 Si-N과의 크로스-링킹 양이 감소된다. 그럼에도불구하고, 통상적으로 순수한 실리콘 카바이드 막은 적어도 부분적으로 증가된 크로스-링킹 및 밀도로 인해서 높은 유효 유전체 상수를 갖는다. 일반적으로, 본 명세서에서 기술되는 방법들을 사용하여서 제조된 실리콘 카바이드 막들은 이러한 범주, 즉 고 탄소 농도가 증가된 크로스-링킹 및 밀도로 인해서 높은 유효 유전체 상수를 낳는 범주에 해당한다. 따라서, 보다 높은 C:N 비 또는 보다 높은 C:O 비는 보다 높은 유전체 상수를 낳는다. 예를 들어서, DMADMS를 사용하여서 생성된 실리콘 카바이드 막들은 보다 높은 C:N 비를 가지며 이는 BDMAMS보다 높은 유전체 상수를 낳는다.
몇몇 실시예들에서, 유기-실리콘 프리커서의 내부 구조는 증착된 막 내에서 유지된다. 이 구조는 Si-H 결합 및/또는 Si-Si 결합이 프리커서 분자들 내에서 존재한 위치들에서의 결합들을 통해서 그리고/또는 충분한 열적 에너지가 제공되면 성장하는 표면 상에서의 추가 축합 반응을 통해서 개별 프리커서 부분들 (moieties) 을 링킹 또는 크로스-링킹하면서, 프리커버 내의 Si-C 결합, Si-O 결합 및 Si-N 결합을 모두 또는 대부분을 보존할 수 있다. 다양한 실시예들에서, 실리콘 카바이드 막은 약 4.0 이하의 유효 유전체 상수를 가지며, 몇몇 경우들에서는 약 3.5 이하의 유효 유전체 상수를 가지며, 몇몇 경우에서는 약 3.0 이하의 유효 유전체 상수를 가지며, 또 다른 구현예들에서는 2.5 이하의 유효 유전체 상수를 갖는다. 유효 유전체 상수는 결합 및 밀도에 의존할 수 있다.
몇몇 실시예들에서, 실리콘 카바이드 막의 유전체 상수를 튜닝하는 것이 바람직할 수 있다. 특정 C:N 비 또는 C:O 비를 생성하도록 적합한 프리커서를 선택함으로써, 유효 유전체 상수가 조절될 수 있다. 몇몇 실시예들에서, 실리콘 카바이드 막의 유전체 상수는 약 8.5 내지 약 2.5 일 수 있다.
몇몇 실시예들에서, 실리콘 카바이드 막은 기밀성 베리어 또는 확산 베리어 역할을 할 수도 있다. 실리콘 카바이드 막의 밀도가 증가하면, 실리콘 카바이드 막의 기밀성 (hermeticity) 및 확산 장벽 특성은 개선된다. 밀도를 증가시키는 것은 크로스-링킹의 양을 증가시킴으로써 달성될 수 있다. 근소한 양의 산소 및/또는 질소를 실리콘 카바이드 막에 도입함으로써, 실리콘 카바이드 막의 기밀성 (hermeticity) 및 확산 장벽 특성을 개선시키도록 크로스-링킹의 양이 증가한다. 이로써, 상대적으로 높은 C:N 비 또는 C:O 비를 갖는 프리커서들은 실리콘 카바이드 막의 기밀성 (hermeticity) 및 확산 장벽 특성을 개선시킬 수 있다.
몇몇 실시예들에서, 증착된 막은 기공성일 수 있다. 본 명세서에서 전술한 바와 같이, 유기-실리콘 프리커서들은 사이클릭 실록산 및 캐이지된 실록산을 포함할 수 있다. 이로써, 사이클릭 실록산 및 캐이지된 실록산은 증착된 막의 구조 내에 기공성을 도입할 수 있다. 증착된 막 내의 기공성은 유전체 상수를 더 낮출 수 있다. 몇몇 실시예들에서, 실리콘 카바이드 막의 기공율은 약 20 퍼센트 내지 50 퍼센트일 수 있다. 기공성 막의 기공 크기 (pore size) 는 선택된 사이클릭 또는 캐이지된 프리커서의 기공 크기와 함께 따라간다. 특정 실시예들에서, 막의 평균 기공 크기는 약 5 Å 내지 약 20 Å이며 예를 들어서 약 16 Å이다.
장치
본 개시의 일 양태는 본 명세서에서 기술된 방법들을 달성하도록 구성된 장치이다. 적합한 장치는 프로세스 동작들을 달성하기 위한 하드웨어 및 본 개시에 따른 프로세스 동작들을 제어하기 위한 인스트럭션들을 갖는 시스템 제어기를 포함한다. 몇몇 실시예들에서, 전술한 프로세스 동작들을 수행하기 위한 장치는 리모트 플라즈마 소스를 포함할 수 있다. 리모트 플라즈마 소스는 이와 달리 직접형 플라즈마에 의해서 유발되는 온화하지 않은 격한 반응 상태들을 최소화시킬 수 있다.
도 4는 특정 실시예들에 따른 리모트 플라즈마 장치의 개략도를 예시한다. 장치 (400) 는 반응 챔버 (410), 리모트 플라즈마 소스 (460), 프리커서 가스 전달 소스 (450), 및 샤워헤드 어셈블리 (420) 를 포함한다. 반응 챔버 (410) 내에, 기판 (430) 이 스테이지 또는 페데스탈 (435) 상에 놓인다. 몇몇 실시예들에서, 페데스탈 (435) 에는 가열/냉각 요소가 구비된다. 제어기 (440) 가 장치 (400) 의 동작을 제어하도록 장치 (400) 의 컴포넌트들에 연결될 수 있다. 예를 들어서, 제어기 (440) 는 온도 프로세스 조건들 및/또는 압력 프로세스 조건들과 같은, 장치 (400) 의 동작에 대한 프로세스 조건들을 제어하기 위한 인스트럭션들을 포함할 수 있다.
동작 동안에, 가스들 또는 가스 혼합물들이 반응 챔버 (410) 에 연통된 하나 이상의 유입구들을 통해서 반응 챔버 (410) 내로 도입된다. 몇몇 실시예들에서, 복수의 가스 유입구들이 반응 챔버 (410) 에 연통된다. 프리커서 가스 전달 소스 (450) 는 프리커서 가스들의 전달을 위해서 반응 챔버 (410) 에 연통된 복수의 제 1 가스 유입구들 (455) 을 포함할 수 있다. 복수의 제 1 가스 유입구들 (455) 각각은 다수의 프리커서 가스들이 반응 챔버 (410) 내로 함께 공동 유동하도록 하게 하며, 이는 순차적으로 또는 동시에 발생할 수 있다. 제 2 가스 유입구 (465) 가 샤워헤드 어셈블리 (420) 를 통해서 반응 챔버 (410) 에 연통되고 리모트 플라즈마 소스 (460) 에 연결될 수 있다. 제 2 가스 유입구 (465) 는 라디칼 종들의 전달을 위해서 샤워헤드 어셈블리 (420) 에 연결될 수 있다. 제 2 가스 유입구 (465) 는 라디칼 종들을 위한 소스 가스를 제공하는 용기 (470) 에 연결될 수 있다. 리모트 플라즈마 구성을 포함하는 실시예들에서, 프리커서들을 위한 전달 라인들과 리모트 플라즈마 소스 (460) 에서 생성된 라디칼 종들을 위한 전달 라인은 서로 개별적이다. 따라서, 프리커서들과 라디칼 종들은 기판 (430) 에 도달하기 전까지는 실질적으로 서로 반응하지 않는다.
하나 이상의 라다칼 종들이 리모트 플라즈마 소스 (460) 에서 생성될 수 있으며 제 2 가스 유입구 (465) 를 통해서 반응 챔버 (410) 로 들어가도록 구성될 수 있다. 임의의 타입의 플라즈마 소스들이 라디칼 종들을 생성하도록 리모트 플라즈마 소스 (460) 에서 사용될 수 있다. 이는 다음으로 한정되지 않지만 용량 결합형 플라즈마, 마이크로웨이브 플라즈마, DC 플라즈마, 유도 결합형 플라즈마, 및 레이저 생성된 플라즈마를 포함한다. 용량 결합형 플라즈마의 실례는 무선 주파수 RF 플라즈마일 수 있다. 고 주파수 플라즈마는 13.56 MHz 이상의 주파수에서 동작하도록 구성될 수 있다. 이러한 리모트 플라즈마 소스 (460) 의 실례는 미국 캘리포니아 프레몬트 소재의 Lam Research 사에 의해서 제조된 GAMMA®일 수 있다. 이러한 리모트 플라즈마 소스 (460) 의 다른 실례는 미국 매사추세츠 윌밍톤 소재의 MKS 인스트루먼츠 사에 의해서 제조된 Astron®일 수 있으며, 이는 440 kHz에서 동작하며 하나 이상의 기판들을 병렬로 처리하기 위해 대형 장치 상에 볼트 결합된 서브유닛으로서 제공될 수 있다. 몇몇 실시예들에서, MKS 인스트루먼츠 사에 의해서 제조된 Astex®와 같은 마이크로웨이브 플라즈마가 리모트 플라즈마 소스 (460) 로서 사용될 수 있다. 마이크로웨이브 플라즈마는 2.45 GHz의 주파수에서 동작하도록 구성될 수 있다.
리모트 플라즈마 소스 (460) 는 용기 (450) 로부터의 소스 가스를 전달하기 위한 공간을 형성하는 플라즈마 돔 또는 다른 형상부를 포함할 수 있다. 리모트 플라즈마 소스들의 실례들은 미국 특허 번호 8,084,339 (변호사 문서 번호: NOVLP414), 미국 특허 번호 8,217,513 (변호사 문서 번호: NOVLP414D1), 미국 특허 출원 번호 12/533,960 (변호사 문서 번호: NOVLP414X1), 미국 특허 출원 번호 11/616,324 (변호사 문서 번호: NOVLP445), 미국 특허 출원 번호 13/493,655 (변호사 문서 번호: NOVLP445C1), 미국 특허 출원 번호 12/062,052 (변호사 문서 번호: NOVLP447), 및 미국 특허 출원 번호 12/209,526 (변호사 문서 번호: NOVLP448) 에 기술될 수 있으며, 이러한 문헌들 각각의 전체 내용들은 모든 목적을 위해서 본 명세서에서 참조로서 인용된다. 몇몇 실시예들에서, 리모트 플라즈마 소스 (460) 는 리모트 플라즈마 소스 (460) 의 내부 공간 내로 소스 가스를 분배하도록 구성된 복수의 구멍들을 갖는 용기 (470) 에 연통된 유입구 (475) 를 포함할 수 있다.
소스 가스가 리모트 플라즈마 소스 (460) 에 들어가면, 플라즈마는 매칭 네트워크를 통해서 RF 소스 (480) 에 연결될 수 있는 무선 주파수 RF 코일들 (미도시) 을 사용하여서 생성될 수 있다. 플라즈마는 샤워헤드 어셈블리 (420) 를 향해서 유동하는 수소 가스로부터 수소 라디칼들과 같은 라디칼 종들을 생성할 수 있다. 이 라티칼 종들은 제 2 가스 유입구 (465) 로부터 샤워헤드 어셈블리 (420) 내의 복수의 구멍들을 통해서 유동하며, 이 구멍들이 라디칼 종들을 반응 챔버 (410) 내로 분배시킨다. 이와 동시에, 프리커서 가스들은 제 1 가스 유입구들 (455) 로부터 반응 챔버 (410) 내로 분배되어서 라디칼 종들과 혼합된다. 프리커서 가스들은 제어된 플로우 레이트로 반응 챔버 (410) 내로 유동될 수 있다. 라디칼 종들과 프리커서 가스들 간의 반응들은 기판 (430) 위에서 그리고 이에 인접하여서 반응 챔버 (410) 내에서 발생할 수 있다.
리모트 플라즈마 소스 (460) 내에 형성된 라디칼 종들은 가스상 (gas phase) 으로 반응 챔버 (410) 내로 그리고 기판 (430) 을 향해서 반송된다. 리모트 플라즈마 소스 (460) 는 샤워헤드 어셈블리 (420) 로부터 기판 (430) 의 표면에 대해 실질적으로 수직 방향 (transverse direction) 으로 라디칼 종들을 향하게 하도록 기판 (430) 에 대해서 실질적으로 수직일 수 있다. 그러나, 리모트 플라즈마 소스 (460) 는 기판 (430) 의 표면에 대해 임의의 여러 방향들로 배향될 수 있음이 이해되어야 한다. 리모트 플라즈마 소스 (460) 와 기판 (430) 간의 거리는 리모트 플라즈마 소스 (460) 내에서 생성되는 이온화된 종들이 실질적으로 중성화되지만, 실질적으로 낮은 에너지 상태에 있는 적어도 어느 정도의 라디칼 종들은 기판 (430) 에 인접한 분위기 내에서 유지되도록 온화한 반응성 상태들을 제공하도록 구성될 수 있다. 이러한 낮은 에너지 상태의 라디칼 종들은 안정된 화합물들을 형성하도록 재결합되지 않는다. 리모트 플라즈마 소스 (460) 와 기판 (430) 간의 거리는 플라즈마의 공격도 (aggressiveness) (예를 들어서, RF 전력 레벨을 조절함), 플라즈마 내의 가스의 밀도 (예를 들어서, 수소 원자들의 농도가 높으면, 이들 중 상당한 부분은 반응 챔버 (410) 에 도달하기 이전에 H2를 형성하도록 재결합될 수 있음), 및 다른 요소들의 함수일 수 있다. 몇몇 실시예들에서, 리모트 플라즈마 소스 (460) 와 반응 챔버 (410) 간의 거리는 약 10 cm보다 크며, 예를 들어서 약 10 cm 내지 50 cm일 수 있다. 또한, 몇몇 동일한 또는 유사한 이유로 해서, 샤워헤드 어셈블리 (420) 와 제 1 가스 유입구들 (455) 간의 거리는 약 5 cm보다 크며, 예를 들어서 약 5 cm 내지 20 cm일 수 있다.
제어기 (440) 는 장치 (400) 에 있어서 본 발명에 따른 프로세스 조건들 및 동작들을 제어하기 위한 인스트럭션들을 포함할 수 있다. 제어기 (440) 는 통상적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 프로세서는 CPU 또는 컴퓨터, 아날로그 및/또는 디지털 입출력 접속부들, 스텝퍼 모터 제어기 보드 등을 포함할 수 있다. 적합한 제어 동작들을 구현하기 위한 인스트럭션들이 프로세서 상에서 실행된다. 이러한 인스트럭션들은 제어기 (440) 와 연관된 메모리 디바이스들 상에 저장되거나 네트워크를 통해서 제공될 수도 있다. 본 발명에 따른 프로세스 동작들을 제어하기 위한 인스트럭션들을 포함한 머신 판독 가능한 매체가 제어기 (440) 에 통신 가능하게 연결될 수 있다.
특정 실시예들에서, 제어기 (440) 는 본 명세서에서 기술되는 반도체 프로세싱 장치 (400) 의 대부분 또는 모든 활동들을 제어한다. 제어기 (440) 는 예를 들어서 반응 챔범 (410) 내로의 프리커서 가스들의 가스 유동을 제어하도록 구성될 수 있다. 제어기 (440) 는 또한 RF 코일들에 전력을 공급하는 RF 소스 (480) 를 제어할 수도 있다. 제어기 (440) 는 또한 페데스탈 (435) 용 가열/냉각 요소의 온도 또는 냉각제의 플로우 레이트를 제어할 수도 있다. 제어기 (440) 는 보호성 층들을 증착하고 희생성 재료들을 제거하는 것과 연관된 반도체 프로세싱 장치 (400) 의 모든 또는 대부분의 활동들을 제어할 수 있다. 제어기 (440) 는 타이밍, 가스 조성, 가스 밀도, 플로우 레이트, 챔버 압력, 챔버 온도, RF 전력 레벨, 기판 위치, 및/또는 다른 파라미터들을 제어하기 위한 인스트럭션들의 세트를 포함하는 시스템 제어 소프트웨어를 실행할 수 있다. 제어기 (440) 와 연관된 메모리 디바이스들 상에 저장된 다른 컴퓨터 프로그램들, 스크립트들, 또는 루틴들이 몇몇 실시예들에서 채용될 수 있다. 기판 (430) 에 인접하는 분위기에서 상대적으로 온화한 (mild) 반응성 상태들을 제공하기 위해서, 플라즈마의 RF 전력 레벨들, 가스 밀도, 및 타이밍과 같은 파라미터들이 제어기 (440) 에 의해서 조절될 수 있다. 또한, 기판 위치를 조절하는 것은 기판 (430) 에 인접하는 분위기에서 고 에너지 상태의 라디칼 종들의 존재를 더 감소시킬 수 있다.
프로세스 조건들 및 프로세스 플로우 자체는 특정 프로세스 변수들을 모니터링, 유지 및/또는 조절하기 위한 프로그램 인스트럭션들을 포함하는 제어기 (440) 에 의해서 제어될 수 있다. 예를 들어서, 플로우 레이트들 또는 플로우 레이트들의 비를 특정하는 인스트럭션들이 포함될 수도 있다. 또한, 인스트럭션들은 사전 세정, 패시베이션, 보호성 층 형성, 비-보호성 층 형성, 피닝 (pinning) 동작들, 다른 증착후 처리, 에칭, 부분적 충진, 등을 위한 파라미터들을 포함할 수도 있다. 제어기 (440) 는 상이한 장치 스테이션들을 위한 상이한 또는 동일한 인스트럭션들을 포함하며, 이로써 이 장치 스테이션들이 독립적으로 또는 동기적으로 동작하게 할 수 있다.
몇몇 실시예들에서, 제어기 (440) 는 서로 혼합되도록 제 1 가스 유입구들 (455) 을 통해서 2 개 이상의 유기-실리콘 프리커서들을 함께 반응 챔버 (410) 내로 유동시키는 동작, 실질적으로 낮은 에너지 상태에 있는 라디칼 종들을 리모트 플라즈마 소스 (460) 내에 제공하는 동작, 및 상기 2 개 이상의 유기-실리콘 프리커서들과 반응하여서 기판 (430) 상에 실리콘 카바이드 막을 형성하도록 라디칼 종들을 제 2 가스 유입구 (465) 를 통해서 반응 챔버 (410) 내로 유동시키는 동작과 같은 동작들을 수행하기 위한 인스트럭션들을 포함할 수 있다.
몇몇 실시예들에서, 제어기 (440) 와 연계된 사용자 인터페이스가 존재할 수 있다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 상태의 그래픽 소프트웨어 디스플레이, 포인팅 장치, 키보드, 터치 스크린, 마이크로폰 등과 같은 사용자 입력 장치를 포함할 수 있다.
위의 동작들을 제어하기 위한 컴퓨터 프로그램 코드는 예를 들어서 어셈블리 언어, C, C++, 파스칼, 포트란 또는 다른 언어와 같은 임의의 통상적인 컴퓨터 판독가능한 프로그래밍 언어들로 기록될 수 있다. 컴파일링된 객체 코드 또는 스트립트가 이 프로그램 내에서 특정된 태스크들을 수행하도록 프로세서에 의해서 실행된다.
프로세스를 모니터링하기 위한 신호들은 시스템 제어기의 아날로그 및/또는 디지털 입력 접속부들에 의해서 제공될 수 있다. 프로세스를 제어하기 위한 신호들은 장치 (400) 의 아날로그 및 디지털 입력 접속부들 상으로 출력된다.
시스템 소프트웨어는 다수의 상이한 방식들로 설계 또는 구성될 수 있다. 예를 들어서, 다양한 챔버 컴포넌트 서브루틴들 또는 제어 객체들이 증착 프로세스들을 실행하는데 필요한 챔버 컴포넌트들의 동작을 제어하도록 기록될 수 있다. 이러한 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 실례는 기판 포지셔닝 코드, 프로세스 가스 제어 코드, 압력 제어 코드, 가열기 제어 코드 및 플라즈마 제어 코드를 포함한다.
기판 포지셔닝 프로그램은 기판을 페데스탈 또는 척 상에 로딩하고 기판과 가스 유입구 및/또는 타겟과 같은 챔버의 다른 부분들 간의 이격 거리를 제어하는데 사용되는 챔버 컴포넌트들을 제어하기 위한 프로그램 코드를 포함할 수 있다. 프로세스 가스 제어 프로그램은 가스 조성 및 플로우 레이트를 제어하고 선택적으로 챔버 내의 압력을 안정화시키기 위해서 증착 이전에 챔버 내로 가스를 유동시키기 위한 코드를 포함할 수 있다. 압력 제어 프로그램은 챔버의 배기 시스템 내의 예를 들어서 쓰로틀 밸브를 조절함으로써 챔버 내의 압력을 제어하기 위한 코드를 포함할 수 있다. 가열기 제어 프로그램은 기판을 가열하는데 사용되는 가열 유닛으로의 전류를 제어하기 위한 코드를 포함할 수 있다. 이와 달리, 가열기 제어 프로그램은 웨이퍼 척으로의 헬륨과 같은 열 전달 가스의 전달을 제어할 수 있다.
본 명세서에서 상술한 장치 및/또는 프로세스는 예를 들어서 반도체 디바이스들, 디스플레이, LED, 광전 패널 등의 제조 또는 가공을 위한 리소그래피 패터닝 툴 또는 프로세스와 함께 사용될 수 있다. 통상적으로, 이러한 툴 또는 프로세스는 반드시 그러한 것은 아니지만 공통 제조 시설 내에서 함께 사용 또는 수행될 수 있다. 막 리소그래피 패터닝은 통상적으로 각각 다수의 가능한 툴을 사용하여서 실현되는 다음의 동작들 중 몇몇 또는 모두를 포함하며, 이 동작들은 (1) 스핀 온 또는 스프레이 온 툴을 사용하여서 기판과 같은 작업 대상에 포토레지스트를 도포하는 단계, (2) 고온 플레이트 또는 퍼니스 또는 UV 경화 툴을 사용하여서 포토레지스트를 경화하는 단계, (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여서 포토레지스트를 가시광선 또는 자외선 또는 x 선 광에 노출시키는 단계, (4) 습식 벤치 (wet bench) 와 같은 툴을 사용하여서 레지스트를 선택적으로 제거하여서 이를 패터닝하도록 상기 포토레지스트를 현상하는 단계, (5) 건식 또는 플라즈마 지원형 에칭 툴을 사용하여서 그 밑의 막 또는 작업 대상으로 레지스트 패턴을 전사하는 단계, (6) RF 또는 마이크로웨이브 플라즈마 레지스트 탈피기 (stripper) 와 같은 툴을 사용하여서 포토레지스트를 제거하는 단계를 포함할 수 있다.
애플리케이션들
본 개시는 고품질 도핑된 또는 도핑되지 않은 실리콘 카바이드 막들의 다음의 애플리케이션들을 참조하여서 더욱 이해될 것이며, 이러한 애플리케이션들은 순전히 예시적이다. 본 개시는 본 개시의 양태들을 단지 예시하는 특정된 애플리케이션들에 의해서 그 범위가 한정되지 않는다. 이러한 본 명세서에서 기술된 것 이외에 본 개시의 다양한 변경들은 다음의 설명으로부터 본 기술 분야의 당업자에게 자명할 것이다. 또한, 이러한 변경들은 첨부된 청구항들의 범위 내에 해당된다.
몇몇 실시예들에서, 산소 도핑된 실리콘 카바이드 막과 같은 실리콘 카바이드 막은 구리와 같은 노출된 금속 상에 증착될 수 있다. 몇몇 실례들에서, 노출된 구리 표면은 산소 도핑된 실리콘 카바이드 막을 증착하기 이전에 구리 산화물을 저감시키도록 사전 세정될 수 있다. 산소 도핑된 실리콘 카바이드 막을 증착할 시에, 기판에 인접하는 반응 상태들은 O2, O3, 및 CO2 및 이들의 라디칼들을 포함하는 산화제들이 존재하지 않을 수 있다. 따라서, 산소 도핑된 실리콘 카바이드 막은 (예를 들어서 큐프릭 옥사이드 (cupric oxide) 를 생성하는) 구리 산화 없이 상기 노출된 구리 상에 직접적으로 증착될 수 있다. 이러한 막들은 또한 구리 확산 베리어들로서도 기능할 수도 있는 에칭 정치 층들 역할을 할 수도 있다. 산소 도핑된 실리콘 카바이드 막의 존재는 확산 베리어 역할을 하는 우수한 누설 특성을 갖는 충분하게 낮은 유전체 상수를 제공할 수 있다. 산소 도핑된 실리콘 카바이드 막은 자체적으로 또는 예를 들어서 노출된 구리 상에 증착된 SiOC/SiNC 이중 층과 같은 이중층 스택으로서 에칭 정지 층 및/또는 확산 베리어 층일 수 있다. 본 명세서에서 조기에 논의한 바와 같이, SiNC는 노출된 구리 상에 부착 층으로서 증착될 수 있으며, 이어서 SiOC가 프리커서들 및/또는 플로우 레이트들을 조절함으로써 후속하여서 증착될 수 있다. 몇몇 실시예들에서, 산소 도핑된 실리콘 카바이드 막은 통상적으로 다마신 프로세스에 의해서 생성되는 인접하는 금속화 층들 간 내에 배치될 수 있다. 산소 도핑된 실리콘 카바이드 막은 에칭을 견딜 수 있으며 유전체 재료의 인접하는 영역들 내로의 구리 이온들의 확산을 최소화시키도록 충분하게 조밀할 수 있다. 몇몇 실시예들에서, 산소 도핑된 실리콘 카바이드 막을 위해서 사용되는 프리커서는 비-사이클릭일 수 있다. 비-사이클릭 프리커서들은 PMDSO, TMDSO, DEMS 또는 DMES를 포함할 수 있다. 비-사이클릭 프리커서들은 기밀성 층 또는 확산 베리어 역할을 할 수 있도록 충분하게 높은 밀도를 제공할 수 있다. 몇몇 실시예들에서, 질소-함유 프리커서들을 유동시킴으로써 질소가 막 내에 포함될 수 있다.
몇몇 실시예들에서, 실리콘 카바이드 막은 반도체 구조물들 또는 금속에 인접한 수직 구조물들로서 증착될 수 있다. 실리콘 카바이드 막 증착은 수직 구조물들을 생성하도록 금속 또는 반도체 구조물의 측벽들을 따라서 우수한 스텝 커버리지를 제공한다. 특정 실시예들에서, 수직 구조물들은 스페이서 또는 라이너로서 지칭될 수 있다. 도 2c는 트랜지스터의 게이트 전극 구조물의 측벽들 상에 증착된 실리콘 카바이드의 단면을 예시한다. 도 2c에 도시된 바와 같이, 트랜지스터는 소스 (212) 및 드레인 (213) 을 갖는 실리콘 기판 (210) 을 갖는 CMOS 트랜지스터일 수 있다. 게이트 유전체 (214) 가 실리콘 기판 (210) 상에 증착되고 게이트 전극 (215) 이 게이트 유전체 (214) 상에 증착되어서 트랜지스터가 형성된다. 실리콘 카바이드 라이너들 (211) 은 게이트 전극 (215) 및 게이트 유전체 (214) 의 측벽들 상에 증착될 수 있다.
다른 실례에서, 도 2d는 에어 갭 타입 (air gap type) 금속화 층 내의 노출된 구리 라인들의 측벽들 상에 증착된 실리콘 카바이드의 단면을 예시한다. 에어 갭들 (220) 이 구리 라인들 (222) 간의 집적 회로 층 내로 도입되어서 이 층의 유효 k-값을 저감시킬 수 있다. 실리콘 카바이드 라이너들 (221) 은 구리 라인들 (222) 의 측벽들 상에 증착되고 비컨포멀한 (nonconformal) 유전체 층 (223) 이 에어 캡들 (220), 라이너들 (221) 및 구리 라인들 (222) 위에 증착된다. 이러한 에어 갭 타입 금속화 층들의 실례는 Fei Wang 등에 허여된 미국 특허 공개 번호 2004/0232552에 기술되어 있으며, 이는 모든 목적을 위해서 그 전체 내용이 본 명세서에서 참조로 인용된다.
몇몇 실시예들에서, 실리콘 카바이드 막은 패터닝된 다공성 유전체 재료들의 측벽들 상에 증착될 수 있다. 초저-k 유전체 재료들은 다공성 재료로부터 제조될 수 있다. 이러한 재료들 내의 기공들은 탄탈륨 (Ta) 와 같은 금속을 포함하는 확산 베리어 증착을 포함하는 후속 층 증착 동안에 금속의 진입을 위한 구역들을 제공할 수 있다. 너무 많은 금속이 유전체 재료 내로 이동하면, 유전체 재료는 인접하는 구리 금속화 라인들 간의 단락을 제공할 수 있다. 도 2e는 다공성 유전체 재료들을 위한 기공 실링제 (pore sealant) 로서인 실리콘 카바이드 막의 단면을 예시한다. 다공성 유전체 층 (232) 은 기공들 (230) 을 형성하도록 다공성 유전체 층 (232) 내로 절취된 복수의 트렌치들 또는 비아들을 가질 수 있다. 실리콘 카바이드 막 (231) 은 기공들 (230) 을 효과적으로 실링하도록 기공들 (230) 을 따라서 증착될 수 있다. 기공들 (230) 을 실리콘 카바이드 막 (231) 으로 실링하는 것은 이와 달리 플라즈마를 사용하는 다른 실링 기법에 의해서 발생할 수도 있는 다공성 유전체 층 (232) 손상을 피할 수 있다. 실리콘 카바이드 막 (231) 은 기공 실링제로서 충분하게 조밀하고 PMDSO 및 TMDSO와 같은 비-사이클릭 유기-실리콘 프리커서들을 포함할 수 있다. 몇몇 실시예들에서, 다공성 유전체 층 (232) 과 같은 에칭된 유전체 재료는 먼저 "k-복구" 프로세스에 의해서 처리될 수 있으며, 이 프로세스는 다공성 유전체 층 (232) 을 UV 방사선 및 환원제에 노출시킨다. 이 복구 프로세스는 Varadarajan 등에 허여된 공동 소유된 미국 특허 공개 번호 2011/0111533에 더 기술되며, 이 문헌의 전체 내용이 본 명세서에서 모든 목적을 위해서 참조로서 인용된다. 다른 "k-복구" 프로세스에서, 다공성 유전체 층 (232) 은 UV 방사선 및 화학적 실릴화제 (silylating agent) 에 노출될 수 있다. 이러한 복구 프로세스는 Varadarajan 등에 허여된 공동 소유된 미국 특허 공개 번호 2011/0117678에 더 기술되며, 이 문헌의 전체 내용이 본 명세서에서 모든 목적을 위해서 참조로서 인용된다. 기공들 (230) 을 표면을 보다 친수성으로 되게 하고 재료의 모노레이트 (monolayer) 을 제공하는 복구 처리에 노출시킨 후에, 실리콘 카바이드 층 (231) 이 다공성 유전체 층 (232) 의 기공들을 효과적으로 실링하도록 컨포멀하게 증착될 수 있다.
몇몇 실시예들에서, 실리콘 카바이드 막은 초저 (ultra-low) k 유전체 재료 자체로서 증착될 수 있다. 초저 k 유전체들은 통상적으로 2.5의 유전체 상수보다 낮은 유전체 상수를 갖는 재료들로서 규정된다. 이러한 구성들에서, 실리콘 카바이드인 초저 k 유전체 재료가 다공성 유전체 층일 수 있다. 유전체 층의 기공들은 사이클릭 실록산들 및 실세스퀴옥산들 (silsesquioxane) 을 포함하는 사이클릭 또는 캐이지된 프리커서 분자들을 사용하여서 도입될 수 있다. 일 실례에서, 실리콘 카바이드인 초저 k 유전체 재료의 기공율은 약 20 퍼센트 내지 50 퍼센트일 수 있다. 또한, 초저 k 유전체 층은 약 100 Å보다 작은 평균 기공 크기, 예를 들어서 약 5 Å 내지 20 Å 의 평균 기공 크기를 가질 수 있다. 예를 들어서, 사이클로실록산 링은 약 6.7 Å 의 반경을 가질 수 있다. 기공들의 개수 및 크기를 증가시키면 유전체 상수가 낮아질 수 있지만, 유전체 층의 기계적 무결성은 기공이 너무 많으면 떨어지게 된다.
몇몇 실시예들에서, 도핑된 또는 도핑되지 않은 실리콘 카바이드 막은 다양한 메모리 디바이스 애플리케이션들에서 캡슐화를 제공할 수 있다. MRAM (magneto-resistive random access memory) 애플리케이션들에서, 실리콘 카바이드 막은 피닝 층들 (pinning layer), 도전성 라인들, 소프트 층들 (soft layer) 등을 포함하는, MRAM 디바이스의 다양한 층들을 보호하는 하드마스크로서 그리고/또는 하드마스크와 함께 사용될 수 있다. 실리콘 카바이드 막은 낮은 에칭 레이트를 가지며 에칭 동안에 금속 층들과 같은 그 아래의 층들의 측벽들 및 상단 표면들을 보호할 수 있다. 또한, 실리콘 카바이드 막은 MJT (magnetic tunnel junction) 스택들의 패터닝 동안 및 후에 보호성 캡슐화를 제공하는데 사용될 수 있다.
RRAM (resistive random access memory) 애플리케이션들에서, 실리콘 카바이드 막은 RRAM 디바이스의 하나 이상의 그 아래의 층들을 캡슐화 및 보호할 수 있다. RRAM (resistive random access memory) 디바이스 내의 2 개의 전극들 간의 갭이 산화물로 충진되는 동안에, 실리콘 카바이드 막은 그 아래에 놓인 막들이 산화되지 않게 보호할 수 있다. 또한, 저 에너지 밴드 갭을 갖는 SiCN 막 또는 SiC 막은 RRAM 디바이스 내의 스위칭 층 또는 NADN 내의 터널 산화물을 대체하는데 사용될 수도 있다.
실리콘 카바이드 막이 메모리 디바이스 애플리케이션들에서 그 아래에 놓인 층들을 보호하거나 스위칭 층들을 대체하는 역할을 할 수 있지만, 실리콘 카바이드 막의 기능적 측면들은 오직 메모리 디바이스 애플리케이션으로 한정되는 것은 아니다. 보다 일반적으로, 실리콘 카바이드 막은 또한 다른 특성들 중에서도 그의 고품질, 고 컨포멀성, 저 습식 에칭 레이트, 및 저 건식 에칭 레이트로 인해서 몇몇 다른 디바이스 애플리케이션들에서 에칭 정지 라이너들 및 하드마스크들로서 사용될 수도 있다.
전술한 바들은 명료성 및 이해를 위해서 어느 정도 세부적으로 기술되었지만, 특정 변경 및 수정이 첨부된 청구항들의 범위 내에서 실시될 수 있음은 자명하다. 기술된 프로세스, 시스템 및 장치를 구현하는 수많은 다른 방식들이 존재함이 주목되어야 한다. 따라서, 기술된 실시예들은 예시적으로 해석되어야지 한정적으로 해석되어서는 안된다.

Claims (13)

  1. 기판 상에 실리콘-함유 막을 증착하는 방법에 있어서,
    상기 기판을 제공하는 단계;
    상기 기판을 적어도 하나의 실리콘-함유 프리커서에 노출시키는 단계로서, 상기 적어도 하나의 실리콘-함유 프리커서 각각은 (i) 하나 이상의 실리콘-수소 결합들 및 하나 이상의 실리콘-실리콘 결합들 중 적어도 하나, 및 (ii) 하나 이상의 실리콘-산소 결합들 및 하나 이상의 실리콘-탄소 결합들 중 적어도 하나를 포함하는, 상기 기판을 상기 적어도 하나의 실리콘-함유 프리커서에 노출시키는 단계;
    상기 실리콘-함유 프리커서와 반응시키고 실리콘-함유 막의 적어도 일부를 형성하도록 상기 기판을 반응물에 노출시키는 단계; 및
    목표된 두께의 상기 실리콘-함유 막이 증착될 때까지 상기 기판의 상기 실리콘-함유 프리커서로의 노출과 상기 기판의 상기 반응물로의 노출 사이를 사이클들로 교번하는 단계를 포함하고,
    상기 반응물은 상기 기판을 하우징하는 챔버의 외부에서 플라즈마를 점화하는 것으로부터 생성된 수소의 라디칼들을 포함하고,
    상기 수소의 라디칼들은 상기 실리콘-수소 결합들 및 상기 실리콘-실리콘 결합들 중 하나 또는 모두를 선택적으로 깨지만 상기 실리콘-산소 결합들 및 상기 실리콘-탄소 결합들을 보존하는 조건 하에서 상기 실리콘-함유 프리커서와 반응하는, 실리콘-함유 막을 증착하는 방법.
  2. 제 1 항에 있어서,
    플라즈마가 상기 기판의 상기 반응물로의 노출 동안 점화되는, 실리콘-함유 막을 증착하는 방법.
  3. 제 2 항에 있어서,
    상기 플라즈마는 리모트로 생성되는, 실리콘-함유 막을 증착하는 방법.
  4. 제 1 항에 있어서,
    상기 실리콘-함유 막은 산소 및 실리콘을 포함하는, 실리콘-함유 막을 증착하는 방법.
  5. 제 1 항에 있어서,
    상기 실리콘-함유 막은 산소 도핑된 실리콘 카바이드를 포함하는, 실리콘-함유 막을 증착하는 방법.
  6. 제 1 항에 있어서,
    상기 적어도 하나의 실리콘-함유 프리커서는 PMDSO (pentamethyldisiloxane), TMDSO (tetramethyldisiloxane), HMDS (hexamethyldisilane), 및 헵타메틸트리실록산 (heptamethyltrisiloxane) 으로 구성된 그룹으로부터 선택되는, 실리콘-함유 막을 증착하는 방법.
  7. 제 1 항에 있어서,
    상기 기판을 하우징하는 챔버는 상기 기판을 상기 실리콘-함유 프리커서에 노출시키는 단계와 상기 기판을 상기 반응물에 노출시키는 단계 사이에 퍼지되는, 실리콘-함유 막을 증착하는 방법.
  8. 제 1 항에 있어서,
    상기 적어도 하나의 실리콘-함유 프리커서는 유기-실리콘 프리커서 (organo-silicon precursor) 를 더 포함하는, 실리콘-함유 막을 증착하는 방법.
  9. 제 8 항에 있어서,
    상기 유기-실리콘 프리커서는 실록산들, 알콕시 실란들, 및 알킬실란들로 구성된 그룹으로부터 선택되는, 실리콘-함유 막을 증착하는 방법.
  10. 제 9 항에 있어서,
    상기 선택된 유기-실리콘 프리커서는 캐이지된 실록산인, 실리콘-함유 막을 증착하는 방법.
  11. 제 9 항에 있어서,
    상기 유기-실리콘 프리커서는 선형 실록산인, 실리콘-함유 막을 증착하는 방법.
  12. 제 1 항에 있어서,
    상기 적어도 하나의 실리콘-함유 프리커서는 디실록산 또는 트리실록산을 포함하는, 실리콘-함유 막을 증착하는 방법.
  13. 제 1 항에 있어서,
    상기 적어도 하나의 실리콘-함유 프리커서는 캐이지된 실록산 (caged siloxane) 을 포함하는, 실리콘-함유 막을 증착하는 방법.
KR1020210140454A 2013-05-31 2021-10-20 목표 조성 및 막 특성들을 갖는 SiC 부류의 막들을 획득하는 방법 KR102542262B1 (ko)

Priority Applications (5)

Application Number Priority Date Filing Date Title
KR1020220042654A KR102545881B1 (ko) 2013-05-31 2022-04-06 목표 조성 및 막 특성들을 갖는 SiC 부류의 막들을 획득하는 방법
KR1020220042655A KR102494203B1 (ko) 2013-05-31 2022-04-06 목표 조성 및 막 특성들을 갖는 SiC 부류의 막들을 획득하는 방법
KR1020220042652A KR102500935B1 (ko) 2013-05-31 2022-04-06 목표 조성 및 막 특성들을 갖는 SiC 부류의 막들을 획득하는 방법
KR1020220042657A KR102494204B1 (ko) 2013-05-31 2022-04-06 목표 조성 및 막 특성들을 갖는 SiC 부류의 막들을 획득하는 방법
KR1020230072675A KR20230087429A (ko) 2013-05-31 2023-06-07 목표 조성 및 막 특성들을 갖는 SiC 부류의 막들을 획득하는 방법

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/907,699 US9234276B2 (en) 2013-05-31 2013-05-31 Method to obtain SiC class of films of desired composition and film properties
US13/907,699 2013-05-31
KR1020200143459A KR102317858B1 (ko) 2013-05-31 2020-10-30 목표 조성 및 막 특성들을 갖는 SiC 부류의 막들을 획득하는 방법

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020200143459A Division KR102317858B1 (ko) 2013-05-31 2020-10-30 목표 조성 및 막 특성들을 갖는 SiC 부류의 막들을 획득하는 방법

Related Child Applications (5)

Application Number Title Priority Date Filing Date
KR1020220042655A Division KR102494203B1 (ko) 2013-05-31 2022-04-06 목표 조성 및 막 특성들을 갖는 SiC 부류의 막들을 획득하는 방법
KR1020220042654A Division KR102545881B1 (ko) 2013-05-31 2022-04-06 목표 조성 및 막 특성들을 갖는 SiC 부류의 막들을 획득하는 방법
KR1020220042657A Division KR102494204B1 (ko) 2013-05-31 2022-04-06 목표 조성 및 막 특성들을 갖는 SiC 부류의 막들을 획득하는 방법
KR1020220042652A Division KR102500935B1 (ko) 2013-05-31 2022-04-06 목표 조성 및 막 특성들을 갖는 SiC 부류의 막들을 획득하는 방법
KR1020230072675A Division KR20230087429A (ko) 2013-05-31 2023-06-07 목표 조성 및 막 특성들을 갖는 SiC 부류의 막들을 획득하는 방법

Publications (2)

Publication Number Publication Date
KR20210129627A true KR20210129627A (ko) 2021-10-28
KR102542262B1 KR102542262B1 (ko) 2023-06-12

Family

ID=51985402

Family Applications (8)

Application Number Title Priority Date Filing Date
KR1020140066922A KR102175046B1 (ko) 2013-05-31 2014-06-02 목표 조성 및 막 특성들을 갖는 SiC 부류의 막들을 획득하는 방법
KR1020200143459A KR102317858B1 (ko) 2013-05-31 2020-10-30 목표 조성 및 막 특성들을 갖는 SiC 부류의 막들을 획득하는 방법
KR1020210140454A KR102542262B1 (ko) 2013-05-31 2021-10-20 목표 조성 및 막 특성들을 갖는 SiC 부류의 막들을 획득하는 방법
KR1020220042654A KR102545881B1 (ko) 2013-05-31 2022-04-06 목표 조성 및 막 특성들을 갖는 SiC 부류의 막들을 획득하는 방법
KR1020220042655A KR102494203B1 (ko) 2013-05-31 2022-04-06 목표 조성 및 막 특성들을 갖는 SiC 부류의 막들을 획득하는 방법
KR1020220042652A KR102500935B1 (ko) 2013-05-31 2022-04-06 목표 조성 및 막 특성들을 갖는 SiC 부류의 막들을 획득하는 방법
KR1020220042657A KR102494204B1 (ko) 2013-05-31 2022-04-06 목표 조성 및 막 특성들을 갖는 SiC 부류의 막들을 획득하는 방법
KR1020230072675A KR20230087429A (ko) 2013-05-31 2023-06-07 목표 조성 및 막 특성들을 갖는 SiC 부류의 막들을 획득하는 방법

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020140066922A KR102175046B1 (ko) 2013-05-31 2014-06-02 목표 조성 및 막 특성들을 갖는 SiC 부류의 막들을 획득하는 방법
KR1020200143459A KR102317858B1 (ko) 2013-05-31 2020-10-30 목표 조성 및 막 특성들을 갖는 SiC 부류의 막들을 획득하는 방법

Family Applications After (5)

Application Number Title Priority Date Filing Date
KR1020220042654A KR102545881B1 (ko) 2013-05-31 2022-04-06 목표 조성 및 막 특성들을 갖는 SiC 부류의 막들을 획득하는 방법
KR1020220042655A KR102494203B1 (ko) 2013-05-31 2022-04-06 목표 조성 및 막 특성들을 갖는 SiC 부류의 막들을 획득하는 방법
KR1020220042652A KR102500935B1 (ko) 2013-05-31 2022-04-06 목표 조성 및 막 특성들을 갖는 SiC 부류의 막들을 획득하는 방법
KR1020220042657A KR102494204B1 (ko) 2013-05-31 2022-04-06 목표 조성 및 막 특성들을 갖는 SiC 부류의 막들을 획득하는 방법
KR1020230072675A KR20230087429A (ko) 2013-05-31 2023-06-07 목표 조성 및 막 특성들을 갖는 SiC 부류의 막들을 획득하는 방법

Country Status (4)

Country Link
US (8) US9234276B2 (ko)
KR (8) KR102175046B1 (ko)
SG (2) SG10201402381UA (ko)
TW (2) TWI659122B (ko)

Families Citing this family (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
US10297442B2 (en) * 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
KR102053350B1 (ko) * 2013-06-13 2019-12-06 삼성전자주식회사 저유전율 절연층을 가진 반도체 소자를 형성하는 방법
US9343317B2 (en) * 2013-07-01 2016-05-17 Micron Technology, Inc. Methods of forming silicon-containing dielectric materials and semiconductor device structures
US9371579B2 (en) 2013-10-24 2016-06-21 Lam Research Corporation Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
JP6246558B2 (ja) * 2013-10-29 2017-12-13 東京エレクトロン株式会社 シリコン酸炭窒化物膜、シリコン酸炭化物膜、シリコン酸窒化物膜の成膜方法および成膜装置
US11549181B2 (en) 2013-11-22 2023-01-10 Applied Materials, Inc. Methods for atomic layer deposition of SiCO(N) using halogenated silylamides
US10804094B2 (en) 2016-05-06 2020-10-13 Applied Materials, Inc. Methods of depositing SiCON with C, O and N compositional control
US9685325B2 (en) * 2014-07-19 2017-06-20 Applied Materials, Inc. Carbon and/or nitrogen incorporation in silicon based films using silicon precursors with organic co-reactants by PE-ALD
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9802828B2 (en) * 2014-10-03 2017-10-31 Applied Materials, Inc. Precursors suitable for high temperature atomic layer deposition of silicon-containing films
US10100407B2 (en) 2014-12-19 2018-10-16 Lam Research Corporation Hardware and process for film uniformity improvement
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
WO2016178991A1 (en) 2015-05-02 2016-11-10 Applied Materials, Inc. Methods for depositing low k and low wet etch rate dielectric thin films
US9953841B2 (en) * 2015-05-08 2018-04-24 Macronix International Co., Ltd. Semiconductor device and method of fabricating the same
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
KR102412614B1 (ko) 2015-10-22 2022-06-23 삼성전자주식회사 물질막, 이를 포함하는 반도체 소자, 및 이들의 제조 방법
CN105551794B (zh) * 2015-12-29 2017-10-10 中国科学院上海硅酸盐研究所 一种SiC基稀磁半导体薄膜及其制备方法
US20170213960A1 (en) * 2016-01-26 2017-07-27 Arm Ltd. Fabrication and operation of correlated electron material devices
US10797238B2 (en) 2016-01-26 2020-10-06 Arm Ltd. Fabricating correlated electron material (CEM) devices
JP6523186B2 (ja) * 2016-02-01 2019-05-29 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US9754822B1 (en) 2016-03-02 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method
US10312432B2 (en) * 2016-04-06 2019-06-04 Varian Semiconductor Equipment Associates, Inc. Magnetic memory device and techniques for forming
US10157736B2 (en) * 2016-05-06 2018-12-18 Lam Research Corporation Methods of encapsulation
US10199500B2 (en) 2016-08-02 2019-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-layer film device and method
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
US11011371B2 (en) 2016-12-22 2021-05-18 Applied Materials, Inc. SiBN film for conformal hermetic dielectric encapsulation without direct RF exposure to underlying structure material
US10508351B2 (en) 2017-03-16 2019-12-17 Lam Research Corporation Layer-by-layer deposition using hydrogen
US11011384B2 (en) 2017-04-07 2021-05-18 Applied Materials, Inc. Gapfill using reactive anneal
JP6820793B2 (ja) * 2017-04-27 2021-01-27 東京エレクトロン株式会社 基板処理装置、排気管のコーティング方法及び基板処理方法
US10319582B2 (en) 2017-04-27 2019-06-11 Lam Research Corporation Methods and apparatus for depositing silicon oxide on metal layers
US10361282B2 (en) * 2017-05-08 2019-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a low-K spacer
KR102675485B1 (ko) 2017-08-04 2024-06-17 램 리써치 코포레이션 수평 표면들 상에 SiN의 선택적인 증착
US10535512B2 (en) 2017-11-21 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Formation method of semiconductor device with gate spacer
KR20200086750A (ko) * 2017-12-07 2020-07-17 램 리써치 코포레이션 챔버 내 산화 내성 보호 층 컨디셔닝
KR102540963B1 (ko) 2017-12-27 2023-06-07 삼성전자주식회사 미세 패턴 형성 방법 및 기판 처리 장치
DE102018110240A1 (de) * 2018-04-27 2019-10-31 Infineon Technologies Ag Halbleitervorrichtung und Herstellung
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
KR20230088843A (ko) * 2018-07-24 2023-06-20 램 리써치 코포레이션 이종 전구체 상호 작용을 사용한 탄화 실리콘 막의 컨포멀한 증착
US11239420B2 (en) 2018-08-24 2022-02-01 Lam Research Corporation Conformal damage-free encapsulation of chalcogenide materials
US20200071819A1 (en) 2018-08-29 2020-03-05 Versum Materials Us, Llc Methods For Making Silicon Containing Films That Have High Carbon Content
JP7487189B2 (ja) * 2018-10-19 2024-05-20 ラム リサーチ コーポレーション 間隙充填のためのドープまたは非ドープシリコン炭化物および遠隔水素プラズマ曝露
US11158788B2 (en) * 2018-10-30 2021-10-26 International Business Machines Corporation Atomic layer deposition and physical vapor deposition bilayer for additive patterning
TW202104645A (zh) * 2019-07-09 2021-02-01 荷蘭商Asm Ip私人控股有限公司 包括光阻底層之結構及其形成方法
US11186909B2 (en) 2019-08-26 2021-11-30 Applied Materials, Inc. Methods of depositing low-K films
US11424118B2 (en) 2020-01-23 2022-08-23 Micron Technology, Inc. Electronic devices comprising silicon carbide materials
CN112323041B (zh) * 2020-10-14 2022-11-01 江苏鑫汉电子材料有限公司 一种应用于htcvd法生长碳化硅的气体提纯装置
US11447865B2 (en) * 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
US20220216048A1 (en) * 2021-01-06 2022-07-07 Applied Materials, Inc. Doped silicon nitride for 3d nand
US20230008496A1 (en) * 2021-07-09 2023-01-12 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structure for semiconductor device
CN113684467A (zh) * 2021-07-26 2021-11-23 中国科学院金属研究所 一种采用化学气相沉积工艺制备非晶SiOC涂层的方法
US12087563B2 (en) 2022-09-15 2024-09-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor processing tool and methods of operation
CN115522180A (zh) * 2022-09-20 2022-12-27 苏州源展材料科技有限公司 一种低介电常数的硅基薄膜的制备方法及其应用
WO2024102586A1 (en) * 2022-11-07 2024-05-16 Lam Research Corporation Chemical vapor deposition of silicon nitride using a remote plasma

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050069986A (ko) * 2002-08-18 2005-07-05 에비자 테크놀로지, 인크. 실리콘 산화물 및 산질화물의 저온 증착
KR20090126318A (ko) * 2007-03-30 2009-12-08 도쿄엘렉트론가부시키가이샤 스트레인드 실리콘 질화물막들의 형성 방법 및 이러한 막들을 포함하는 장치
KR20110082025A (ko) * 2008-10-01 2011-07-15 어플라이드 머티어리얼스, 인코포레이티드 질화규소계 필름 또는 규소 탄소계 필름을 형성시키는 방법
KR20110134521A (ko) * 2002-10-07 2011-12-14 어플라이드 머티어리얼스, 인코포레이티드 차세대 다마신 배리어 용도를 위한 양호한 내산화성의 두-층 필름
KR20130032343A (ko) * 2010-02-04 2013-04-01 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 실리콘 함유 막을 제조하는 방법

Family Cites Families (323)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA868641A (en) 1971-04-13 L. Cuomo Jerome Method for etching silicon nitride films with sharp edge definition
US4177474A (en) 1977-05-18 1979-12-04 Energy Conversion Devices, Inc. High temperature amorphous semiconductor member and method of making the same
JPS59128281A (ja) 1982-12-29 1984-07-24 信越化学工業株式会社 炭化けい素被覆物の製造方法
AU549925B2 (en) 1983-11-28 1986-02-20 Nitsuko Ltd. Automatic telephone hold releasing circuit
JP2736380B2 (ja) 1987-08-11 1998-04-02 株式会社豊田中央研究所 炭化珪素質材料の製造方法及び原料組成物
US5034355A (en) 1987-10-28 1991-07-23 Kabushiki Kaisha Toyota Chuo Kenkyusho Tough silicon carbide composite material containing fibrous boride
US4895789A (en) 1988-03-29 1990-01-23 Seiko Instruments Inc. Method of manufacturing non-linear resistive element array
DE3811567A1 (de) 1988-04-07 1989-10-19 Wacker Chemie Gmbh Verfahren zur herstellung von organopolysilanen
US5464699A (en) 1988-04-18 1995-11-07 Alloy Surfaces Co. Inc. Pyrophoric materials and methods for making the same
KR940003787B1 (ko) 1988-09-14 1994-05-03 후지쓰 가부시끼가이샤 박막 형성장치 및 방법
JPH05326452A (ja) 1991-06-10 1993-12-10 Kawasaki Steel Corp プラズマ処理装置及び方法
US5739579A (en) 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
US5324690A (en) 1993-02-01 1994-06-28 Motorola Inc. Semiconductor device having a ternary boron nitride film and a method for forming the same
FR2713666B1 (fr) 1993-12-15 1996-01-12 Air Liquide Procédé et dispositif de dépôt à basse température d'un film contenant du silicium sur un substrat métallique.
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
SE9501312D0 (sv) 1995-04-10 1995-04-10 Abb Research Ltd Method for procucing a semiconductor device
US6013155A (en) 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
KR100219550B1 (ko) 1996-08-21 1999-09-01 윤종용 반사방지막 및 이를 이용한 패턴형성방법
JP3164019B2 (ja) 1997-05-21 2001-05-08 日本電気株式会社 酸化シリコン膜およびその形成方法と成膜装置
JP3411559B2 (ja) 1997-07-28 2003-06-03 マサチューセッツ・インスティチュート・オブ・テクノロジー シリコーン膜の熱分解化学蒸着法
US6150719A (en) 1997-07-28 2000-11-21 General Electric Company Amorphous hydrogenated carbon hermetic structure and fabrication method
US6624064B1 (en) 1997-10-10 2003-09-23 Applied Materials, Inc. Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
US6383955B1 (en) 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US7582575B2 (en) 1998-02-05 2009-09-01 Asm Japan K.K. Method for forming insulation film
TW437017B (en) 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US7064088B2 (en) 1998-02-05 2006-06-20 Asm Japan K.K. Method for forming low-k hard film
US6432846B1 (en) 1999-02-02 2002-08-13 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6881683B2 (en) 1998-02-05 2005-04-19 Asm Japan K.K. Insulation film on semiconductor substrate and method for forming same
US7354873B2 (en) * 1998-02-05 2008-04-08 Asm Japan K.K. Method for forming insulation film
US5958324A (en) 1998-02-06 1999-09-28 Dow Corning Corporation Method for formation of crystalline boron-doped silicon carbide and amorphous boron silicon oxycarbide fibers from polymer blends containing siloxane and boron
US6197688B1 (en) 1998-02-12 2001-03-06 Motorola Inc. Interconnect structure in a semiconductor device and method of formation
JP4151862B2 (ja) 1998-02-26 2008-09-17 キヤノンアネルバ株式会社 Cvd装置
US6262445B1 (en) 1998-03-30 2001-07-17 Texas Instruments Incorporated SiC sidewall process
US6846391B1 (en) 1998-04-01 2005-01-25 Novellus Systems Process for depositing F-doped silica glass in high aspect ratio structures
US6395150B1 (en) 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
JPH11354820A (ja) 1998-06-12 1999-12-24 Sharp Corp 光電変換素子及びその製造方法
US6316167B1 (en) 2000-01-10 2001-11-13 International Business Machines Corporation Tunabale vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and application thereof
US20030089992A1 (en) 1998-10-01 2003-05-15 Sudha Rathi Silicon carbide deposition for use as a barrier layer and an etch stop
US6399484B1 (en) 1998-10-26 2002-06-04 Tokyo Electron Limited Semiconductor device fabricating method and system for carrying out the same
EP1056139A3 (en) 1999-05-28 2007-09-19 Sharp Kabushiki Kaisha Method for manufacturing photoelectric conversion device
EP1208002A4 (en) 1999-06-03 2006-08-02 Penn State Res Found MATERIALS WITH NETWORK OF SURFACE POROSITY COLUMNS DEPOSITED IN THIN FILM
EP1077479A1 (en) 1999-08-17 2001-02-21 Applied Materials, Inc. Post-deposition treatment to enchance properties of Si-O-C low K film
DE69940114D1 (de) 1999-08-17 2009-01-29 Applied Materials Inc Oberflächenbehandlung von kohlenstoffdotierten SiO2-Filmen zur Erhöhung der Stabilität während der O2-Veraschung
US6100587A (en) 1999-08-26 2000-08-08 Lucent Technologies Inc. Silicon carbide barrier layers for porous low dielectric constant materials
CN1158184C (zh) 1999-09-29 2004-07-21 精工爱普生株式会社 打印机及其控制方法
US6287643B1 (en) 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US6875687B1 (en) 1999-10-18 2005-04-05 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
US6399489B1 (en) 1999-11-01 2002-06-04 Applied Materials, Inc. Barrier layer deposition using HDP-CVD
US6537741B2 (en) 1999-11-24 2003-03-25 Nexpress Solutions Llc Fusing belt for applying a protective overcoat to a photographic element
JP3430091B2 (ja) 1999-12-01 2003-07-28 Necエレクトロニクス株式会社 エッチングマスク及びエッチングマスクを用いたコンタクトホールの形成方法並びにその方法で形成した半導体装置
US6818990B2 (en) 2000-04-03 2004-11-16 Rensselaer Polytechnic Institute Fluorine diffusion barriers for fluorinated dielectrics in integrated circuits
US6417092B1 (en) 2000-04-05 2002-07-09 Novellus Systems, Inc. Low dielectric constant etch stop films
US6303476B1 (en) 2000-06-12 2001-10-16 Ultratech Stepper, Inc. Thermally induced reflectivity switch for laser thermal processing
US6863019B2 (en) 2000-06-13 2005-03-08 Applied Materials, Inc. Semiconductor device fabrication chamber cleaning method and apparatus with recirculation of cleaning gas
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6794311B2 (en) 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
US6352921B1 (en) 2000-07-19 2002-03-05 Chartered Semiconductor Manufacturing Ltd. Use of boron carbide as an etch-stop and barrier layer for copper dual damascene metallization
US6764958B1 (en) 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6774489B2 (en) 2000-08-29 2004-08-10 Texas Instruments Incorporated Dielectric layer liner for an integrated circuit structure
TW535253B (en) 2000-09-08 2003-06-01 Applied Materials Inc Plasma treatment of silicon carbide films
US6465366B1 (en) 2000-09-12 2002-10-15 Applied Materials, Inc. Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
US6365527B1 (en) 2000-10-06 2002-04-02 United Microelectronics Corp. Method for depositing silicon carbide in semiconductor devices
US6448186B1 (en) 2000-10-06 2002-09-10 Novellus Systems, Inc. Method and apparatus for use of hydrogen and silanes in plasma
US6576345B1 (en) 2000-11-30 2003-06-10 Novellus Systems Inc Dielectric films with low dielectric constants
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US6936533B2 (en) * 2000-12-08 2005-08-30 Samsung Electronics, Co., Ltd. Method of fabricating semiconductor devices having low dielectric interlayer insulation layer
KR100705189B1 (ko) 2000-12-30 2007-04-06 주식회사 하이닉스반도체 반도체 소자의 박막 형성 방법
WO2002058112A2 (en) 2001-01-22 2002-07-25 N.V.Bekaert S.A. Copper diffusion barriers
US6537733B2 (en) 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US6455409B1 (en) 2001-02-28 2002-09-24 Advanced Micro Devices, Inc. Damascene processing using a silicon carbide hard mask
US6716770B2 (en) 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
KR100414156B1 (ko) 2001-05-29 2004-01-07 삼성전자주식회사 집적회로소자의 캐패시터 제조방법
US6506692B2 (en) 2001-05-30 2003-01-14 Intel Corporation Method of making a semiconductor device using a silicon carbide hard mask
US6573606B2 (en) 2001-06-14 2003-06-03 International Business Machines Corporation Chip to wiring interface with single metal alloy layer applied to surface of copper interconnect
US6391803B1 (en) * 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US7057251B2 (en) 2001-07-20 2006-06-06 Reflectivity, Inc MEMS device made of transition metal-dielectric oxide materials
US6846745B1 (en) 2001-08-03 2005-01-25 Novellus Systems, Inc. High-density plasma process for filling high aspect ratio structures
WO2003015129A2 (en) 2001-08-06 2003-02-20 Advanced Technology Material, Inc. Low-k dielectric thin films and chemical vapor deposition method of making same
CN1305119C (zh) 2001-08-30 2007-03-14 东京毅力科创株式会社 成膜方法和成膜装置
JP4938962B2 (ja) 2001-09-14 2012-05-23 エーエスエム インターナショナル エヌ.ヴェー. ゲッタリング反応物を用いるaldによる金属窒化物堆積
US20030154141A1 (en) 2001-09-18 2003-08-14 Pro Corp Holdings International Ltd. Image recognition inventory management system
US6759327B2 (en) 2001-10-09 2004-07-06 Applied Materials Inc. Method of depositing low k barrier layers
KR100420598B1 (ko) 2001-11-28 2004-03-02 동부전자 주식회사 알루미늄을 이용한 구리 확산 방지 막 형성방법
US6670715B2 (en) 2001-12-05 2003-12-30 United Microelectronics Corp. Bilayer silicon carbide based barrier
US6838393B2 (en) 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US7091137B2 (en) 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US6699784B2 (en) 2001-12-14 2004-03-02 Applied Materials Inc. Method for depositing a low k dielectric film (K>3.5) for hard mask application
US6890850B2 (en) * 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6679978B2 (en) 2002-02-22 2004-01-20 Afg Industries, Inc. Method of making self-cleaning substrates
US6818570B2 (en) 2002-03-04 2004-11-16 Asm Japan K.K. Method of forming silicon-containing insulation film having low dielectric constant and high mechanical strength
KR100449028B1 (ko) 2002-03-05 2004-09-16 삼성전자주식회사 원자층 증착법을 이용한 박막 형성방법
US20030194496A1 (en) 2002-04-11 2003-10-16 Applied Materials, Inc. Methods for depositing dielectric material
US6935553B2 (en) 2002-04-16 2005-08-30 Senju Metal Industry Co., Ltd. Reflow soldering method
JP4683825B2 (ja) 2002-04-24 2011-05-18 株式会社半導体エネルギー研究所 半導体装置およびその作製方法
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
DE50302862D1 (de) 2002-05-24 2006-05-18 Schott Ag Vorrichtung für CVD-Beschichtungen
US20060014384A1 (en) 2002-06-05 2006-01-19 Jong-Cheol Lee Method of forming a layer and forming a capacitor of a semiconductor device having the same layer
US7547635B2 (en) 2002-06-14 2009-06-16 Lam Research Corporation Process for etching dielectric films with improved resist and/or etch profile characteristics
US6767836B2 (en) 2002-09-04 2004-07-27 Asm Japan K.K. Method of cleaning a CVD reaction chamber using an active oxygen species
JP3991315B2 (ja) 2002-09-17 2007-10-17 キヤノンアネルバ株式会社 薄膜形成装置及び方法
US6803313B2 (en) 2002-09-27 2004-10-12 Advanced Micro Devices, Inc. Method for forming a hardmask employing multiple independently formed layers of a pecvd material to reduce pinholes
US6991959B2 (en) 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
JP4066332B2 (ja) 2002-10-10 2008-03-26 日本エー・エス・エム株式会社 シリコンカーバイド膜の製造方法
JP4109531B2 (ja) * 2002-10-25 2008-07-02 松下電器産業株式会社 半導体装置及びその製造方法
US7485570B2 (en) 2002-10-30 2009-02-03 Fujitsu Limited Silicon oxycarbide, growth method of silicon oxycarbide layer, semiconductor device and manufacture method for semiconductor device
DE10250889B4 (de) * 2002-10-31 2006-12-07 Advanced Micro Devices, Inc., Sunnyvale Verbesserte SiC-Barrierenschicht für eine Kupfermetallisierungsschicht mit einem Dielektrikum mit kleinem ε und Verfahren zur Herstellung derselben
US20040084774A1 (en) 2002-11-02 2004-05-06 Bo Li Gas layer formation materials
US20040232552A1 (en) 2002-12-09 2004-11-25 Advanced Micro Devices, Inc. Air gap dual damascene process and structure
US6825130B2 (en) 2002-12-12 2004-11-30 Asm Japan K.K. CVD of porous dielectric materials
US6975032B2 (en) 2002-12-16 2005-12-13 International Business Machines Corporation Copper recess process with application to selective capping and electroless plating
US7972663B2 (en) 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US7365029B2 (en) 2002-12-20 2008-04-29 Applied Materials, Inc. Method for silicon nitride chemical vapor deposition
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US6855645B2 (en) 2002-12-30 2005-02-15 Novellus Systems, Inc. Silicon carbide having low dielectric constant
US6790788B2 (en) 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers
US7238393B2 (en) 2003-02-13 2007-07-03 Asm Japan K.K. Method of forming silicon carbide films
US7084076B2 (en) 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US6869542B2 (en) 2003-03-12 2005-03-22 International Business Machines Corporation Hard mask integrated etch process for patterning of silicon oxide and other dielectric materials
US7208389B1 (en) 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
US7081673B2 (en) 2003-04-17 2006-07-25 International Business Machines Corporation Multilayered cap barrier in microelectronic interconnect structures
US7115534B2 (en) 2003-05-19 2006-10-03 Applied Materials, Inc. Dielectric materials to prevent photoresist poisoning
JP2004363241A (ja) * 2003-06-03 2004-12-24 Advanced Lcd Technologies Development Center Co Ltd 結晶化半導体層の形成方法及び形成装置ならびに半導体装置の製造方法
KR20050002525A (ko) 2003-06-30 2005-01-07 주식회사 하이닉스반도체 반도체 소자의 확산방지막 제조방법
JP3966249B2 (ja) 2003-07-30 2007-08-29 日産自動車株式会社 半導体装置及び半導体装置の製造方法
US7018560B2 (en) 2003-08-05 2006-03-28 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Composition for polishing semiconductor layers
US6849561B1 (en) 2003-08-18 2005-02-01 Asm Japan K.K. Method of forming low-k films
US7420275B1 (en) 2003-09-24 2008-09-02 Novellus Systems, Inc. Boron-doped SIC copper diffusion barrier films
US6967405B1 (en) 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
US20050100682A1 (en) 2003-11-06 2005-05-12 Tokyo Electron Limited Method for depositing materials on a substrate
US7163896B1 (en) 2003-12-10 2007-01-16 Novellus Systems, Inc. Biased H2 etch process in deposition-etch-deposition gap fill
EP1703328B1 (en) 2003-12-26 2010-04-14 Nissan Chemical Industries, Ltd. Composition for forming nitride coating film for hard mask
KR100743745B1 (ko) 2004-01-13 2007-07-27 동경 엘렉트론 주식회사 반도체장치의 제조방법 및 성막시스템
US7405147B2 (en) 2004-01-30 2008-07-29 International Business Machines Corporation Device and methodology for reducing effective dielectric constant in semiconductor devices
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7381662B1 (en) 2004-03-11 2008-06-03 Novellus Systems, Inc. Methods for improving the cracking resistance of low-k dielectric materials
US7030041B2 (en) 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7695590B2 (en) 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US7102232B2 (en) 2004-04-19 2006-09-05 International Business Machines Corporation Structure to improve adhesion between top CVD low-k dielectric and dielectric capping layer
US20050233555A1 (en) 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
JP5113982B2 (ja) 2004-04-23 2013-01-09 トヨタ自動車株式会社 金属炭化物粒子が分散した炭素複合材料の製造方法
US7285842B2 (en) 2004-04-27 2007-10-23 Polyset Company, Inc. Siloxane epoxy polymers as metal diffusion barriers to reduce electromigration
US7259090B2 (en) 2004-04-28 2007-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Copper damascene integration scheme for improved barrier layers
US7067409B2 (en) 2004-05-10 2006-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma treatment at film layer to reduce sheet resistance and to improve via contact resistance
US7622400B1 (en) 2004-05-18 2009-11-24 Novellus Systems, Inc. Method for improving mechanical properties of low dielectric constant materials
US20050277302A1 (en) 2004-05-28 2005-12-15 Nguyen Son V Advanced low dielectric constant barrier layers
US7282438B1 (en) 2004-06-15 2007-10-16 Novellus Systems, Inc. Low-k SiC copper diffusion barrier films
JP2006013190A (ja) 2004-06-28 2006-01-12 Rohm Co Ltd 半導体装置の製造方法
US7129187B2 (en) 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
US7132374B2 (en) 2004-08-17 2006-11-07 Cecilia Y. Mak Method for depositing porous films
WO2007001337A2 (en) 2004-08-18 2007-01-04 Dow Corning Corporation Coated substrates and methods for their preparation
US7422776B2 (en) 2004-08-24 2008-09-09 Applied Materials, Inc. Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
US7166544B2 (en) 2004-09-01 2007-01-23 Applied Materials, Inc. Method to deposit functionally graded dielectric films via chemical vapor deposition using viscous precursors
GB0423685D0 (en) 2004-10-26 2004-11-24 Dow Corning Ireland Ltd Improved method for coating a substrate
US7335980B2 (en) 2004-11-04 2008-02-26 International Business Machines Corporation Hardmask for reliability of silicon based dielectrics
US7695765B1 (en) 2004-11-12 2010-04-13 Novellus Systems, Inc. Methods for producing low-stress carbon-doped oxide films with improved integration properties
WO2006057464A2 (ja) 2004-11-29 2006-06-01 Univ Tokyo Nat Univ Corp シリコンナノ線状体の製造方法およびシリコンナノ線状体
US7259111B2 (en) 2005-01-19 2007-08-21 Applied Materials, Inc. Interface engineering to improve adhesion between low k stacks
TW200631095A (en) 2005-01-27 2006-09-01 Koninkl Philips Electronics Nv A method of manufacturing a semiconductor device
US7189658B2 (en) 2005-05-04 2007-03-13 Applied Materials, Inc. Strengthening the interface between dielectric layers and barrier layers with an oxide layer of varying composition profile
US7972910B2 (en) 2005-06-03 2011-07-05 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of integrated circuit device including thin film transistor
JP2007053133A (ja) 2005-08-15 2007-03-01 Toshiba Corp 半導体装置及びその製造方法
US8021992B2 (en) 2005-09-01 2011-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. High aspect ratio gap fill application using high density plasma chemical vapor deposition
JP4837370B2 (ja) 2005-12-05 2011-12-14 東京エレクトロン株式会社 成膜方法
US7718518B2 (en) 2005-12-16 2010-05-18 Asm International N.V. Low temperature doped silicon layer formation
JPWO2007080944A1 (ja) * 2006-01-13 2009-06-11 東京エレクトロン株式会社 多孔質膜の成膜方法およびコンピュータ可読記録媒体
US20070173071A1 (en) 2006-01-20 2007-07-26 International Business Machines Corporation SiCOH dielectric
US7695567B2 (en) 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
US8138082B2 (en) 2006-02-28 2012-03-20 Stmicroelectronics (Crolles 2) Sas Method for forming metal interconnects in a dielectric material
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7744746B2 (en) 2006-03-31 2010-06-29 Exxonmobil Research And Engineering Company FCC catalyst stripper configuration
WO2007116492A1 (ja) 2006-03-31 2007-10-18 Fujitsu Microelectronics Limited 半導体装置の製造方法
US7528078B2 (en) 2006-05-12 2009-05-05 Freescale Semiconductor, Inc. Process of forming electronic device including a densified nitride layer adjacent to an opening within a semiconductor layer
EP2036120A4 (en) * 2006-05-30 2012-02-08 Applied Materials Inc NOVEL PLASMA CURING AND PLASMA CURING PROCESS TO ENHANCE THE QUALITY OF SILICON DIOXIDE FILM
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7851384B2 (en) 2006-06-01 2010-12-14 Applied Materials, Inc. Method to mitigate impact of UV and E-beam exposure on semiconductor device film properties by use of a bilayer film
US7514375B1 (en) 2006-08-08 2009-04-07 Novellus Systems, Inc. Pulsed bias having high pulse frequency for filling gaps with dielectric material
JP5380797B2 (ja) 2006-08-21 2014-01-08 富士通株式会社 半導体デバイスの製造方法
US20080064173A1 (en) 2006-09-08 2008-03-13 United Microelectronics Corp. Semiconductor device, cmos device and fabricating methods of the same
US8956457B2 (en) 2006-09-08 2015-02-17 Tokyo Electron Limited Thermal processing system for curing dielectric films
US8053372B1 (en) 2006-09-12 2011-11-08 Novellus Systems, Inc. Method of reducing plasma stabilization time in a cyclic deposition process
US7759241B2 (en) 2006-09-15 2010-07-20 Intel Corporation Group II element alloys for protecting metal interconnects
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US7550758B2 (en) 2006-10-31 2009-06-23 Atmel Corporation Method for providing a nanoscale, high electron mobility transistor (HEMT) on insulator
US7749892B2 (en) 2006-11-29 2010-07-06 International Business Machines Corporation Embedded nano UV blocking and diffusion barrier for improved reliability of copper/ultra low K interlevel dielectric electronic devices
US20080128907A1 (en) 2006-12-01 2008-06-05 International Business Machines Corporation Semiconductor structure with liner
US20080178805A1 (en) 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
DE102006058771B4 (de) 2006-12-12 2018-03-01 Schott Ag Behälter mit verbesserter Restentleerbarkeit und Verfahren zu dessen Herstellung
US20080156264A1 (en) 2006-12-27 2008-07-03 Novellus Systems, Inc. Plasma Generator Apparatus
US8017522B2 (en) 2007-01-24 2011-09-13 International Business Machines Corporation Mechanically robust metal/low-κ interconnects
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
AU2008221198A1 (en) 2007-02-27 2008-09-04 Sixtron Advanced Materials, Inc. Method for forming a film on a substrate
CN101017834A (zh) 2007-03-02 2007-08-15 上海集成电路研发中心有限公司 一种soi集成电路结构及其制作方法
JP5140290B2 (ja) 2007-03-02 2013-02-06 富士フイルム株式会社 絶縁膜
TWI333676B (en) 2007-03-22 2010-11-21 United Microelectronics Corp Method for manufacturing mos transistor utilizing hybrid a hard mask
US7615482B2 (en) 2007-03-23 2009-11-10 International Business Machines Corporation Structure and method for porous SiCOH dielectric layers and adhesion promoting or etch stop layers having increased interfacial and mechanical strength
US8173537B1 (en) 2007-03-29 2012-05-08 Novellus Systems, Inc. Methods for reducing UV and dielectric diffusion barrier interaction
US20090264277A1 (en) 2007-04-17 2009-10-22 Dr. Rishi Raj Picoscale catalysts for hydrogen catalysis
US20100327413A1 (en) 2007-05-03 2010-12-30 Lam Research Corporation Hardmask open and etch profile control with hardmask open
US7955955B2 (en) 2007-05-10 2011-06-07 International Business Machines Corporation Using crack arrestor for inhibiting damage from dicing and chip packaging interaction failures in back end of line structures
JP5022116B2 (ja) 2007-06-18 2012-09-12 三菱重工業株式会社 半導体装置の製造方法及び製造装置
US8021514B2 (en) 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
CN101743631B (zh) 2007-07-13 2012-12-26 应用材料公司 硼衍生的材料的沉积方法
US20090061649A1 (en) 2007-08-28 2009-03-05 International Business Machines Corporation LOW k POROUS SiCOH DIELECTRIC AND INTEGRATION WITH POST FILM FORMATION TREATMENT
JP2009075285A (ja) 2007-09-20 2009-04-09 Fujifilm Corp 半導体デバイスの剥離液、及び、剥離方法
US7964442B2 (en) 2007-10-09 2011-06-21 Applied Materials, Inc. Methods to obtain low k dielectric barrier with superior etch resistivity
WO2009057223A1 (ja) 2007-11-02 2009-05-07 Canon Anelva Corporation 表面処理装置およびその基板処理方法
US9217200B2 (en) * 2007-12-21 2015-12-22 Asm International N.V. Modification of nanoimprint lithography templates by atomic layer deposition
US8338315B2 (en) 2008-02-26 2012-12-25 Axcelis Technologies, Inc. Processes for curing silicon based low-k dielectric materials
US7648899B1 (en) 2008-02-28 2010-01-19 Novellus Systems, Inc. Interfacial layers for electromigration resistance improvement in damascene interconnects
US9591738B2 (en) 2008-04-03 2017-03-07 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma
KR20090106112A (ko) 2008-04-04 2009-10-08 울산대학교 산학협력단 다결정 탄화규소 버퍼층위에 마이크로 또는 나노전자기계시스템용 질화알루미늄막 증착방법
US8124522B1 (en) 2008-04-11 2012-02-28 Novellus Systems, Inc. Reducing UV and dielectric diffusion barrier interaction through the modulation of optical properties
US20090258487A1 (en) 2008-04-14 2009-10-15 Keng-Chu Lin Method for Improving the Reliability of Low-k Dielectric Materials
CN104141112B (zh) 2008-05-07 2017-09-19 普林斯顿大学理事会 用于电子器件或其他物品上的涂层中的混合层
KR101629193B1 (ko) 2008-06-26 2016-06-10 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Soi 기판의 제작 방법
US20100025370A1 (en) 2008-08-04 2010-02-04 Applied Materials, Inc. Reactive gas distributor, reactive gas treatment system, and reactive gas treatment method
US8168268B2 (en) 2008-12-12 2012-05-01 Ovishinsky Innovation, LLC Thin film deposition via a spatially-coordinated and time-synchronized process
US8916022B1 (en) 2008-09-12 2014-12-23 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US8809195B2 (en) * 2008-10-20 2014-08-19 Asm America, Inc. Etching high-k materials
US8637396B2 (en) 2008-12-01 2014-01-28 Air Products And Chemicals, Inc. Dielectric barrier deposition using oxygen containing precursor
US20100224322A1 (en) 2009-03-03 2010-09-09 Applied Materials, Inc. Endpoint detection for a reactor chamber using a remote plasma chamber
KR101823159B1 (ko) * 2009-05-13 2018-01-29 에스아이오2 메디컬 프로덕츠, 인크. 유기실리콘 전구체를 이용한 pecvd 코팅
US8268722B2 (en) * 2009-06-03 2012-09-18 Novellus Systems, Inc. Interfacial capping layers for interconnects
US20100317198A1 (en) 2009-06-12 2010-12-16 Novellus Systems, Inc. Remote plasma processing of interface surfaces
US8084339B2 (en) 2009-06-12 2011-12-27 Novellus Systems, Inc. Remote plasma processing of interface surfaces
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
CN102576667A (zh) 2009-07-22 2012-07-11 应用材料公司 中空阴极喷头
US8071451B2 (en) 2009-07-29 2011-12-06 Axcelis Technologies, Inc. Method of doping semiconductors
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8202783B2 (en) 2009-09-29 2012-06-19 International Business Machines Corporation Patternable low-k dielectric interconnect structure with a graded cap layer and method of fabrication
US8247332B2 (en) 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
JP5656010B2 (ja) 2009-12-04 2015-01-21 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated ハードマスク膜を形成する方法およびハードマスク膜を成膜する装置
US8178443B2 (en) 2009-12-04 2012-05-15 Novellus Systems, Inc. Hardmask materials
KR101758944B1 (ko) 2009-12-09 2017-07-18 노벨러스 시스템즈, 인코포레이티드 신규한 갭 충진 집적화
JP5394270B2 (ja) 2010-01-25 2014-01-22 株式会社東芝 不揮発性半導体記憶装置及びその製造方法
US8399350B2 (en) 2010-02-05 2013-03-19 International Business Machines Corporation Formation of air gap with protection of metal lines
KR101123829B1 (ko) 2010-02-12 2012-03-20 국제엘렉트릭코리아 주식회사 기판 처리 장치 및 방법
US8349746B2 (en) 2010-02-23 2013-01-08 Applied Materials, Inc. Microelectronic structure including a low k dielectric and a method of controlling carbon distribution in the structure
JP5476161B2 (ja) 2010-03-02 2014-04-23 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US8563445B2 (en) 2010-03-05 2013-10-22 Applied Materials, Inc. Conformal layers by radical-component CVD
KR20130055582A (ko) 2010-03-17 2013-05-28 어플라이드 머티어리얼스, 인코포레이티드 원격 플라즈마 소오스 지원형 실리콘 함유 막 증착을 위한 장치 및 방법
US20130157466A1 (en) 2010-03-25 2013-06-20 Keith Fox Silicon nitride films for semiconductor device applications
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US20120142172A1 (en) 2010-03-25 2012-06-07 Keith Fox Pecvd deposition of smooth polysilicon films
US8288292B2 (en) 2010-03-30 2012-10-16 Novellus Systems, Inc. Depositing conformal boron nitride film by CVD without plasma
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
JP5123349B2 (ja) 2010-04-19 2013-01-23 Hoya株式会社 多階調マスクの製造方法
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
TW201216331A (en) 2010-10-05 2012-04-16 Applied Materials Inc Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
WO2012061593A2 (en) 2010-11-03 2012-05-10 Applied Materials, Inc. Apparatus and methods for deposition of silicon carbide and silicon carbonitride films
CN102468434A (zh) 2010-11-17 2012-05-23 中芯国际集成电路制造(北京)有限公司 相变存储器的制作方法
KR101787041B1 (ko) 2010-11-17 2017-10-18 삼성전자주식회사 식각방지막이 구비된 반도체 소자 및 그 제조방법
US20120149213A1 (en) 2010-12-09 2012-06-14 Lakshminarayana Nittala Bottom up fill in high aspect ratio trenches
US8329599B2 (en) 2011-02-18 2012-12-11 Asm Japan K.K. Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
CN102693931A (zh) 2011-03-23 2012-09-26 中国科学院微电子研究所 一种薄膜填充方法
WO2012134605A1 (en) 2011-03-25 2012-10-04 Applied Materials, Inc. Method and apparatus for thermocouple installation or replacement in a substrate support
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US8637412B2 (en) 2011-08-19 2014-01-28 International Business Machines Corporation Process to form an adhesion layer and multiphase ultra-low k dielectric material using PECVD
KR101334640B1 (ko) 2011-08-22 2013-11-29 서울시립대학교 산학협력단 고강도 실리콘옥시카바이드 결합 탄화규소 소재 제조용 조성물, 탄화규소 소재 및 그 제조방법
JP2013055136A (ja) 2011-09-01 2013-03-21 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
US20130217239A1 (en) 2011-09-09 2013-08-22 Applied Materials, Inc. Flowable silicon-and-carbon-containing layers for semiconductor processing
JP2013074093A (ja) 2011-09-28 2013-04-22 Renesas Electronics Corp リフロー前処理装置およびリフロー前処理方法
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
JPWO2013073216A1 (ja) 2011-11-14 2015-04-02 住友電気工業株式会社 炭化珪素基板、半導体装置およびこれらの製造方法
US9041041B2 (en) 2012-01-07 2015-05-26 Nec Corporation Optical device, optical element, and image display device
US8586487B2 (en) 2012-01-18 2013-11-19 Applied Materials, Inc. Low temperature plasma enhanced chemical vapor deposition of conformal silicon carbon nitride and silicon nitride films
US20130242493A1 (en) 2012-03-13 2013-09-19 Qualcomm Mems Technologies, Inc. Low cost interposer fabricated with additive processes
US20130298942A1 (en) 2012-05-14 2013-11-14 Applied Materials, Inc. Etch remnant removal
US9978585B2 (en) 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US20180330945A1 (en) 2012-06-12 2018-11-15 Lam Research Corporation Remote plasma based deposition of silicon carbide films using silicon-containing and carbon-containing precursors
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US20180347035A1 (en) 2012-06-12 2018-12-06 Lam Research Corporation Conformal deposition of silicon carbide films using heterogeneous precursor interaction
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
JP6172660B2 (ja) 2012-08-23 2017-08-02 東京エレクトロン株式会社 成膜装置、及び、低誘電率膜を形成する方法
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
JP6047795B2 (ja) 2012-11-12 2016-12-21 日東電工株式会社 アンテナモジュール
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
CN105143503A (zh) 2012-12-21 2015-12-09 普拉萨德·纳哈·加吉尔 陶瓷薄膜低温沉积方法
US8766404B1 (en) 2013-01-10 2014-07-01 Intermolecular, Inc. Device design for partially oriented rutile dielectrics
US8928149B2 (en) 2013-03-12 2015-01-06 Macronix International Co., Ltd. Interlayer conductor and method for forming
KR102136769B1 (ko) 2013-03-14 2020-07-22 어플라이드 머티어리얼스, 인코포레이티드 Pecvd 프로세스에서 우수한 접착 강도를 갖고 유전 상수 증가를 최소화하기 위한 접착 층
US20140302690A1 (en) 2013-04-04 2014-10-09 Applied Materials, Inc. Chemical linkers to impart improved mechanical strength to flowable films
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US9382268B1 (en) 2013-07-19 2016-07-05 American Air Liquide, Inc. Sulfur containing organosilane precursors for ALD/CVD silicon-containing film applications
US8927442B1 (en) 2013-07-25 2015-01-06 International Business Machines Corporation SiCOH hardmask with graded transition layers
US9543140B2 (en) 2013-10-16 2017-01-10 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9145607B2 (en) 2013-10-22 2015-09-29 Lam Research Corporation Tandem source activation for cyclical deposition of films
US9371579B2 (en) 2013-10-24 2016-06-21 Lam Research Corporation Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
JP6267953B2 (ja) 2013-12-19 2018-01-24 東京エレクトロン株式会社 半導体装置の製造方法
US9362186B2 (en) 2014-07-18 2016-06-07 Applied Materials, Inc. Polishing with eddy current feed meaurement prior to deposition of conductive layer
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9520295B2 (en) 2015-02-03 2016-12-13 Lam Research Corporation Metal doping of amorphous carbon and silicon films used as hardmasks in substrate processing systems
SG10201600832VA (en) 2015-02-06 2016-09-29 Novellus Systems Inc Conformal deposition of silicon carbide films
US9391086B1 (en) 2015-02-23 2016-07-12 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method of manufacturing nonvolatile semiconductor memory device
US20160268286A1 (en) 2015-03-11 2016-09-15 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device and semiconductor device
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
KR102634196B1 (ko) 2015-06-05 2024-02-06 어플라이드 머티어리얼스, 인코포레이티드 붕소-도핑된 탄소 막들을 위한 정전 척킹 및 우수한 입자 성능을 가능하게 하기 위한 그레이딩된 인-시튜 전하 트랩핑 층들
US20180202042A1 (en) 2015-07-09 2018-07-19 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Alkylamino-substituted halocarbosilane precursors
WO2017049253A1 (en) 2015-09-18 2017-03-23 Applied Materials, Inc. Methods for depositing conformal bcn films
US10418243B2 (en) 2015-10-09 2019-09-17 Applied Materials, Inc. Ultra-high modulus and etch selectivity boron-carbon hardmask films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
IL305582A (en) 2015-12-21 2023-10-01 Versum Mat Us Llc Compositions and methods using them for depositing a silicon-containing layer
CN109417048A (zh) 2016-06-25 2019-03-01 应用材料公司 用于间隙填充应用的可流动非晶硅膜
US20180033614A1 (en) 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
US10468244B2 (en) 2016-08-30 2019-11-05 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
TW201822259A (zh) 2016-09-09 2018-06-16 美商諾發系統有限公司 氧摻雜矽碳化物膜之基於遠程電漿的沉積
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
JP6807775B2 (ja) 2017-02-28 2021-01-06 東京エレクトロン株式会社 成膜方法及びプラズマ処理装置
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
JP7487189B2 (ja) 2018-10-19 2024-05-20 ラム リサーチ コーポレーション 間隙充填のためのドープまたは非ドープシリコン炭化物および遠隔水素プラズマ曝露

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050069986A (ko) * 2002-08-18 2005-07-05 에비자 테크놀로지, 인크. 실리콘 산화물 및 산질화물의 저온 증착
KR20110134521A (ko) * 2002-10-07 2011-12-14 어플라이드 머티어리얼스, 인코포레이티드 차세대 다마신 배리어 용도를 위한 양호한 내산화성의 두-층 필름
KR20090126318A (ko) * 2007-03-30 2009-12-08 도쿄엘렉트론가부시키가이샤 스트레인드 실리콘 질화물막들의 형성 방법 및 이러한 막들을 포함하는 장치
KR20110082025A (ko) * 2008-10-01 2011-07-15 어플라이드 머티어리얼스, 인코포레이티드 질화규소계 필름 또는 규소 탄소계 필름을 형성시키는 방법
KR20130032343A (ko) * 2010-02-04 2013-04-01 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 실리콘 함유 막을 제조하는 방법

Also Published As

Publication number Publication date
SG10201402381UA (en) 2014-12-30
KR102494203B1 (ko) 2023-01-31
TW201510268A (zh) 2015-03-16
US20140356549A1 (en) 2014-12-04
TWI659122B (zh) 2019-05-11
US20220220611A1 (en) 2022-07-14
KR20220044936A (ko) 2022-04-12
US11680315B2 (en) 2023-06-20
KR20230087429A (ko) 2023-06-16
TW201839162A (zh) 2018-11-01
US11708634B2 (en) 2023-07-25
TWI640647B (zh) 2018-11-11
KR102494204B1 (ko) 2023-01-31
US9234276B2 (en) 2016-01-12
KR102545881B1 (ko) 2023-06-20
US20160090649A1 (en) 2016-03-31
US20220098727A1 (en) 2022-03-31
KR20220047553A (ko) 2022-04-18
US11680314B2 (en) 2023-06-20
US20220220608A1 (en) 2022-07-14
US10472714B2 (en) 2019-11-12
KR20140141533A (ko) 2014-12-10
KR102175046B1 (ko) 2020-11-06
US20190382885A1 (en) 2019-12-19
SG10201800762VA (en) 2018-03-28
US20220220609A1 (en) 2022-07-14
KR20220047243A (ko) 2022-04-15
KR102500935B1 (ko) 2023-02-16
KR20200127942A (ko) 2020-11-11
KR20220047244A (ko) 2022-04-15
KR102317858B1 (ko) 2021-10-26
KR102542262B1 (ko) 2023-06-12
US20220220610A1 (en) 2022-07-14
US11732350B2 (en) 2023-08-22

Similar Documents

Publication Publication Date Title
KR102317858B1 (ko) 목표 조성 및 막 특성들을 갖는 SiC 부류의 막들을 획득하는 방법
KR102406467B1 (ko) 등급화된 실리콘 카바이드 막 또는 다층 실리콘 카바이드 막의 리모트 플라즈마 기반 증착
US10211310B2 (en) Remote plasma based deposition of SiOC class of films
KR102492447B1 (ko) 산소 도핑된 실리콘 카바이드 막들의 리모트 플라즈마 기반 증착

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
A107 Divisional application of patent
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant