KR20060082862A - 기판 처리 장치 - Google Patents

기판 처리 장치 Download PDF

Info

Publication number
KR20060082862A
KR20060082862A KR1020067005001A KR20067005001A KR20060082862A KR 20060082862 A KR20060082862 A KR 20060082862A KR 1020067005001 A KR1020067005001 A KR 1020067005001A KR 20067005001 A KR20067005001 A KR 20067005001A KR 20060082862 A KR20060082862 A KR 20060082862A
Authority
KR
South Korea
Prior art keywords
electrode
substrate
gas
electrodes
processing apparatus
Prior art date
Application number
KR1020067005001A
Other languages
English (en)
Other versions
KR100817644B1 (ko
Inventor
시즈에 오가와
가즈유키 도요다
모토나리 다케바야시
노부오 이시마루
다다시 곤타니
Original Assignee
가부시키가이샤 히다치 고쿠사이 덴키
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 히다치 고쿠사이 덴키 filed Critical 가부시키가이샤 히다치 고쿠사이 덴키
Publication of KR20060082862A publication Critical patent/KR20060082862A/ko
Application granted granted Critical
Publication of KR100817644B1 publication Critical patent/KR100817644B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명의 기판 처리 장치는, 웨이퍼(200)를 수용하는 처리실(201)과, 처리실(201) 내에 처리 가스를 공급하는 가스 공급계(232a, 232b)와, 처리실(201) 내의 분위기를 배기하는 배기계(231, 246)와, 처리 가스를 플라즈마화하기 위하여, 보호관(275) 내에 삽입 발출 가능하게 수용된 한 쌍의 전극(269, 270)을 갖고, 전극(269, 270)은 가요성의 부재로 구성되며, 적어도 1개소가 굴곡한 상태로 보호관(275) 내에 수용된다.

Description

기판 처리 장치{SUBSTRATE PROCESSING DEVICE}
본 발명은, 기판 처리 장치에 관한 것으로, 특히, 플라즈마에 의하여 여기(勵起)된 처리 가스를 이용하여 반도체 웨이퍼에 성막(成膜)을 행하는 기판 처리 장치에 관한 것이다.
플라즈마에 의하여 여기된 처리 가스를 이용하여 반도체 웨이퍼에 성막을 행하는 기판 처리 장치로는, 처리 가스와의 반응을 방지하기 위하여, 플라즈마를 발생시키는 전극을 보호관 내에 수용하는 구조로 된 것이 있다.(일본국 특개2002-280378호 공보 참조)
본 발명자들은, 그와 같은 구조의 기판 처리 장치에서는, 플라즈마를 균일하게 발생시킬 수 있음과 동시에, 장치로의 장착이 용이한 구조로 된 전극이 바람직하다는 점을 발견하였다.
따라서, 본 발명의 주된 목적은, 플라즈마를 균일하게 발생시킬 수 있음과 동시에, 장치로의 장착이 용이한 구조로 된 전극을 구비한 기판 처리 장치를 제공하는 데에 있다.
본 발명의 일 형태에 의하면,
적어도 하나의 기판을 수용하는 처리실과,
상기 처리실 내에 처리 가스를 공급하는 가스 공급계와,
상기 처리실 내의 분위기를 배기하는 배기계와,
상기 처리 가스를 활성인 상태로 하기 위하여, 보호관 내에 삽입 발출 가능하게 수용된, 적어도 한 쌍의 전극을 갖고,
상기 전극은, 적어도 1개소가 굴곡한 상태로 상기 보호관 내에 수용되고, 또한, 상기 전극은 가요성(可撓性)의 부재로 구성한 것을 특징으로 하는 기판 처리 장치가 제공된다.
도 1은 본 발명의 실시예1에 따른 기판 처리 장치의 세로형의 기판 처리로(爐)를 설명하기 위한 개략 종단면도,
도 2는 본 발명의 실시예1에 따른 기판 처리 장치의 세로형의 기판 처리로를 설명하기 위한 개략 횡단면도,
도 3은 본 발명의 실시예1에 따른 기판 처리 장치의 세로형의 기판 처리로에 사용하는 플라즈마 발생용 전극을 설명하기 위한 개략도,
도 4는 본 발명의 실시예1에 따른 기판 처리 장치의 세로형의 기판 처리로에 사용하는 플라즈마 발생용 전극을 설명하기 위한 개략도,
도 5는 본 발명의 실시예1에 따른 기판 처리 장치의 세로형 기판 처리로에 사용하는 플라즈마 발생용 전극을 설명하기 위한 개략도,
도 6은 비교를 위한 세로형의 기판 처리로를 설명하기 위한 개략 종단면도,
도 7은 본 발명의 실시예1에 따른 기판 처리 장치를 설명하기 위한 개략 사시도,
도 8은 본 발명의 실시예1에 따른 기판 처리 장치를 설명하기 위한 개략 종단면도이다.
본 발명의 바람직한 실시 형태에 의하면,
적어도 하나의 기판을 수용하는 처리실과,
상기 처리실 내에 처리 가스를 공급하는 가스 공급계와,
상기 처리실 내의 분위기를 배기하는 배기계와,
상기 처리 가스를 활성인 상태로 하기 위하여, 보호관 내에 삽입 발출 가능하게 수용된, 적어도 한 쌍의 전극을 갖고,
상기 전극은, 적어도 1개소가 굴곡한 상태로 상기 보호관 내에 수용되고, 또한, 상기 전극은 가용성의 부재로 구성한 것을 특징으로 하는 기판 처리 장치가 제공된다.
바람직하게는, 상기 전극은, 선 형상의 도전(導電)부재를 엮어 구성되어 있다. 더욱 바람직하게는, 상기 전극에 심(芯)이 설치되어 있다.
또, 바람직하게는, 상기 전극은, 선 형상의 도전 부재를 엮어 구성한 부재를 중공의 원통 형상으로 한 것이다.
또, 바람직하게는, 상기 전극은, 선 형상의 도전 부재를 다발 지어 구성한 부재로 이루어진다.
또, 바람직하게는, 상기 한 쌍의 전극 각각의 전극은, 각각이 별체의 보호관에 삽입 발출 가능하게 수용되어 있다.
또, 바람직하게는, 상기 한 쌍의 전극 각각의 전극의 외경이, 상기 별체의 보호관 각각의 내경보다 1 내지 2mm 작다.
또, 바람직하게는, 상기 기판 처리 장치가, 복수의 기판을 소정의 간격으로 적층하여 유지하는 기판 유지 부재를, 처리실 내에 수용한 상태로 기판의 처리가 실행되는 장치로서,
상기 한 쌍의 전극이 기판의 적층 방향에 걸쳐 배치되고, 또한, 상기 전극의 단부(端部)가, 상기 기판 유지 부재에 유지되는 상기 전극 단부측 최단(最端)의 기판 위치보다, 적어도 기판 유지 부재에서의 기판끼리의 간격만큼의 길이를 넘도록 설치되어 있다.
이 경우에, 보다 바람직하게는, 상기 전극의 단부가, 상기 기판 유지 부재의 천판의 위치를 넘어선 위치에 설치되어 있다.
또, 본 발명의 바람직한 실시 형태에 의하면,
적어도 하나의 기판을 수용하는 처리실과,
상기 처리실 내에 처리 가스를 공급하는 가스 공급계와,
상기 처리실 내의 분위기를 배기하는 배기계와,
상기 처리 가스를 활성인 상태로 하기 위하여, 보호관 내에 삽입 발출 가능하게 수용된, 적어도 한 쌍의 전극을 갖고,
상기 전극은, 적어도 1개소가 굴곡한 상태로 상기 보호관 내에 수용되고, 또 한, 상기 전극은 가요성의 부재로 구성한 것을 특징으로 하는 기판 처리 장치를 사용하여, 기판을 처리하는 공정을 구비한 반도체 장치의 제조 방법이 제공된다.
다음에, 본 발명의 바람직한 실시예를 설명한다.
본 발명의 바람직한 실시예에 있어서는, 플라즈마를 발생시키는 전극은, 적어도 1개소가 굴곡한 상태로 보호관 내에 수용됨과 동시에, 가요성의 부재로 구성되어 있다.
전극을 가요성으로 함으로써, 보호관 내에 삽입 시에 유연성을 갖게 할 수 있음과 동시에, 열팽창을 억제할 수 있기 때문이다. 또, 반응실 아래로부터 위까지 균일한 방전을 행하기 위하여 가늘고 긴 형상의 전극을 이용하는 경우라도, 보호관에 용이하게 착탈할 수 있다.
또, 플라즈마를 발생시키는 전극을, 선 형상의 도전 부재를 엮어 구성함으로써, 전극 삽입 시의 자유도를 크게 할 수 있어, 열팽창에 의한 변형이 적은 것으로 할 수 있다. 또한, 표면적을 확보할 수 있고, 고주파는 물체의 표면을 흐르는 특성이 있기 때문에, 플라즈마를 효율적으로 발생시킬 수 있게 된다. 특히 전극을 원통 형상에 가공함으로써 보다 좋은 효과를 얻을 수 있다.
플라즈마를 발생시키는 전극에 심을 설치함으로써, 가요성이 있는 전극을 수축 없이 사용할 수 있어, 복수의 웨이퍼에 대하여 보다 균일한 플라즈마를 공급할 수 있다.
또, 플라즈마를 발생시키는 전극을, 선 형상의 도전 부재를 다발 지어 구성한 부재로 이루어지도록 하는 것도 바람직하고, 이와 같이 함으로써, 단면이 둥근 형(丸形)의 굴곡성이 있는 가늘고 긴 형상의 전극을 용이하게 실현할 수 있게 된다.
또한, 도전 부재의 일예로서, 철, 니켈, 탄소, 금 등, 또는 이들을 포함하는 화합물이 바람직하게 이용된다. 이 중에서, 내열성, 금속 오염, 가격을 고려하면, 니켈이 보다 바람직하게 이용된다.
또, 특히 500℃ 이상의 고온 분위기에서 이용하는 경우는, 텅스텐, 몰리브덴 등의 고융화점 금속이나 탄소섬유 등도 바람직하게 이용된다.
실시예1
다음에, 도면을 참조하여 본 발명의 실시예를 보다 상세하게 설명한다.
본 실시예로서, 웨이퍼 등의 기판으로의 프로세스 처리예로서 ALD(Atomic Layer Deposition)법을 이용한 성막 처리에 대하여, 간단하게 설명한다.
ALD법은, 어떤 성막 조건(온도, 시간 등) 하에서, 성막에 이용하는 2종류(또는 그 이상)의 원료가 되는 가스를 1종류씩 교대로 기판상에 공급하고, 1원자층 단위로 흡착시켜, 표면 반응을 이용하여 성막을 행하는 방법이다.
즉, 이용하는 화학 반응은, 예를 들면 SiN(질화규소)막 형성의 경우 ALD법에서는 DCS(SiH2Cl2, 디클로로실란)과 NH3(암모니아)를 이용하여 300∼60O℃의 저온에서 고품질의 성막이 가능하다. 또, 성막에 필요한 원료 가스는, 복수 종류의 반응성 가스를 1종류씩 교대로 공급한다. 그리고, 막후(膜厚) 제어는, 반응성 가스 공급의 사이클 수로 제어한다. (예를 들면, 성막 속도가 1Å/사이클로 하면, 20Å의 막을 형성하는 경우, 처리를 20사이클 행한다.)
도 1은, 본 실시예에 따른 기판 처리 장치의 세로형의 기판 처리로를 설명하기 위한 개략 종단면도이고, 도 2는 본 실시예에 따른 기판 처리 장치의 세로형의 기판 처리로를 설명하기 위한 개략 횡단면도이다.
가열 수단인 히터(207)의 내측에, 기판인 웨이퍼(200)를 처리하는 반응 용기로서 반응관(203)이 설치되고, 이 반응관(203)의 하단 개구는 덮개인 시일 캡(219)에 의하여 기밀 부재인 O링(도시하지 않음)을 개재하여 기밀하게 폐색되어 있다. 반응관(203) 및 히터(207)의 외측에는 단열 부재(208)가 설치되어 있다. 단열 부재(208)는 반응관(203)의 위쪽도 덮도록 설치되어 있다. 적어도, 히터(207), 단열 부재(208), 반응관(203), 및 시일 캡(219)에 의하여 처리로(202)를 형성하고 있다. 또, 반응관(203), 시일 캡(219) 및 후술하는 반응관(203) 내에 형성된 버퍼실(237)에 의하여 처리실(201)을 형성하고 있다. 시일 캡(219)에는 보트대(臺)(218) 및 회전축(220)을 개재하여 기판 유지 수단인 보트(217)가 세움 설치되고, 보트대(218)는 보트(217)를 유지하는 유지체로 되어 있다. 그리고, 보트(217)는 처리로(202)에 삽입된다. 보트(217)에는 배치(batch) 처리되는 복수의 웨이퍼(200)가 수평 자세에서 관축 방향으로 다단(多段)에 수직 방향으로 적재된다. 히터(207)는 처리로(202)에 삽입된 웨이퍼(200)를 소정의 온도로 가열한다.
반응관(203) 내의 중앙부에는 복수 장의 웨이퍼(200)를 다단에 동일 간격으로 연직 방향으로 재치하는 보트(217)가 설치되어 있으며, 이 보트(217)는 도면 중 생략된 보트 엘리베이터 기구에 의하여 반응관(203)에 출입할 수 있도록 되어 있 다. 또 처리의 균일성을 향상시키기 위하여 보트(217)를 회전하기 위한 회전 수단인 보트 회전 기구(267)가 설치되어 있어, 보트 회전 기구(267)를 회전함으로써, 보트대(218)에 유지된 보트(217)를 회전하도록 되어 있다.
그리고, 처리로(202)에는 복수 종류, 여기서는 2종류의 가스를 공급하는 공급관으로서의 2개의 가스 공급관(232a, 232b)이 설치된다. 여기서는 가스 공급관(232a)으로부터는 유량 제어 수단인 매스 플로우 컨트롤러(241a) 및 개폐 밸브인 밸브(243a)를 개재하고, 또한 후술하는 반응관(203) 내에 형성된 버퍼실(237)을 통하여 처리실(201)에 반응 가스가 공급되고, 가스 공급관(232b)으로부터는 유량 제어 수단인 매스 플로우 컨트롤러(241b), 개폐 밸브인 밸브(243b), 가스통(247), 및 개폐 밸브인 밸브(243c)를 개재하고, 가스 공급부(도시하지 않음)를 통하여 처리실(201)에 반응 가스가 공급되고 있다.
처리실(201)은, 가스를 배기하는 배기관인 가스 배기관(231)에 의하여 밸브(243d)를 통하여 배기 수단인 진공 펌프(246)에 접속되고, 진공 배기되도록 되어 있다. 이 밖에, 이 밸브(243d)는 밸브를 개폐하여 처리실(201)의 진공 배기·진공 배기 정지가 가능하며, 또한 밸브 열림 정도를 조절하여 압력 조정 가능하도록 되어 있는 개폐 밸브이다.
처리실(201)을 구성하고 있는 반응관(203)의 내벽과 웨이퍼(200) 사이에 있어서의 원호 형상의 공간에는, 반응관(203)의 하부로부터 상부의 내벽에 웨이퍼(200)의 적재 방향을 따라, 가스 분산 공간인 버퍼실(237)이 설치되어 있으며, 그 버퍼실(237)의 웨이퍼(200)와 인접하는 내측 벽의 단부 근방에는 가스를 공급하는 공급 구멍인 가스 공급 구멍(248a)이 설치되어 있다. 이 가스 공급 구멍(248a)은 반응관(203)의 중심을 향하여 개구되어 있다. 이 가스 공급 구멍(248a)은, 웨이퍼(200)의 적재 방향을 따라 하부로부터 상부로 소정의 길이에 걸쳐 각각 동일한 개구 면적을 갖고, 또한 동일한 개구 피치로 설치되어 있다.
그리고 버퍼실(237)의 가스 공급 구멍(248a)이 설치된 측과 반대측의 반응관(203)의 측벽에는, 가스 공급관(232a)이 접속되어 있다.
또한, 버퍼실(237)에, 가늘고 긴 구조를 갖는 전극(269) 및 전극(270)이 상부로부터 하부에 걸쳐 전극을 보호하는 보호관인 전극 보호관(275)에 각각 보호되어 배치되고, 이 전극(269) 또는 전극(270) 중 어느 한 쪽은 정합기(272)를 개재하여 고주파 전원(273)에 접속되고, 다른 쪽은 기준 전위인 어스에 접속되어 있다. 이 결과, 전극(269) 및 전극(270) 사이의 플라즈마 생성 영역(224)에 플라즈마가 생성된다.
이 전극 보호관(275)은, 전극(269) 및 전극(270)의 각각을 버퍼실(237)의 분위기와 격리된 상태로 버퍼실(237)에 삽입할 수 있는 구조로 되어 있다.
여기서, 전극 보호관(275)의 내부는 외기(대기)와 동일 분위기이면, 전극 보호관(275)에 각각 삽입된 전극(269) 및 전극(270)은 히터(207)의 가열로 산화되어 버린다. 그래서, 전극 보호관(275)의 내부는 질소 등의 불활성 가스를 충전 혹은 퍼지하여, 산소 농도를 충분히 낮게 억제하여 전극(269) 또는 전극(270)의 산화를 방지하기 위한 불활성 가스 퍼지 기구(도시하지 않음)가 설치된다.
본 실시예에서는, 전극 보호관(275)을 도중에서 굴곡한 구조로 되어 있으며, 버퍼실(237) 내를 최하단의 웨이퍼(200)보다 하측으로부터 보트 천판(216)보다 상부까지 연장하는 수직부와 그 아래의 경사부로 구성되어 있다. 경사부의 하단은 반응관(203)의 측벽으로부터 외부로 돌출되어 있다.
전극(269) 및 전극(270)으로서, 가요성이 있는 부재를 사용함으로써, 전극 보호관(275) 내에, 전극 보호관(275) 경사부의 하단으로부터 전극(269, 270)을 삽입함과 동시에, 전극(269, 270)을 전극 보호관(275)의 상단부까지 도달하도록 할 수 있다.
전극(269, 270)의 일례로서, 도전 부재를 박판으로 가공한 구조를 도 3에 도시한다. 이것은 가요성이 있는 도전 부재를 하나 사용한 전극(269, 270)의 일례이다. 이 전극(269, 270)은 도전 부재를 박판으로 가공한 것이기 때문에, 박판의 주면(主面)에 수직 방향에 대하여 굴곡성이 얻어진다. 그 때문에, 전극(269, 270)의 삽입구가 반응관(203)의 측면에 있는 구조에서도, 전극(269, 270)의 전극 보호관(275)으로의 삽입이 가능하다. 또 이 전극(269, 270)에 고주파 전력을 인가하면, 플라즈마(224)를 발생시킬 수 있다. 단, 박판의 전극(269, 270)은 전극 보호관(275) 중에서 변형이 발생해 버려, 전극(269, 270)간의 간격이 수직 방향으로 일정하지 않게 되어 플라즈마(224)는 불균일하게 되는 경우도 있다.
다음에, 굴곡성이 있는 도전 부재를 복수 사용한 전극(269, 270)의 예를 도 4, 도 5를 참조하여 설명한다.
우선, 선 형상으로 가공한 도전 부재를 다발 지은 전극(269, 270)의 구조를 도 4에 도시한다. 이 전극(269, 270)은 도전 부재를 선 형상으로 가공한 것을 사 용하고 있기 때문에, 가요성, 굴곡성을 얻을 수 있다.
또, 도 5에는, 선 형상으로 가공한 도전 부재를 엮은 구조의 예를 도시하고 있다. 이 전극(269, 270)은 복수 개의 도전 부재를 엮어, 그 중심에 심(271)을 통과시킨 구조로 되어 있다. 엮음(編みこみ)형 전극(269, 270)에 심(271)이 없는 경우는, 전극(269, 270)은, 플라즈마(224) 발생시에 열이 가해지면, 유연(柔軟)하게 되어 그물코가 막혀, 아래 방향으로 수축되는 경우가 있다. 또, 메인터넌스 시에 한번 뽑은 전극(269, 270)을 전극 보호관(275)에 재삽입할 때에, 유연해진 전극(269, 270)은 전극 보호관(275)과의 마찰로 수축되어, 최상부의 웨이퍼(200)까지 전극(269, 270)이 도달하지 않는 경우가 있다. 최상부의 웨이퍼(200)까지 전극(267, 270)이 도달하지 않았다는 것은, 복수의 웨이퍼(200)가 존재하는 영역에서 플라즈마(224)가 미발생인 부분 또는 불균일한 부분이 생겨, 성막에도 영향이 나타나는 것이 우려된다. 그래서, 전극(269, 270)의 중심에 심(271)을 넣음으로서, 수축을 방지하는 구조로 되어 있다.
또, 상부 웨이퍼(200) 영역에 플라즈마(224) 미발생 부분이 생겨버리는 것에 대한 다른 대책으로서, 도 1에 도시하는 바와 같이, 전극(269, 270)이 수축된 경우에서도 최상위의 웨이퍼(200)까지 플라즈마(224)가 공급되도록, 전극 보호관(269, 270)을 위방향으로 길게 하여, 보트 천정부(216)보다 상부에 오도록 하였다. 이에 따라, 전극(267, 270)을 최상위의 웨이퍼(200)보다 높은 위치까지 삽입할 수 있게 되어, 열에 의하여 수축이 발생한 경우라도, 웨이퍼(200)가 존재하는 영역에서의 플라즈마(224) 미발생 영역이 발생하지 않도록 할 수 있다.
또한, 전극(269, 270)의 상부 단부의 설치 위치로서는, 적어도 최상위의 웨이퍼(200)보다, 보트(217)에 유지되는 웨이퍼(200)의 유지 피치 간격 이상, 상측으로 한다. 바람직하게는, 본 실시예와 같이, 보트 천정부(216)의 위치를 넘어선 위치로 한다.
도 4, 도 5에 도시한 경우는, 전극 보호관(275)의 내경보다 1∼2mm정도 작은 외형이 되도록 전극(269, 270)을 작성하면, 전극(269, 270)을 삽입하기 위한 간극이 남음과 동시에, 전극(269, 270)이 전극 보호관(275)과의 사이에서 가로 방향으로 휘어지는 간극을 없앨 수 있기 때문에, 전극(269, 270)은 곧은 형상의 상태 그대로이므로, 플라즈마를 균일하게 발생시킬 수 있다.
이러한 전극 구조로 함으로써, 반응관(203) 내에 균일한 플라즈마를 생성하기 위한 가늘고 긴 형상의 전극(269, 270)을 사용하기 좋고 착탈 가능하며 또 안전하게 운용할 수 있도록 할 수 있다.
가스 공급 구멍(248a)의 위치보다, 120°정도 회전된 반응관(203)의 내측에 노즐(233)이 설치되어 있다. 이 노즐(233)은, ALD법에 의한 성막에 있어서 웨이퍼(200)에, 복수 종류의 가스를 1종류씩 교대로 공급할 때에, 버퍼실(237)과 가스 공급 종류를 분담하는 공급부이다.
이 노즐(233)도 버퍼실(237)과 동일하게 웨이퍼와 인접하는 위치에 동일 피치로 가스를 공급하는 공급 구멍인 가스 공급 구멍(248c)을 갖고, 하부에서는 가스 공급관(232b)이 접속되어 있다.
가스 공급 구멍(248c)의 개구 면적은 노즐(233) 내부와 처리실(201)의 차압 이 작은 경우에는, 상류측으로부터 하류측까지 동일한 개구 면적에서 동일한 개구 피치로 하면 되지만, 차압이 큰 경우에는 상류측으로부터 하류측을 향하여 개구 면적을 크게 하거나 개구 피치를 작게 하면 된다.
제어 수단인 컨트롤러(321)는, 매스 플로우 컨트롤러(241a, 241b), 밸브(243a, 243b, 243c, 243d), 히터(207), 진공 펌프(246), 보트 회전 기구(267), 도면 중 생략된 보트 승강 기구, 고주파 전원(273), 정합기(272)에 접속되어 있으며, 매스 플로우 컨트롤러(241a, 241b)의 유량 조정, 밸브(243a, 243b, 243c)의 개폐 동작, 밸브(243d)의 개폐 및 압력 조정 동작, 히터(207) 온도 조절, 진공 펌프(246)의 기동·정지, 보트 회전 기구(267)의 회전 속도 조절, 보트 승강 기구의 승강 동작 제어, 고주파 전극(273)의 전력 공급 제어, 정합기(272)에 의한 임피던스 제어가 행해진다.
다음에 ALD법에 의한 성막예에 관하여, DCS 및 NH3 가스를 이용하여 SiN 막을 성막하는 예로 설명한다.
우선 성막하고자 하는 웨이퍼(200)를 보트(217)에 장전하고, 처리로(202)에 반입한다. 반입 후, 다음 4개의 단계를 순차 실행한다.
[단계 1]
단계 1에서는, 플라즈마 여기가 필요한 NH3 가스와, 플라즈마 여기의 필요가 없는 DCS 가스를 병행하여 흐르게 한다. 우선 가스 공급관(232a)에 설치한 밸브(243a), 및 가스 배기관(231)에 설치한 밸브(243d)를 함께 열어, 가스 공급관 (232a)으로부터 매스 플로우 컨트롤러(241a)에 의하여 유량 조정된 NH3 가스를 버퍼실(237)로 분출하고, 전극(269) 및 전극(270) 사이에 고주파 전원(273)으로부터 정합기(272)를 통하여 고주파 전력을 0.1∼0.6kW 인가하여 NH3를 플라즈마 여기하고, 활성종으로서 처리실(201)에 공급하면서 가스 배기관(231)으로부터 배기한다. NH3 가스를 플라즈마 여기함으로써 활성종으로서 흐르게 할 때는, 밸브(243d)를 적절히 조정하여 처리실(201) 내 압력을 10∼100Pa로 한다. 매스 플로우 컨트롤러(241a)로 제어하는 NH3의 공급 유량은 1000∼10000sccm이다. NH3를 플라즈마 여기함으로써 얻어진 활성종에 웨이퍼(200)를 쬐는 시간은 1∼120초 사이이다. 이 때의 히터(207) 온도는 웨이퍼가 300∼600℃가 되도록 설정되어 있다. NH3 가스를 플라즈마로 활성화함으로써 웨이퍼 표면을 저온에서 처리할 수 있다.
이 NH3를 플라즈마로 여기하여 활성종으로서 공급하고 있을 때, 가스 공급관(232b) 상류측의 밸브(243b)를 열고, 하류측의 밸브(243c)를 닫아, DCS도 흐르게 한다. 이에 따라 밸브(243b, 243c) 사이에 설치한 가스통(247)에 DCS를 모은다. 이 때, 처리실(201) 내에 흐르고 있는 가스는 NH3을 플라즈마 여기함으로써 얻어진 활성종으로, DCS는 존재하지 않는다. 따라서, NH3은 기상 반응을 일으키지 않고, 플라즈마에 의하여 여기되고 활성종이 된 NH3는 웨이퍼(200)상의 하지막(下地膜)과 표면 반응한다.
[단계 2]
단계 2에서는, 가스 공급관(232a)의 밸브(243a)를 닫아, NH3의 공급을 정지시킨 후, N2의 불활성 가스로 반응관(203) 내부를 퍼지하지만, 그 동안도 이어서 가스통(247)으로 공급을 계속한다. 가스통(247)에 소정 압(壓),소정량의 DCS가 모이면 상류측의 밸브(243b)도 닫아, 가스통(247)에 DCS를 가두어 둔다. 또, 가스 배기관(231)의 밸브(243d)는 열린 상태로 하여 진공 펌프(246)에 의하여, 처리실(201)을 충분히 배기하고, 잔류 NH3를 처리실(201)로부터 배제한다.
[단계 3]
단계 3에서는, 처리실(201)의 배기가 끝나면 가스 배기관(231)의 밸브(243d)를 닫아 배기를 멈추게 한다. 가스 공급관(232b)의 하류측의 밸브(243c)를 연다. 이에 따라 가스통(247)에 모여진 DCS가 처리실(201)에 한번에 공급된다. 이 때 가스 배기관(231)의 밸브(243d)가 닫혀 있기 때문에, 처리실(201) 내의 압력은 급격하게 상승하여 약 931Pa(7Torr)까지 승압된다. DCS를 공급하기 위한 시간은 2∼4초로 설정하고, 그 후 상승한 압력 분위기 중에 노출시키는 시간을 2∼4초로 설정하여, 합계 6초로 하였다. 이 때의 웨이퍼 온도는 NH3의 공급 때와 동일하게, 300∼600℃이다. DCS의 공급에 의하여, DCS가 표면 반응하여 N-H 결합의 H가 Si와 치환되어, 웨이퍼(200)상에 SiN 막이 성막된다.
[단계 4]
단계 3에서는, 성막 후, 밸브(243c)를 닫고, 밸브(243d)를 열어 처리실(201) 을 진공 배기하여, 잔류하는 DCS의 성막에 기여한 후의 가스를 배제한다. 또, 이 때에는 N2 등의 불활성 가스를 처리실(201)에 공급하면, 또한 잔류하는 DCS의 성막에 기여한 후의 가스를 처리실(201)로부터 배제하는 효과가 높아진다. 또 밸브(243b)를 열어 가스통(247)으로의 DCS의 공급을 시작한다.
상기 단계1∼4를 1사이클로 하고, 이 사이클을 복수 회 반복함으로써 웨이퍼상에 소정 막두께의 SiN막을 성막한다.
또한, ALD 장치에서는, 가스는 하지막 표면에 흡착한다. 이 가스의 흡착량은, 가스의 압력, 및 가스의 폭로 시간에 비례한다. 따라서, 희망하는 일정량의 가스를, 단시간에 흡착시키기 위해서는, 가스의 압력을 단시간에 크게 할 필요가 있다. 이 점에서, 본 실시예에서는, 밸브(243d)를 닫은 후에, 가스통(247) 내에 모은 DCS를 순간적으로 공급하고 있기 때문에, 처리실(201) 내의 DCS의 압력을 급격하게 높일 수 있어, 희망하는 일정량의 가스를 순간적으로 흡착시킬 수 있다.
또, 본 실시예에서는, 가스통(247)에 DCS를 모으고 있는 동안에, ALD법에서 필요한 단계인 NH3 가스를 플라즈마 여기함으로써 활성종으로서 공급, 및 처리실(201)의 배기를 하고 있기 때문에, DCS를 모으기 위한 특별한 단계를 필요로 하지 않는다. 또, 처리실(201) 내를 배기하여 NH3 가스를 제거하고 있기 때문에 DCS를 흐르게 하기 때문에, 양자는 웨이퍼(200)로 향하는 도중에 반응하지 않는다. 공급된 DCS는, 웨이퍼(200) 표면에서만 효율적으로 반응시킬 수 있다.
다음에, 도 6을 참조하여, 비교예로서, 가요성이 없는 전극(276, 277)을 사 용한 경우의 반응관 구조에 대하여 설명한다.
도 6에 도시하는 바와 같이, 하측으로부터 전극(276)을 삽입하는 경우에는, 하부밖에 전극(276)을 삽입할 수 없고, 플라즈마가 버퍼실(237) 아래쪽으로밖에 생성할 수 없기 때문에, 웨이퍼(200)의 처리가 보트(217)의 상하에서 불균일하게 되어 버린다.
다음에, 도 7, 도 8을 참조하여 본 발명이 적합하게 적용되는 기판 처리 장치의 일례인 반도체 제조 장치에 관한 개략을 설명한다.
하우징(101) 내부의 전면(前面)측에는, 도시하지 않은 외부 반송 장치 사이에서 기판 수납 용기로서의 카세트(100)의 수수(授受)를 행하는 유지구 수수 부재로서의 카세트 스테이지(105)가 설치되고, 카세트 스테이지(105) 후측에는 승강 수단으로서의 카세트 엘리베이터(115)가 설치되며, 카세트 엘리베이터(115)에는 반송 수단으로서의 카세트 이재기(移載機)(114)가 장착되어 있다. 또, 카세트 엘리베이터(115)의 후측에는, 카세트(100)의 재치 수단으로서의 카세트 선반(109)이 설치됨과 동시에 카세트 스테이지(105)의 위쪽에도 예비 카세트 선반(110)이 설치되어 있다. 예비 카세트 선반(110)의 위쪽에 클린 유닛(118)이 설치되어 클린 에어를 하우징(101)의 내부를 유통시키도록 구성되어 있다.
하우징(101)의 후부 위쪽에는, 처리로(202)가 설치되고, 처리로(202)의 아래쪽에는 기판으로서의 웨이퍼(200)를 수평 자세로 다단에 유지하는 기판 유지 수단으로서의 보트(217)를 처리로(202)에 승강시키는 승강 수단으로서의 보트 엘리베이터(121)가 설치되고, 보트 엘리베이터(121)에 장착된 승강 부재(122)의 선단부에는 덮개로서의 시일 캡(219)이 장착되어 보트(217)를 수직으로 지지하고 있다. 보트 엘리베이터(121)와 카세트 선반(109) 사이에는 승강 수단으로서의 이재(移載) 엘리베이터(113)가 설치되고, 이재 엘리베이터(113)에는 반송 수단으로서의 웨이퍼 이재기(112)가 장착되어 있다. 또, 보트 엘리베이터(121)의 옆에는, 개폐 기구를 지닌 처리로(202)의 하면(下面)을 막는 차폐(遮蔽) 부재로서의 로(爐) 입구 셔터(116)가 설치되어 있다.
웨이퍼(200)가 장전된 카세트(100)는, 도시하지 않은 외부 반송 장치로부터 카세트 스테이지(105)에 웨이퍼(200)가 상향(上向) 자세로 반입되고, 웨이퍼(200)가 수평 자세가 되도록 카세트 스테이지(105)에서 90°회전되어진다. 또한, 카세트(100)는, 카세트 엘리베이터(115)의 승강 동작, 횡행 동작 및 카세트 이재기(114)의 진퇴 동작, 회전 동작의 협동에 의하여 카세트 스테이지(105)로부터 카세트 선반(109) 또는 예비 카세트 선반(110)에 반송된다.
카세트 선반(109)에는 웨이퍼 이재기(112)의 반송 대상이 되는 카세트(100)가 수납되는 이재 선반(123)이 있고, 웨이퍼(200)가 이재에 제공되는 카세트(100)는 카세트 엘리베이터(115), 카세트 이재기(114)에 의하여 이재 선반(123)에 이재된다.
카세트(100)가 이재 선반(123)에 이재되면, 웨이퍼 이재기(112)의 진퇴 동작, 회전 동작 및 이재 엘리베이터(113)의 승강 동작의 협동에 의하여 이재 선반(123)으로부터 강하(降下) 상태의 보트(217)에 웨이퍼를 이재한다.
보트(217)에 소정 매수의 웨이퍼(200)가 이재되면 보트 엘리베이터(121)에 의하여 보트(217)가 처리로(202)에 삽입되고, 시일 캡(219)에 의하여 처리로(202)가 기밀하게 폐색된다. 기밀하게 폐색된 처리로(202) 내에서는 웨이퍼(200)가 가열됨과 동시에 처리 가스가 처리로(202) 내에 공급되어, 웨이퍼(200)에 처리가 이루어진다.
웨이퍼(200)로의 처리가 완료되면, 웨이퍼(200)는 상기한 작동의 역순서에 따라, 보트(217)로부터 이재 선반(123)의 카세트(100)에 이재되고, 카세트(100)는 카세트 이재기(114)에 의하여 이재 선반(123)으로부터 카세트 스테이지(105)에 이재되어, 도시하지 않은 외부 반송 장치에 의하여 하우징(101)의 외부로 반출된다. 또한, 로 입구 셔터(116)는, 보트(217)가 강하 상태일 때에 처리로(202)의 하면을 막아, 외기(外氣)가 처리로(202) 내에 들어오는 것을 방지하고 있다.
카세트 이재기(114) 등의 반송 동작은, 반송 제어 수단(124)에 의하여 제어된다.
명세서, 특허청구의 범위, 도면 및 요약서를 포함하는 2004년 2월 27일 제출한 일본국 특허출원2004-55446호의 개시 내용 전체는, 그대로 인용하여 여기에 조합된다.
여러 가지의 전형적인 실시 형태를 개시하며 또한 설명해왔지만, 본 발명은 이들 실시 형태에 한정되지 않는다. 따라서, 본 발명의 범위는, 다음 청구의 범위에 의해서만 한정되는 것이다.
이상 설명한 바와 같이, 본 발명의 바람직한 실시 형태에 의하면, 플라즈마 를 균일하게 발생시킬 수 있음과 동시에, 장치로의 장착이 용이한 구조의 전극을 구비한 기판 처리 장치가 제공된다.
그 결과, 본 발명은, 플라즈마에 의하여 여기된 처리 가스를 이용하여 반도체 웨이퍼에 성막을 행하는 기판 처리 장치에 특히 적합하게 이용할 수 있다.

Claims (9)

  1. 적어도 하나의 기판을 수용하는 처리실과,
    상기 처리실 내에 처리 가스를 공급하는 가스 공급계와,
    상기 처리실 내의 분위기를 배기하는 배기계와,
    상기 처리 가스를 활성인 상태로 하기 위하여, 보호관 내에 삽입 발출 가능하게 수용된, 적어도 한 쌍의 전극을 갖고,
    상기 전극은, 적어도 1개소가 굴곡한 상태로 상기 보호관 내에 수용되며, 또한, 상기 전극은 가요성의 부재로 구성한 것을 특징으로 하는 기판 처리 장치.
  2. 제1항에 있어서,
    상기 전극은, 선 형상의 도전 부재를 엮어 구성되어 있는 것을 특징으로 하는 기판 처리 장치.
  3. 제1항에 있어서,
    상기 전극은, 선 형상의 도전 부재를 엮어 구성한 부재를 중공의 원통 형상으로 한 것임을 특징으로 하는 기판 처리 장치.
  4. 제1항에 있어서,
    상기 전극은, 선 형상의 도전 부재를 다발 지어 구성한 부재로 이루어진 것 을 특징으로 하는 기판 처리 장치.
  5. 제1항에 있어서,
    상기 기판 처리 장치가, 복수의 기판을 소정의 간격으로 적층하여 유지하는 기판 유지 부재를, 처리실 내에 수용한 상태로 기판의 처리가 실행되는 장치로서,
    상기 한 쌍의 전극이 기판의 적층 방향에 걸쳐 배치되고, 또한, 상기 전극의 단부가, 상기 기판 유지 부재에 유지되는 상기 전극 단부측의 최단(最端)의 기판 위치보다, 적어도 기판 유지 부재에서의 기판끼리의 간격만큼의 길이를 넘도록 설치되는 것을 특징으로 하는 기판 처리 장치.
  6. 제1항에 있어서,
    상기 한 쌍의 전극 각각의 전극은, 각각이 별체의 보호관에 삽입 발출 가능하게 수용되어 있는 것을 특징으로 하는 기판 처리 장치.
  7. 제7항에 있어서,
    상기 한 쌍의 전극 각각의 전극의 외경이, 상기 별체의 보호관의 각각의 내경보다 1 내지 2mm 작은 것을 특징으로 하는 기판 처리 장치.
  8. 제2항에 있어서,
    상기 전극에 심을 설치한 것을 특징으로 하는 기판 처리 장치.
  9. 제5항에 있어서,
    상기 전극의 단부가, 상기 기판 유지 부재의 천판의 위치를 넘어선 위치에 설치되어 있는 것을 특징으로 하는 기판 처리 장치.
KR1020067005001A 2004-02-27 2005-02-16 기판 처리 장치 KR100817644B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2004-00055446 2004-02-27
JP2004055446 2004-02-27

Publications (2)

Publication Number Publication Date
KR20060082862A true KR20060082862A (ko) 2006-07-19
KR100817644B1 KR100817644B1 (ko) 2008-03-27

Family

ID=34908865

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020067005001A KR100817644B1 (ko) 2004-02-27 2005-02-16 기판 처리 장치

Country Status (5)

Country Link
US (2) US7958842B2 (ko)
JP (2) JP4354987B2 (ko)
KR (1) KR100817644B1 (ko)
TW (1) TWI254989B (ko)
WO (1) WO2005083766A1 (ko)

Families Citing this family (285)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7958842B2 (en) * 2004-02-27 2011-06-14 Hitachi Kokusai Electric Inc. Substrate processing apparatus
US8251012B2 (en) * 2005-03-01 2012-08-28 Hitachi Kokusai Electric Inc. Substrate processing apparatus and semiconductor device producing method
US20070240644A1 (en) * 2006-03-24 2007-10-18 Hiroyuki Matsuura Vertical plasma processing apparatus for semiconductor process
JP4983063B2 (ja) * 2006-03-28 2012-07-25 東京エレクトロン株式会社 プラズマ処理装置
JP4828599B2 (ja) * 2006-05-01 2011-11-30 株式会社日立国際電気 基板処理装置
JP5215852B2 (ja) * 2006-07-31 2013-06-19 東京エレクトロン株式会社 基板処理装置およびコンディショニング要否決定方法
JP5082595B2 (ja) * 2007-05-31 2012-11-28 東京エレクトロン株式会社 成膜装置
JP5098882B2 (ja) * 2007-08-31 2012-12-12 東京エレクトロン株式会社 プラズマ処理装置
KR20090087190A (ko) * 2008-02-12 2009-08-17 삼성전자주식회사 반도체 제조설비 그를 이용한 반도체 제조방법
JP4520512B2 (ja) * 2008-02-13 2010-08-04 キヤノンアネルバ株式会社 加熱装置
JP5198299B2 (ja) * 2008-04-01 2013-05-15 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び基板処理方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5805461B2 (ja) * 2010-10-29 2015-11-04 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5794194B2 (ja) * 2012-04-19 2015-10-14 東京エレクトロン株式会社 基板処理装置
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
TWI489517B (zh) * 2013-05-07 2015-06-21 Univ Nat Taiwan 表面處理裝置及方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
WO2017138087A1 (ja) * 2016-02-09 2017-08-17 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP6999596B2 (ja) * 2019-03-25 2022-01-18 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
JP7130014B2 (ja) * 2019-05-28 2022-09-02 東京エレクトロン株式会社 プラズマ処理装置
CN112017936B (zh) * 2019-05-28 2024-05-31 东京毅力科创株式会社 等离子体处理装置
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP6937806B2 (ja) * 2019-09-25 2021-09-22 株式会社Kokusai Electric 基板処理装置、及び半導体の製造方法
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TWI798760B (zh) * 2020-08-26 2023-04-11 日商國際電氣股份有限公司 基板處理裝置、半導體裝置之製造方法、基板保持具及程式
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5816078A (ja) * 1981-07-17 1983-01-29 Toshiba Corp プラズマエツチング装置
JPH02159027A (ja) * 1988-12-13 1990-06-19 Tel Sagami Ltd プラズマ処理装置
JP2714580B2 (ja) * 1988-12-27 1998-02-16 東京エレクトロン株式会社 化学的気相成長方法及び化学的気相成長装置
JPH05160042A (ja) 1991-12-09 1993-06-25 Matsushita Electric Ind Co Ltd プラズマ生成装置および半導体薄膜のプラズマ処理方法
US6490144B1 (en) * 1999-11-29 2002-12-03 Applied Materials, Inc. Support for supporting a substrate in a process chamber
JP4602532B2 (ja) * 2000-11-10 2010-12-22 東京エレクトロン株式会社 プラズマ処理装置
JP3979849B2 (ja) * 2001-01-11 2007-09-19 株式会社日立国際電気 プラズマ処理装置および半導体装置の製造方法
JP4138269B2 (ja) * 2001-04-26 2008-08-27 株式会社日立国際電気 半導体製造装置
US20030164143A1 (en) 2002-01-10 2003-09-04 Hitachi Kokusai Electric Inc. Batch-type remote plasma processing apparatus
US6864418B2 (en) * 2002-12-18 2005-03-08 Nanoset, Llc Nanomagnetically shielded substrate
KR100829327B1 (ko) 2002-04-05 2008-05-13 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반응 용기
JP3957549B2 (ja) 2002-04-05 2007-08-15 株式会社日立国際電気 基板処埋装置
JP4020306B2 (ja) 2002-10-07 2007-12-12 株式会社日立国際電気 基板処埋装置
US7958842B2 (en) * 2004-02-27 2011-06-14 Hitachi Kokusai Electric Inc. Substrate processing apparatus

Also Published As

Publication number Publication date
JPWO2005083766A1 (ja) 2007-11-29
JP5137903B2 (ja) 2013-02-06
US8518182B2 (en) 2013-08-27
TW200601455A (en) 2006-01-01
KR100817644B1 (ko) 2008-03-27
JP2009212528A (ja) 2009-09-17
JP4354987B2 (ja) 2009-10-28
WO2005083766A1 (ja) 2005-09-09
US20080153308A1 (en) 2008-06-26
US20110209664A1 (en) 2011-09-01
TWI254989B (en) 2006-05-11
US7958842B2 (en) 2011-06-14

Similar Documents

Publication Publication Date Title
KR100817644B1 (ko) 기판 처리 장치
KR100961594B1 (ko) 기판 처리 장치
KR100909750B1 (ko) 기판 처리 장치 및 반도체 디바이스의 제조 방법
JP5027850B2 (ja) 基板処理装置および半導体装置の製造方法
JP2004124234A (ja) 基板処埋装置
JP2006237532A (ja) 基板処理装置
KR101101163B1 (ko) 기판 처리 장치
JP4267434B2 (ja) 基板処理装置
JP2005243737A (ja) 基板処理装置
JP4509697B2 (ja) 基板処理装置
JP2011187536A (ja) 基板処理装置
JP2009088565A (ja) 基板処理装置
JP4634155B2 (ja) 基板処理装置及び成膜方法
JP2005167027A (ja) 基板処理装置
KR101101164B1 (ko) 기판 처리 장치
JP2009253013A (ja) 基板処理装置
JP2005277264A (ja) 基板処理装置
JP2006066593A (ja) 基板処理装置
JP2005251775A (ja) 基板処理装置
JP2005109002A (ja) 基板処理装置
JP2006286765A (ja) 基板処理装置
JP2012119500A (ja) 基板処理装置
JP2007221038A (ja) 半導体製造装置
JP2006190875A (ja) 基板処理装置
JP2006013204A (ja) 基板処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130227

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20140220

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20150224

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20160218

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20170221

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20180302

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20190306

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20200303

Year of fee payment: 13