KR19980032712A - 반응가스 분출 헤드 - Google Patents

반응가스 분출 헤드 Download PDF

Info

Publication number
KR19980032712A
KR19980032712A KR1019970051912A KR19970051912A KR19980032712A KR 19980032712 A KR19980032712 A KR 19980032712A KR 1019970051912 A KR1019970051912 A KR 1019970051912A KR 19970051912 A KR19970051912 A KR 19970051912A KR 19980032712 A KR19980032712 A KR 19980032712A
Authority
KR
South Korea
Prior art keywords
gas
plate
mixing space
ejection head
back plate
Prior art date
Application number
KR1019970051912A
Other languages
English (en)
Other versions
KR100492258B1 (ko
Inventor
후쿠나가유키오
시노자키히로유키
츠카모토기와무
사이토마사오
Original Assignee
마에다시게루
가부시키가이 샤에바라세사쿠쇼
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 마에다시게루, 가부시키가이 샤에바라세사쿠쇼 filed Critical 마에다시게루
Publication of KR19980032712A publication Critical patent/KR19980032712A/ko
Application granted granted Critical
Publication of KR100492258B1 publication Critical patent/KR100492258B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45512Premixing before introduction in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles

Abstract

본 발명은 가스 수송로를 따라 발생하는 조기 반응을 방지하여, 기판 표면에 안정하고 균일한 열역학 상태로 운송되도록, 처리 가스를 균일한 농도 및 조성으로 혼합할 수 있는 반응가스 분출 헤드에 관한 것이다. 반응가스 분출 헤드는 이면판 및 노즐판 사이에 가스 혼합 공간이 형성되는 분출 헤드 본체를 포함하여 이루어진다. 노즐판은 다수의 가스 분출 노즐을 가진다. 가스 공급관은 개별적으로 두 개 이상의 가스 물질을 혼합공간에 도입하도록, 이면판의 중앙부를 통하여 분출 헤드 본체와 연결된다. 가스 분배 통로는 적어도 두 종류의 가스 물질을 가스 공급관으로부터 안내하여 개별적으로 상기 혼합 공간의 주변부를 향하도록 하는 방법으로 상기 이면판 및 상기 노즐판 사이에 가스 분배 통로를 형성한다.

Description

반응가스 분출 헤드
본 발명은 일반적으로 박막을 형성하는 화학적 증착 장치에 사용되는 반응가스 분출헤드에 관한 것으로, 특히 바륨/스트론튬 티타네이트와 같은 고유전성 혹은 강자성막을 형성하는데 사용하기에 적합한 분출 헤드에 관한 것이다.
최근에, 반도체 산업에서 생산되는 집적회로 소자의 회로 밀도는 주목할만한 개선이 이루어졌고, 오늘날 일반적인 메가비트 용량의 DRAM 을 대체할 기가비트 용량의 DRAM 을 예견하여 열띤 개발 활동이 전개되었다. DRAM을 생산하는데 필요한 고 커패시턴트 소자를 만드는데 사용되는 유전성 박막재료로서, 유전상수가 10 이하인 실리콘 산화막이나 실리콘 질화막 및 유전상수가 20 이하인 탄탈륨 펜타옥시드(Ta2O5)막 같은 과거의 유전성 박막원료 대신에, 바륨 티타네이트(BaTiO3)나 스트론튬 티타네이트(SrTiO3) 혹은 이들의 화합물 같은 신물질이 장래성이 있는 것으로 예견된다.
이러한 금속 산화 박막의 증착 공정은 원료 가스라고 불리우는 하나 혹은 다수의 유기-금속 가스 물질 및 산화 가스가 필요하고, 이는 서로 균일하게 혼합되어야 하며, 특정 온도로 가열되어 반응 가스 흐름으로써 원료 내로 안내되어야 한다. 몇몇 가스 분출 헤드가 이러한 목적을 위해 제안되었다.
그러나, 종래의 반응가스 분출 헤드는 유기-금속 화학적 증착 공정의 엄격한 요구를 만족시킬 수 없었다. 일반적으로, 유기-금속 원료 가스와 공정 가스라 불리우는 산화 가스의 기상 혼합은 좁은 영역의 온도 내에서만 안정한 열역학적 상태를 유지할 수 있었으므로, 온도 분포가 기판에 대한 통로를 따라 균일하지 않으면, 공정 가스 내의 성분 가스는 쉽게 응축되거나 분해된다. 예를 들면, 기상 혼합의 유로가 길어짐에 따라, 원료 가스와 산화 가스의 혼합은 온도 변화에 쉽게 영향을 받아 열역학적으로 불안정한 상태로 되기 때문에, 기판에 도달하기 이전에 조기 반응이 일어나, 의도하지 않은 증착이 발생한다. 이러한 침전은 가스 분출 노즐을 막을 수도 있고 역류를 일으켜 기판 상의 침전막을 오염시킨다.
반면에, 원료 가스와 산화 가스가 분출 노즐을 거쳐 통과한 다음에 혼합된다면, 분출 노즐의 막힘을 피할 수 있더라도, 기판에 유효한 짧은 거리 내에서 균일하게 가스를 혼합하기가 대단히 어렵다. 분출 노즐을 더욱 미세하게 하거나, 분출 헤드와 기판 사이의 거리를 증가시켜, 균일하게 혼합하려는 시도들은, 장치를 더욱 복잡하고, 바람직하지 못하게 대형화시키기 때문에, 실질적인 해결책을 제공하지 못하였다.
본 발명의 목적은 가스 운송 경로를 따라 일어나는 조기 반응을 억제하여, 안정한 열역학 상태로 균일한 농도 및 조성의 가스 혼합물을 기판 표면에 운송할 수 있는 반응가스 분출 헤드를 제공하는 데에 있다.
도 1은 본 발명의 박막 증착 장치의 실시형태의 전체 단면도이고,
도 2는 본 발명의 분출 헤드의 단면도이고,
도 3은 분출 헤드 본체의 분해도이고,
도 4A, 4B는 분출 헤드 본체의 분배판의 평면도이고,
도 5는 도 2의 A-A 단면의 평면도이고,
도 6은 노즐판의 주요부품의 단면도이고,
도 7A 및 7B는 본 발명의 다른 실시형태의 분출 헤드의 확대 단면도이다.
상기한 목적은, 이면판과 노즐판을 가지되, 그 사이에 가스 혼합 공간이 한정되며, 상기 노즐판은 다수의 가스 분출 노즐을 가지는 반응가스 분출 헤드 본체; 및 이면판의 중앙부를 통하여 분출 헤드 본체와 연결되어, 적어도 두가지 종류의 기체 물질을 개별적으로 혼합 공간에 도입시키는 가스 공급관과 이면판과 노즐판 사이에 형성되어 가스 공급관으로부터 적어도 두가지 종류의 기체 물질을 안내하여 개별적으로 가스 혼합 공간의 주변부를 향하도록 하는 가스 분배로를 포함하여 구성되는 반응 가스 분출 헤드에 의해서 달성된다.
본 장치에 있어서, 가스 분배로의 모양은 예를 들어, 방사상으로 뻗어있는 홈이나 두 판 사이의 평면 공간 등, 응용하는 데에 따라 적합하게 수정될 수 있다. 기체 물질은 분배로를 따라 개별적으로 혼합 공간의 가장자리로 운송되고, 혼합 공간의 주변을 향하게 된 후에, 흐름이 혼합 공간의 중앙부를 향한다. 혼합 공간의 주변부에서, 가스는 거의 180도로 방향을 바꾸므로, 주변 방향으로 흩어지는 동안, 흐름 내에 강한 난류가 생성되어, 반응 기체의 혼합물이 효과적으로 생성된다. 균일하게 혼합된 처리 기체는 다수의 가스 분출 노즐을 통하여 제 2 혼합 공간으로부터 연속하여 흘러, 노즐판에 걸쳐 균일한 분출 흐름을 생성한다.
분출 헤드에는 이면판과 노즐판 사이에 위치하는 적어도 두가지 분배판이 제공되어, 이면판과 노즐판 사이에 가스 분배로를 형성할 수 있다. 따라서, 이러한 판 부품에 예를 들어, 홈이나 돌출부가 형성될 수 있어, 간단한 디자인을 사용하는 적합한 가스 분배로가 제공될 수 있다. 이러한 판 부품에 의해 형성된 평면 공간은 가스를 수송하는 데 직접적으로 사용될 수 있다. 이면판과 분배판 사이 또는 분배판들 사이가 가깝게 접촉되도록 하여, 부품 사이에 우수한 열전도를 유지할 수 있으므로, 쉽게 접근할 수 있는 이면판을 통하여 분배로의 온도를 간접적으로 제어할 수 있다. 그러므로, 분배판 내부에 열통로를 형성하지 않고, 분배로의 온도를 적절하게 제어하여, 기체 분배로를 포함하는 혼합 공간 내에서 처리 기체가 응축 또는 분해하는 것을 방지할 수 있다.
분출 헤드는 이면판과 노즐판 사이에 형성된 가스 혼합 공간에 다수의 가스 분산 구멍을 가지는 분산판을 가질 수 있다. 따라서, 가스 분산 공간이 또한 노즐판의 상부에 생성될 수 있어, 처리 기체를 더욱 혼합할 수 있을 뿐아니라, 전체 노즐판을 가로지르는 처리 기체의 압력분포를 향상시켜 전체 기판 표면상에 균일한 상태로 가스 분출 흐름을 운송한다.
혼합 공간의 주변 벽은 혼합 공간의 주변부를 향하여 기울어진 경사면을 포함할 수 있다. 이러한 디자인은 혼합 공간의 주변에서 흐름을 강하게 편향시켜, 처리 가스가 주변을 따라 분산되도록 하여, 처리 기체의 혼합을 촉진하기 위해서 채택한다. 벽의 가파른 경사는 중심부로 향하는 흐름의 방향을 바꾸어, 균일하게 혼합된 가스가 주변을 따라 혼합 및 분산되는 다음 단계로 향하도록 한다.
가스 분배로를 필요한 온도로 유지하여, 가스 분출로의 온도를 가스 분배로 내의 처리 가스의 응축 또는 분해를 방지하도록 정밀하게 제어할 수 있는 온도 조절 수단이 제공될 수 있다.
처리기체가 분출 노즐를 향하여 이동할 때, 가스 분배로의 총단면적이 가스 공급관의 총단면보다 작으며, 가스 분출 노즐 단면적의 합이 가스 분산 구멍의 단면적의 합보다 작도록 분출 헤드를 설계할 수 있다. 즉, S1, S2, S3및 S4가 각각 공급관의 단면적, 가스 분배로, 가스 분산구멍 및 가스 분출 노즐을 나타낼 때, 이들사이에는 하기의 관계가 성립된다.
S1S2및 S3S4
따라서, 분배판은 분산 공간을 가로지르는 압력과 동일할 뿐아니라 혼합 공간 내의 처리가스 압력과 동일한 배압을 발생시켜, 처리가스가 모든 분출 노즐로부터 균일한 구동력으로 분출될 수 있다.
반응 가스 분출 헤드는 주변부 내의 배압을 유지시켜 중앙부 내 처리 가스의 내부 흐름을 제어할 수 있도록, 혼합 공간의 주변부 및 중앙부에 고리형의 좁은 통로부를 포함할 수 있다.
본 발명의 상기한 목적, 특성 및 장점은, 본 발명의 바람직한 예를 들어 도시한 첨부 도면과 관련된 하기의 설명으로 명확해질 것이다.
실시예
가스 분출 헤드의 바람직한 실시형태를 도 1 내지 도 6에 참조하여 설명한다.
도 1은 용기부(12)를 포함하는 반응실(10); 용기부(12) 저부(14)의 중앙부로 열려있는 통상부(16) 내를 승강할 수 있는 서셉터(기판 지지수단, 18); 및 용기부(12)의 상단부에 부착된 분출 헤드 본체(20)를 포함하여 이루어지는, 기판(W) 상에 박막을 형성하기 위한 증착 장치의 전체 단면도이다.
용기부(12), 저부(14), 통상부(16) 및 분출 헤드(20)에는 각각 가열된 열매질을 순환시키는 열매질 통로(22, 24, 26, 28a 및 28c)가 모두 제공되며, 이들 통로는 외부 배관(30)을 통하여 펌프 등의 수송장치(32) 및 가열장치(34)를 포함하여 이루어지는 열매질 순환 유닛(36)과 연결된다. 도 1에는 나타나있지 않으나, 장치의 중앙부를 통하여 냉매를 순환시키기 위한 냉각 유닛이 또한 설치되어 있다. 저부(14)에는 진공 펌프(도시되어 있지 않음)와 연결된, 배기 가스 배출용 배기구(38)가 있다.
서셉터(18)는 지지축(40)을 경유하여 반응실(10) 아래에 위치한 승강장치(42)와 연결되어, 통상부(16) 내를 승강할 수 있다. 통상부(16)에는 기판 수송구(48)가 로봇장치(44)를 포함하는 로봇실(46)과 나란한 적당한 높이에 제공된다. 기판 수송구(48)는 벨로우즈(통로, 50)를 통하여 로봇실(46)의 게이트(52)에 연결된다. 세정 가스 공급구(54)가 제공되어, 기판 수송구(48)에 개방되어 있다. 서셉터(18)에는 기판(W)을 가열하기 위한 가열기(56)가 제공되며, 적합한 위치에 설치된 기판 온도센서의 측정치에 의거하여 가열기(56)로의 전력 공급을 조절함으로써, 기판(W)의 온도를 소정 온도로 일정하게 유지한다.
도 2에 있어서, 분출 헤드(20)는 기판(W)에 면한 노즐판(60); 이면판(62) 및 주변벽(64)에 의하여 평판상의 혼합 공간(66)을 형성하는 분출 헤드 본체(68); 및 이면판(62)으로부터 연장되고 분출 헤드 본체(68)와 연결되어 적어도 두가지 종류의 반응가스를 가스 혼합 공간(66)에 공급하는 가스 공급관(70)을 포함하여 구성된다. 가스 공급관(70)은 동축 다중관으로, 중심에는 노즐판(60) 표면에 이르는 열전쌍(온도 센서, 72)이 삽입되어 있다.
도 3에 있어서, 노즐판(60)은 기판(W)보다 약간 작으며, 수직으로 연장된 주변벽(64)과 함께 유닛으로 구성되며, 노즐판(60)의 상측에 하기의 판 어셈블리를 수용하기 위한 저장부를 형성한다. 도 6에 나타낸 바와 같이, 노즐판(60)은 각각 제트노즐 모양의 가스 분출 노즐(74)을 가지는 다수의 노즐 요소(76)을 포함하여 구성되며, 또한 각각의 노즐요소(76)를 둘러싸며 형성되는 열매질 통로(28a)를 포함한다. 도 1에 나타낸 가스 출입구(28b, 28b')는 노즐판(60)를 통한 열매질의 출입 위치를 제공한다.
도 3에 있어서, 다양한 평판형 판이 노즐판(60)의 상부에 순차 장착된다. 첫 번째는 다수의 가스 분산 구멍(78)을 가져, 도 2에 나타낸 노즐판(60)과 함께 가스 분산 공간(82)을 형성하는 분산판(80)이다. 분산판(80)의 주변부는 수직으로 연장된 옹벽(84)이 형성되어, 분산판(80) 상하에 가스 혼합 공간을 형성한다. 가스 분산 구멍(78)로부터 가스 분출 노즐(74)로의 직접적인 흐름을 피하기 위하여, 가스 분산 구멍(78)은 노즐판(60) 상의 가스 분출 노즐(74)과 엇갈려 배치된다. 가스 분산 구멍(78)을 통한 가스 흐름의 총단면적 S3는 가스 분출 노즐(74)을 통한 가스 흐름의 총단면적 S4보다 크도록 선택된다. 이면판(62)은 분산판(80) 옹벽(84)의 상측 모서리에 접하도록 장착되며, 동축 가스 공급관(70)은 이면판(62)의 중심부에 삽입된다.
장치의 윗면 전체, 즉, 주변벽(64)의 내면, 이면판(62)의 윗면 및 가스공급관(70)의 외면을 덮도록 외피(86, 도 2 참조)가 제공된다. 외피(86)와 주변벽(64)의 사이에는 링씨일(ring seal, 88)이 끼워져 외피가 저장부 내부에 꼭 맞는다. 도 5에 나타낸 바와 같이, 열매질 통로(28c)는 외피(86), 이면판(62)의 윗면 및 가스 공급관(70)의 외면에 의해서 한정되어, 하기에 설명할 가스 공급관(70) 및 분배판(92,94)에 열을 공급한다.
이면판(62)과 분배판(80) 사이에 형성된 공간에는, 도 3에 나타낸 바와 같이 각 윗면에 방사상으로 연장된 채널(가스 분배 통로, 90)이 각각 형성된 상부 및 하부 분배판(92, 94)이 제공된다. 본 실시예에서는, 이러한 채널(90)이 각각의 분배판(92, 94)상에 축에 대칭으로 동일한 수로 형성된다. 가스 공급관(70)의 외측 가스 공급로(96)는 상부 분배판(92) 상에 형성된 중앙 가스 분배 함침부(100)를 통하여 상부 분배판(92)과 이면판(62) 사이에 형성된 채널(90)과 연결되며, 유사하게, 내측 가스 공급로(98)는 하부 분배판(94) 상에 형성된 중앙 가스 분배 함침부(100)을 통하여 상부 및 하부 분배판(92, 94) 사이에 형성된 채널(90)과 연결된다.
고리형의 제 1 혼합 공간(66a)은 분배판(92, 94)의 외면 및 분산판(80)의 옹벽(84) 사이에 형성되며; 평판형의 제 2 혼합공간(66b)은 하부 분배판(94) 및 분산판(80) 사이에 형성된다. 이러한 제 1 및 제 2 혼합 공간(66a, 66b)은 가스 혼합 공간(66)을 포함하여 구성된다. 이러한 실시예에서, 옹벽(84)의 내면은 분산판(80)의 중앙을 향하여 기울어진 경사면(102)을 형성하여, 공급 가스 및 분배판(92, 94)의 채널(90)을 통하여 각각 배출된 산화 가스를 편향시킬 수 있으므로, 이들을 제 1 혼합 공간(66)에서 혼합하여, 제 2 혼합 공간(66b)으로 원활하게 안내할 수 있다.
한편으로는 분배판(92) 및 이면판(62), 그리고 다른 한편으로는 두 분배판(92, 94)끼리가 채널(90)을 제외한 모든 부분에서 서로 인접하게 접하므로, 이면판(62)에 대한 열전도가 우수하다. 열매질 통로(28c)를 통하는 열매질 흐름에 의해서 이면판(62)이 특정 온도로 유지되기 때문에, 분배판(92, 94)이 또한 원료 가스의 응축이나 분해가 일어나지 않는 온도로 유지된다.
분출 헤드는 처리 가스, 즉, 원료가스와 산화 가스의 혼합물이, 처리가스가 아래로 이동함에 따라 단면적이 점차적으로 감소되도록 설계된 가스 통로를 통하여 흐르도록 설계된다. 다시 말하면, 총단면적 S1, S2, S3및 S4사이에는,
S1S2 ,S3S4의 관계가 있다.
상기 식에서, S1는 가스 공급관(70)의 두 통로(96, 98)의 단면적의 합이고; S2는 두 분배판(92, 94)의 채널(90) 단면적의 합이고; S3는 분산판(80)의 분산 구멍(78)의 단면적의 합이고; S4는 노즐판(60) 내의 가스 분출 노즐(74)의 단면적의 합이다. 따라서, 적당한 정도의 배압을 생성시켜, 다양한 유로, 즉, 분배판(92, 94)상의 채널(90) 및 가스 분산 공간(82)에서의 처리 가스 압력을 균일하게 제어함으로써, 처리 가스가 모든 가스 분출 노즐(74)로부터 노즐판(60)을 가로질러 균일하게 분출된다.
분배판(92, 94)에 형성된 채널의 수는 두가지 상반된 인자에 의해서 결정된다. 방사상으로 가스를 균일하게 분배하는 면에서는 채널의 수를 많게 해야 하지만; 가공 노력면에서는 채널의 수가 더 작은 것이 바람직하다. 본 실시예에서는, 채널 수가 채널(90)의 인접 개구들 사이의 주변 간격이 45mm보다 작도록 되는 경우, 장치가 원활하게 작동함을 알 수 있다.
반응 기체 분출 헤드의 작동을 하기에 설명한다. 원료기체 및 산화 기체를 각각의 공급원(도시하지 않음)으로부터 개별적으로 공급관(70)으로 도입한다. 원료기체는 예를 들어, Ba(DPM)2, Sr(DPM)2및 Ti(i-OC3H7)와 같은 유기금속 화합물을 용매에 용해시킨 용액를 기화시키고, 생성된 증기를 Ar과 같은 캐리어 가스와 혼합하여 공급한다. 산화가스는 오조나이저에 의해서 생성된 오존 가스, O3를 첨가하거나 첨가하지 않은 O2, N2O, H2O와 같이 산소를 함유하는 가스 중에서 선택할 수 있다.
가스는 가스 공급관(70)으로부터 개별적으로 도입되며[본 실시예에서는, 공급로(96)으로부터 원료 가스, 공급로(98)로부터 산화가스], 각각의 가스 분배 함침부(100) 및 채널(90)을 통하여 흐른 후, 독립적으로 원료 가스 및 산화 가스를 제 1 혼합 공간(66a)으로 안내하고, 각각 경사면(102)를 향하도록 하여, 가스가 만나고, 편향하여 제 2 혼합 공간(66b)의 중앙부를 향하여 흐르게 한다. 전체 공정에 있어서, 원료 가스 및 산화 가스는 두 혼합 공간(66a, 66b)에서 분산되고, 서로 혼합된다. 특히, 제 1 혼합 공간(66a)에서는, 주변 방향을 따라 채널 개구로부터 퍼져나오는 동시에 옹벽(84)의 경사면(102)을 따라 아래로 흐르는 가스의 복잡한 흐름 패턴으로 인해 강한 난류가 형성되기 때문에, 잘 혼합된다.
제 2 혼합공간(66b)에서, 처리가스는 주변으로부터 중앙부를 향하여 흐른다. 처리 가스가 제 2 혼합 공간(66b)으로 흐를 때, 가스는 연속 분산 구멍(78)을 통하여 분산판(80) 아래에 형성된 분산 공간(82)으로 확산된다. 제 2 혼합 공간(66b)은 평판모양이므로, 흐름의 단면적을 더 크게함으로써, 주변부로 더 많은 처리 가스를 유입할수 있으며, 반면에, 유량이 적은 중앙부 근처의 경우에는 흐름의 단면적이 또한 작다. 전체적으로 처리 가스의 압력이 분산판(80)에 걸쳐 더욱 균일하며, 그 결과 제 2 혼합 공간(66b)에서는 흐름패턴이 원활하며 압력 변동이나 난류가 생기지 않는 효과가 있다. 분산 공간(82)에서는, 노즐 요소(76) 및 분산판(80)이 S3S4의 관계를 달성하도록 설계되는 조건에 의해서, 처리가스가 유출된다. 이러한 조건은 분산 공간(82) 중에 배압이 생성되는 것을 도와, 그 결과 균일한 구동력으로 노즐 요소(76)의 분출 노즐(74)를 통하여 처리 가스를 분출시킨다.
상기의 작동 과정에서, 열유닛(36)에서 열매질 유동관(30)을 통하여, 노즐판(60), 주변벽(64), 외피(86) 및 이면판(62)의 열매질 통로(28)로 공급된 열매질의 순환에 의해서, 장치의 여러 주요 부분이 적당한 소정 온도로 유지된다. 이면판(62)과 상부 분배판(92), 두 분배판(92, 94)끼리는 채널(90)을 제외하고는 밀착되어 있어, 서로 우수한 열전도가 유지된다. 그러므로, 분배판(92, 94)의 온도는 이면판(62)의 온도를 조절함으로써 간접적으로 제어할 수 있어, 채널(90)의 온도를 원료 가스 및 산화 가스의 응축이나 분해를 방지하는 적정 온도로 조절할 수 있다.
도 7A 및 7B는 제 1 혼합 공간(66a)과 제 2 혼합 공간(66b)사이의 경계 부위에 좁은 통로부(104)가 제공되는 가스 분출 헤드의 다른 실시형태를 나타낸다. 도 7A에 나타낸 실시형태에서는, 하부 분배판(94)의 아래면에 고리형 돌출부(106)가 제공되어, 분산판(80)의 윗면과의 사이에 고리형의 좁은 통로부(104)를 형성한다. 도 7B에 나타낸 실시형태에서는, 분산판(80) 윗면, 경사면(102) 아래의 모퉁이에 고리형 돌출부(108)가 제공된다. 좁은 부위(104)의 유로영역은 제 1 혼합 공간(66a)의 유로영역을 초과하지 않도록 선택된다.
이러한 구성으로, 분출 헤드는 제 1 가스 혼합 공간(66a) 내의 배압을 유지시켜, 처리 가스가 주변 방향을 따라 균일하게 제 2 혼합 공간(66b)으로 유입되도록 제어할 수 있으므로, 제 2 혼합 공간(66b)에서 균일하고 안정한 가스 압력을 분배할 수 있다. 따라서, 분산판(80)을 통하여 분산 공간(82)으로 유입되는 가스 흐름의 균일도가 분산판(80)에 걸쳐 유지되고, 최종적으로 노즐판(60)을 가로질러 노즐 요소(76)로 유입되는 가스 흐름의 균일도가 향상된다.
본 발명에 의하면 가스 수송로를 따라 발생하는 조기 반응을 방지하여, 기판 표면에 안정하고 균일한 열역학 상태로 운송되도록, 처리 가스를 균일한 농도 및 조성으로 혼합할 수 있는 반응가스 분출 헤드가 제공된다.

Claims (8)

  1. 이면판과 다수의 가스 분출 노즐을 가지는 노즐판 사이에 가스 혼합 공간을 형성하는 분출 헤드 본체; 및
    상기 이면판의 중앙부를 통하여 상기 분출 헤드 본체와 연결되어, 개별적으로 적어도 두 종류의 가스 물질을 상기 혼합 공간으로 도입하는 가스 공급관을 포함하여 구성되며,
    상기 이면판 및 상기 노즐판 사이에는, 상기 적어도 두 종류의 가스 물질을 상기 가스 공급관으로부터 안내하여 개별적으로 상기 혼합 공간의 주변부를 향하도록 하는 가스 분배 통로가 형성되어 있는 증착 장치용 반응 가스 분출 헤드.
  2. 제 1 항에 있어서,
    상기 이면판과 상기 노즐판 사이에 상기 가스 분배 통로가 형성되도록 상기 이면판과 상기 노즐판 사이에 적어도 두 개의 분배판이 배치되는 것을 특징으로 하는 증착 장치용 반응 가스 분출 헤드.
  3. 제 1 항에 있어서,
    상기 이면판 및 상기 노즐판 사이의 상기 가스 혼합 공간 내에 다수의 가스 분산 구멍을 가지는 분산판이 제공되는 것을 특징으로 하는 증착 장치용 반응 가스 분출 헤드.
  4. 제 1 항에 있어서,
    상기 혼합 공간의 주변벽이 상기 혼합 공간의 중앙부를 향하여 기울어진 경사면을 포함하여 구성되는 것을 특징으로 하는 증착 장치용 반응 가스 분출 헤드.
  5. 제 1 항에 있어서,
    상기 가스 분배 통로 및 상기 노즐판을 소정 온도로 유지하기 위한 온도 조절 수단이 제공되는 것을 특징으로 하는 증착 장치용 반응 가스 분출 헤드.
  6. 제 1항에 있어서,
    상기 가스 분배 통로의 총단면적이 상기 가스 공급관의 총단면적보다 작은 것을 특징으로 하는 증착 장치용 반응 가스 분출 헤드.
  7. 제 3 항에 있어서,
    상기 가스 분출 노즐의 단면적의 합이 상기 가스 분산 구멍의 단면적의 합보다 작은 것을 특징으로 하는 증착 장치용 반응 가스 분출 헤드.
  8. 제 1 항에 있어서,
    상기 혼합 공간의 주변부와 중앙부 사이에 고리형의 좁은 통로부위가 제공되는 것을 특징으로 하는 증착 장치용 반응 가스 분출 헤드.
KR1019970051912A 1996-10-11 1997-10-10 반응가스분출헤드 KR100492258B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP28922196 1996-10-11
JP8-289221 1996-10-11

Publications (2)

Publication Number Publication Date
KR19980032712A true KR19980032712A (ko) 1998-07-25
KR100492258B1 KR100492258B1 (ko) 2005-09-02

Family

ID=17740362

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019970051912A KR100492258B1 (ko) 1996-10-11 1997-10-10 반응가스분출헤드

Country Status (4)

Country Link
US (1) US5950925A (ko)
EP (1) EP0835950B1 (ko)
KR (1) KR100492258B1 (ko)
DE (1) DE69706936T2 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100856690B1 (ko) * 2004-05-12 2008-09-04 어플라이드 머티어리얼스, 인코포레이티드 가스 확산홀 구조에 의한 균일한 플라즈마 제어
KR101121417B1 (ko) * 2004-10-28 2012-03-15 주성엔지니어링(주) 표시소자의 제조장치

Families Citing this family (395)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW415970B (en) * 1997-01-08 2000-12-21 Ebara Corp Vapor-phase film growth apparatus and gas ejection head
JP3341619B2 (ja) * 1997-03-04 2002-11-05 東京エレクトロン株式会社 成膜装置
US6106625A (en) * 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US6395640B2 (en) 1999-12-17 2002-05-28 Texas Instruments Incorporated Apparatus and method for selectivity restricting process fluid flow in semiconductor processing
US20020053694A1 (en) 1998-06-10 2002-05-09 Sutcliffe Victor C. Method of forming a memory cell with self-aligned contacts
US6228208B1 (en) * 1998-08-12 2001-05-08 Applied Materials, Inc. Plasma density and etch rate enhancing semiconductor processing chamber
US6454860B2 (en) * 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
US6300255B1 (en) * 1999-02-24 2001-10-09 Applied Materials, Inc. Method and apparatus for processing semiconductive wafers
KR100328820B1 (ko) * 1999-02-25 2002-03-14 박종섭 화학기상증착 장비의 가스분사장치
JP2000249058A (ja) 1999-02-26 2000-09-12 Ebara Corp トラップ装置
TW582050B (en) * 1999-03-03 2004-04-01 Ebara Corp Apparatus and method for processing substrate
KR100302609B1 (ko) * 1999-05-10 2001-09-13 김영환 온도가변 가스 분사 장치
DE19921744B4 (de) * 1999-05-11 2008-04-30 Applied Materials Gmbh & Co. Kg Verfahren zum Transport von mindestens einer dampfförmigen Substanz durch die Wand einer Vakuumkammer in die Vakuumkammer sowie Vorrichtung zur Durchführung des Verfahrens und deren Verwendung
US7515264B2 (en) * 1999-06-15 2009-04-07 Tokyo Electron Limited Particle-measuring system and particle-measuring method
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US7554829B2 (en) 1999-07-30 2009-06-30 Micron Technology, Inc. Transmission lines for CMOS integrated circuits
US6943392B2 (en) 1999-08-30 2005-09-13 Micron Technology, Inc. Capacitors having a capacitor dielectric layer comprising a metal oxide having multiple different metals bonded with oxygen
JP4487338B2 (ja) * 1999-08-31 2010-06-23 東京エレクトロン株式会社 成膜処理装置及び成膜処理方法
US6537420B2 (en) 1999-12-17 2003-03-25 Texas Instruments Incorporated Method and apparatus for restricting process fluid flow within a showerhead assembly
US6335049B1 (en) * 2000-01-03 2002-01-01 Micron Technology, Inc. Chemical vapor deposition methods of forming a high K dielectric layer and methods of forming a capacitor
US6558517B2 (en) 2000-05-26 2003-05-06 Micron Technology, Inc. Physical vapor deposition methods
TWI297510B (ko) * 2000-09-08 2008-06-01 Tokyo Electron Ltd
US6641673B2 (en) * 2000-12-20 2003-11-04 General Electric Company Fluid injector for and method of prolonged delivery and distribution of reagents into plasma
KR100922241B1 (ko) * 2001-02-09 2009-10-15 도쿄엘렉트론가부시키가이샤 성막 장치 및 샤워헤드 구조체
US6852167B2 (en) * 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
US20030017266A1 (en) 2001-07-13 2003-01-23 Cem Basceri Chemical vapor deposition methods of forming barium strontium titanate comprising dielectric layers, including such layers having a varied concentration of barium and strontium within the layer
TWI224815B (en) * 2001-08-01 2004-12-01 Tokyo Electron Ltd Gas processing apparatus and gas processing method
US7011978B2 (en) 2001-08-17 2006-03-14 Micron Technology, Inc. Methods of forming capacitor constructions comprising perovskite-type dielectric materials with different amount of crystallinity regions
US8026161B2 (en) 2001-08-30 2011-09-27 Micron Technology, Inc. Highly reliable amorphous high-K gate oxide ZrO2
US6844203B2 (en) 2001-08-30 2005-01-18 Micron Technology, Inc. Gate oxides, and methods of forming
US20030047282A1 (en) * 2001-09-10 2003-03-13 Yasumi Sago Surface processing apparatus
US6953730B2 (en) 2001-12-20 2005-10-11 Micron Technology, Inc. Low-temperature grown high quality ultra-thin CoTiO3 gate dielectrics
US6767795B2 (en) 2002-01-17 2004-07-27 Micron Technology, Inc. Highly reliable amorphous high-k gate dielectric ZrOXNY
US6812100B2 (en) 2002-03-13 2004-11-02 Micron Technology, Inc. Evaporation of Y-Si-O films for medium-k dielectrics
KR100455430B1 (ko) * 2002-03-29 2004-11-06 주식회사 엘지이아이 열교환기 표면처리장비의 냉각장치 및 그 제조방법
KR100829327B1 (ko) * 2002-04-05 2008-05-13 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반응 용기
US20040025787A1 (en) * 2002-04-19 2004-02-12 Selbrede Steven C. System for depositing a film onto a substrate using a low pressure gas precursor
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US7135421B2 (en) 2002-06-05 2006-11-14 Micron Technology, Inc. Atomic layer-deposited hafnium aluminum oxide
US7205218B2 (en) * 2002-06-05 2007-04-17 Micron Technology, Inc. Method including forming gate dielectrics having multiple lanthanide oxide layers
US6804136B2 (en) * 2002-06-21 2004-10-12 Micron Technology, Inc. Write once read only memory employing charge trapping in insulators
US7193893B2 (en) 2002-06-21 2007-03-20 Micron Technology, Inc. Write once read only memory employing floating gates
US7221586B2 (en) 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide nanolaminates
US7221017B2 (en) 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide-conductor nanolaminates
US6921702B2 (en) * 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US6884739B2 (en) 2002-08-15 2005-04-26 Micron Technology Inc. Lanthanide doped TiOx dielectric films by plasma oxidation
US6967154B2 (en) * 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
US7199023B2 (en) 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
US6946033B2 (en) * 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
US20040052969A1 (en) * 2002-09-16 2004-03-18 Applied Materials, Inc. Methods for operating a chemical vapor deposition chamber using a heated gas distribution plate
US7101813B2 (en) 2002-12-04 2006-09-05 Micron Technology Inc. Atomic layer deposited Zr-Sn-Ti-O films
US6958302B2 (en) * 2002-12-04 2005-10-25 Micron Technology, Inc. Atomic layer deposited Zr-Sn-Ti-O films using TiI4
US20040118519A1 (en) * 2002-12-20 2004-06-24 Applied Materials, Inc. Blocker plate bypass design to improve clean rate at the edge of the chamber
JP2007500794A (ja) * 2003-05-16 2007-01-18 エスブイティー アソーシエイツ インコーポレイテッド 薄膜蒸着エバポレーター
KR100526928B1 (ko) * 2003-07-16 2005-11-09 삼성전자주식회사 식각장치
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US20050233477A1 (en) * 2004-03-05 2005-10-20 Tokyo Electron Limited Substrate processing apparatus, substrate processing method, and program for implementing the method
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US20050252447A1 (en) * 2004-05-11 2005-11-17 Applied Materials, Inc. Gas blocker plate for improved deposition
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US8074599B2 (en) * 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US7622005B2 (en) * 2004-05-26 2009-11-24 Applied Materials, Inc. Uniformity control for low flow process and chamber to chamber matching
US7572337B2 (en) * 2004-05-26 2009-08-11 Applied Materials, Inc. Blocker plate bypass to distribute gases in a chemical vapor deposition system
JP5519105B2 (ja) * 2004-08-02 2014-06-11 ビーコ・インストゥルメンツ・インコーポレイテッド 化学気相成長の方法及び化学気相成長リアクタ用のガス供給システム
US7628863B2 (en) * 2004-08-03 2009-12-08 Applied Materials, Inc. Heated gas box for PECVD applications
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US7886687B2 (en) * 2004-12-23 2011-02-15 Advanced Display Process Engineering Co. Ltd. Plasma processing apparatus
KR100661744B1 (ko) * 2004-12-23 2006-12-27 주식회사 에이디피엔지니어링 플라즈마 처리장치
JP2006179770A (ja) * 2004-12-24 2006-07-06 Watanabe Shoko:Kk 基板表面処理装置
JP4918224B2 (ja) * 2005-01-21 2012-04-18 昭和シェル石油株式会社 透明導電膜製膜装置及び多層透明導電膜連続製膜装置
US8251012B2 (en) * 2005-03-01 2012-08-28 Hitachi Kokusai Electric Inc. Substrate processing apparatus and semiconductor device producing method
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
KR100629358B1 (ko) * 2005-05-24 2006-10-02 삼성전자주식회사 샤워 헤드
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
DE102005055468A1 (de) * 2005-11-22 2007-05-24 Aixtron Ag Verfahren zum Abscheiden von Schichten in einem CVD-Reaktor sowie Gaseinlassorgan für einen CVD-Reaktor
CN101003895B (zh) * 2006-01-16 2011-10-19 中微半导体设备(上海)有限公司 一种传送反应物到基片的装置及其处理方法
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
WO2007111348A1 (ja) * 2006-03-28 2007-10-04 Hitachi Kokusai Electric Inc. 基板処理装置
JP5045000B2 (ja) * 2006-06-20 2012-10-10 東京エレクトロン株式会社 成膜装置、ガス供給装置、成膜方法及び記憶媒体
US7501355B2 (en) 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
US7563730B2 (en) 2006-08-31 2009-07-21 Micron Technology, Inc. Hafnium lanthanide oxynitride films
KR100849929B1 (ko) * 2006-09-16 2008-08-26 주식회사 피에조닉스 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
US20080099147A1 (en) * 2006-10-26 2008-05-01 Nyi Oo Myo Temperature controlled multi-gas distribution assembly
JP2008186865A (ja) * 2007-01-26 2008-08-14 Tokyo Electron Ltd 基板処理装置
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US20080317973A1 (en) * 2007-06-22 2008-12-25 White John M Diffuser support
JP5568212B2 (ja) * 2007-09-19 2014-08-06 株式会社日立国際電気 基板処理装置、そのコーティング方法、基板処理方法及び半導体デバイスの製造方法
US20090095221A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US8673080B2 (en) * 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US20090095222A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
US8628621B2 (en) * 2007-12-31 2014-01-14 Jusung Engineering Co., Ltd. Gas injector and film deposition apparatus having the same
KR101192326B1 (ko) * 2007-12-31 2012-10-17 (주)에이디에스 가스분사장치 및 이를 구비하는 박막증착장치
KR101004927B1 (ko) * 2008-04-24 2010-12-29 삼성엘이디 주식회사 Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치
FR2930561B1 (fr) * 2008-04-28 2011-01-14 Altatech Semiconductor Dispositif et procede de traitement chimique en phase vapeur.
JP2010016225A (ja) * 2008-07-04 2010-01-21 Tokyo Electron Ltd 温度調節機構および温度調節機構を用いた半導体製造装置
JP5231117B2 (ja) * 2008-07-24 2013-07-10 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
US8895107B2 (en) 2008-11-06 2014-11-25 Veeco Instruments Inc. Chemical vapor deposition with elevated temperature gas injection
US8293013B2 (en) * 2008-12-30 2012-10-23 Intermolecular, Inc. Dual path gas distribution device
CN102422390B (zh) * 2009-03-16 2015-05-13 奥塔装置公司 气相沉积反应器系统及其方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2011044451A2 (en) * 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
US9034142B2 (en) * 2009-12-18 2015-05-19 Novellus Systems, Inc. Temperature controlled showerhead for high temperature operations
KR100996210B1 (ko) * 2010-04-12 2010-11-24 세메스 주식회사 가스 분사 유닛 및 이를 이용한 박막 증착 장치 및 방법
US20110256692A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US8551248B2 (en) * 2010-04-19 2013-10-08 Texas Instruments Incorporated Showerhead for CVD depositions
US9441295B2 (en) * 2010-05-14 2016-09-13 Solarcity Corporation Multi-channel gas-delivery system
WO2012122054A2 (en) 2011-03-04 2012-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
TWI534291B (zh) * 2011-03-18 2016-05-21 應用材料股份有限公司 噴淋頭組件
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9109754B2 (en) 2011-10-19 2015-08-18 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
WO2013070438A1 (en) * 2011-11-08 2013-05-16 Applied Materials, Inc. Precursor distribution features for improved deposition uniformity
US9388494B2 (en) * 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9121097B2 (en) * 2012-08-31 2015-09-01 Novellus Systems, Inc. Variable showerhead flow by varying internal baffle conductance
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP2014082354A (ja) * 2012-10-17 2014-05-08 Hitachi High-Technologies Corp プラズマ処理装置
DE102013113817A1 (de) * 2012-12-14 2014-06-18 Aixtron Se Gasmischvorrichtung
US9267417B2 (en) * 2013-10-31 2016-02-23 Faurecia Emissions Control Technologies Usa, Llc Diffuser plate
KR102376429B1 (ko) 2013-12-18 2022-03-17 램 리써치 코포레이션 균일성 베플들을 포함하는 반도체 기판 프로세싱 장치
KR101560623B1 (ko) * 2014-01-03 2015-10-15 주식회사 유진테크 기판처리장치 및 기판처리방법
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
KR102386812B1 (ko) * 2014-05-16 2022-04-15 어플라이드 머티어리얼스, 인코포레이티드 샤워헤드 설계
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
JP6298383B2 (ja) * 2014-08-19 2018-03-20 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
KR20170055506A (ko) * 2014-09-08 2017-05-19 어플라이드 머티어리얼스, 인코포레이티드 벌집형 다중 구역 가스 분배 플레이트
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11384432B2 (en) 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US9972740B2 (en) 2015-06-07 2018-05-15 Tesla, Inc. Chemical vapor deposition tool and process for fabrication of photovoltaic structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP6606403B2 (ja) * 2015-11-05 2019-11-13 株式会社ニューフレアテクノロジー シャワープレート、気相成長装置および気相成長方法
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6718730B2 (ja) * 2016-04-19 2020-07-08 株式会社ニューフレアテクノロジー シャワープレート、気相成長装置及び気相成長方法
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US9748434B1 (en) 2016-05-24 2017-08-29 Tesla, Inc. Systems, method and apparatus for curing conductive paste
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
CN106011793B (zh) * 2016-07-26 2019-07-26 山西中科潞安紫外光电科技有限公司 气盘及气体反应设备
CN106011792B (zh) * 2016-07-26 2019-01-29 北京中科优唯科技有限公司 旋转预混气的mocvd上下盘匀气组件
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9954136B2 (en) 2016-08-03 2018-04-24 Tesla, Inc. Cassette optimized for an inline annealing system
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10115856B2 (en) 2016-10-31 2018-10-30 Tesla, Inc. System and method for curing conductive paste using induction heating
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) * 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
TWI649446B (zh) * 2017-03-15 2019-02-01 漢民科技股份有限公司 應用於半導體設備之可拆卸式噴氣裝置
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
CN111936664A (zh) 2018-03-19 2020-11-13 应用材料公司 在航空航天部件上沉积涂层的方法
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
WO2019203975A1 (en) * 2018-04-17 2019-10-24 Applied Materials, Inc Heated ceramic faceplate
US11015252B2 (en) 2018-04-27 2021-05-25 Applied Materials, Inc. Protection of components from corrosion
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP6575641B1 (ja) * 2018-06-28 2019-09-18 株式会社明電舎 シャワーヘッドおよび処理装置
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102641752B1 (ko) * 2018-11-21 2024-03-04 삼성전자주식회사 가스 주입 모듈, 기판 처리 장치, 및 그를 이용한 반도체 소자의 제조방법
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200109620A (ko) * 2019-03-13 2020-09-23 (주)포인트엔지니어링 접합부품
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20210138119A (ko) 2019-04-08 2021-11-18 어플라이드 머티어리얼스, 인코포레이티드 포토레지스트 프로파일들을 개질하고 임계 치수들을 튜닝하기 위한 방법들
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
WO2020219332A1 (en) 2019-04-26 2020-10-29 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
CN110904438A (zh) * 2019-12-04 2020-03-24 沈阳拓荆科技有限公司 用于多种化学源之气体分配装置
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11739429B2 (en) 2020-07-03 2023-08-29 Applied Materials, Inc. Methods for refurbishing aerospace components
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1508843A (en) * 1921-06-14 1924-09-16 Fuller Dyson Trousers and like press
US2590948A (en) * 1950-08-03 1952-04-01 Lincoln Brass Works Gas burner and cap for supplying secondary air
US4655395A (en) * 1984-04-17 1987-04-07 The Babcock & Wilcox Company Adjustable conical atomizer
JPH0273624A (ja) * 1988-09-08 1990-03-13 Fujitsu Ltd Cvd用ガス導入装置
JP2671225B2 (ja) * 1989-04-13 1997-10-29 ヤマハ発動機株式会社 2サイクルエンジン
US5106453A (en) * 1990-01-29 1992-04-21 At&T Bell Laboratories MOCVD method and apparatus
US5451435A (en) * 1990-06-18 1995-09-19 At&T Corp. Method for forming dielectric
US5332442A (en) * 1991-11-15 1994-07-26 Tokyo Electron Kabushiki Kaisha Surface processing apparatus
JPH05144753A (ja) * 1991-11-21 1993-06-11 Nissin Electric Co Ltd 薄膜気相成長装置
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
US5378501A (en) * 1993-10-05 1995-01-03 Foster; Robert F. Method for chemical vapor deposition of titanium nitride films at low temperatures
KR950020993A (ko) * 1993-12-22 1995-07-26 김광호 반도체 제조장치
JPH0826460A (ja) * 1994-07-19 1996-01-30 Sumitomo Heavy Ind Ltd 荷役機械のブームコンベヤ装置
JP3534940B2 (ja) * 1995-04-20 2004-06-07 株式会社荏原製作所 薄膜気相成長装置
JPH08325736A (ja) * 1995-05-29 1996-12-10 Ebara Corp 薄膜気相成長装置
JP3380091B2 (ja) * 1995-06-09 2003-02-24 株式会社荏原製作所 反応ガス噴射ヘッド及び薄膜気相成長装置

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100856690B1 (ko) * 2004-05-12 2008-09-04 어플라이드 머티어리얼스, 인코포레이티드 가스 확산홀 구조에 의한 균일한 플라즈마 제어
KR101121417B1 (ko) * 2004-10-28 2012-03-15 주성엔지니어링(주) 표시소자의 제조장치

Also Published As

Publication number Publication date
EP0835950B1 (en) 2001-09-26
US5950925A (en) 1999-09-14
DE69706936D1 (de) 2001-10-31
EP0835950A1 (en) 1998-04-15
KR100492258B1 (ko) 2005-09-02
DE69706936T2 (de) 2002-06-13

Similar Documents

Publication Publication Date Title
KR19980032712A (ko) 반응가스 분출 헤드
US5728223A (en) Reactant gas ejector head and thin-film vapor deposition apparatus
KR100190355B1 (ko) 가스를 표면에 전달하기 위한 일체식 분사 장치
KR100478224B1 (ko) 기상막성장장치및가스분출헤드
US6300255B1 (en) Method and apparatus for processing semiconductive wafers
US6176929B1 (en) Thin-film deposition apparatus
US5741363A (en) Interiorly partitioned vapor injector for delivery of source reagent vapor mixtures for chemical vapor deposition
KR100974848B1 (ko) 혼합기, 박막 제조 장치 및 박막 제조 방법
EP0799907A1 (en) Liquid material vaporizer apparatus and gas ejection device
US8197601B2 (en) Vaporizer, vaporization module and film forming apparatus
KR100681738B1 (ko) 화학기상증착 챔버내로의 액체 전달을 위한 방법 및 장치
KR100972801B1 (ko) 샤워헤드를 구비한 반도체 소자 제조 장비
JP2000144432A (ja) ガス噴射ヘッド
KR20050084704A (ko) 가스 산포 방법, 및 샤워 헤드, 및 샤워 헤드를 구비하는반도체 기판 가공 장치
US4834022A (en) CVD reactor and gas injection system
JP3649267B2 (ja) 反応ガス噴射ヘッド
CN1535329A (zh) 将一流体流分成多个分流的流体分配单元
US20020062790A1 (en) Processing apparatus and processing system
TWI758621B (zh) 多段噴淋組件
JPH11158632A (ja) 薄膜気相成長装置
JPH1074746A (ja) 液体原料気化装置
JP2010219421A (ja) 気化器、基板処理装置及び半導体装置の製造方法
KR100972802B1 (ko) 샤워헤드를 구비한 반도체 소자 제조 장비
KR101935881B1 (ko) 대면적 기판처리장치, 대면적 가스공급장치 및 샤워 헤드 지지유닛
JPH09134880A (ja) 半導体装置の製造装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee