KR102604354B1 - 막 균일성 및 두께 프로파일 조절을 위한 멀티-사이클 ald 프로세스 - Google Patents
막 균일성 및 두께 프로파일 조절을 위한 멀티-사이클 ald 프로세스 Download PDFInfo
- Publication number
- KR102604354B1 KR102604354B1 KR1020160086681A KR20160086681A KR102604354B1 KR 102604354 B1 KR102604354 B1 KR 102604354B1 KR 1020160086681 A KR1020160086681 A KR 1020160086681A KR 20160086681 A KR20160086681 A KR 20160086681A KR 102604354 B1 KR102604354 B1 KR 102604354B1
- Authority
- KR
- South Korea
- Prior art keywords
- cycle
- substrate
- deposition
- plasma
- ald
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims abstract description 335
- 230000008569 process Effects 0.000 title claims description 290
- 239000000758 substrate Substances 0.000 claims abstract description 191
- 239000012159 carrier gas Substances 0.000 claims abstract description 139
- 239000002243 precursor Substances 0.000 claims abstract description 139
- 238000000151 deposition Methods 0.000 claims abstract description 86
- 230000008021 deposition Effects 0.000 claims abstract description 79
- 239000007789 gas Substances 0.000 claims description 145
- 238000010926 purge Methods 0.000 claims description 141
- 239000000376 reactant Substances 0.000 claims description 61
- 238000012545 processing Methods 0.000 claims description 55
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 claims description 30
- 239000000203 mixture Substances 0.000 claims description 29
- 239000004065 semiconductor Substances 0.000 claims description 23
- 230000008878 coupling Effects 0.000 claims description 4
- 238000010168 coupling process Methods 0.000 claims description 4
- 238000005859 coupling reaction Methods 0.000 claims description 4
- 238000000231 atomic layer deposition Methods 0.000 abstract description 189
- 210000002381 plasma Anatomy 0.000 description 104
- 239000012071 phase Substances 0.000 description 81
- 235000012431 wafers Nutrition 0.000 description 36
- 239000010408 film Substances 0.000 description 33
- 239000010410 layer Substances 0.000 description 30
- 238000010586 diagram Methods 0.000 description 21
- 239000007788 liquid Substances 0.000 description 20
- 230000001590 oxidative effect Effects 0.000 description 19
- 239000000463 material Substances 0.000 description 18
- 238000002474 experimental method Methods 0.000 description 13
- 238000004519 manufacturing process Methods 0.000 description 11
- 230000001276 controlling effect Effects 0.000 description 10
- 230000000875 corresponding effect Effects 0.000 description 10
- 238000002156 mixing Methods 0.000 description 10
- 229910052710 silicon Inorganic materials 0.000 description 10
- 239000010703 silicon Substances 0.000 description 10
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 9
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 9
- 238000012546 transfer Methods 0.000 description 9
- 238000009834 vaporization Methods 0.000 description 9
- 230000008016 vaporization Effects 0.000 description 9
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 8
- 229920006395 saturated elastomer Polymers 0.000 description 7
- GQPLMRYTRLFLPF-UHFFFAOYSA-N Nitrous Oxide Chemical compound [O-][N+]#N GQPLMRYTRLFLPF-UHFFFAOYSA-N 0.000 description 6
- 238000006243 chemical reaction Methods 0.000 description 6
- 230000003647 oxidation Effects 0.000 description 6
- 238000007254 oxidation reaction Methods 0.000 description 6
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 5
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 5
- 230000008859 change Effects 0.000 description 5
- 239000011261 inert gas Substances 0.000 description 5
- 239000002184 metal Substances 0.000 description 5
- 229910052751 metal Inorganic materials 0.000 description 5
- 239000001301 oxygen Substances 0.000 description 5
- 229910052760 oxygen Inorganic materials 0.000 description 5
- 229910052581 Si3N4 Inorganic materials 0.000 description 4
- 229910052786 argon Inorganic materials 0.000 description 4
- 229910052757 nitrogen Inorganic materials 0.000 description 4
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 4
- 229910052814 silicon oxide Inorganic materials 0.000 description 4
- 238000001636 atomic emission spectroscopy Methods 0.000 description 3
- 150000001875 compounds Chemical class 0.000 description 3
- 238000010276 construction Methods 0.000 description 3
- 238000005137 deposition process Methods 0.000 description 3
- 238000011065 in-situ storage Methods 0.000 description 3
- 238000005259 measurement Methods 0.000 description 3
- 239000001272 nitrous oxide Substances 0.000 description 3
- 229920002120 photoresistant polymer Polymers 0.000 description 3
- 238000001179 sorption measurement Methods 0.000 description 3
- 238000003860 storage Methods 0.000 description 3
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 2
- 230000009471 action Effects 0.000 description 2
- 230000004888 barrier function Effects 0.000 description 2
- 239000006227 byproduct Substances 0.000 description 2
- 238000005229 chemical vapour deposition Methods 0.000 description 2
- 238000004891 communication Methods 0.000 description 2
- 238000009792 diffusion process Methods 0.000 description 2
- 238000009826 distribution Methods 0.000 description 2
- 239000012530 fluid Substances 0.000 description 2
- 238000010438 heat treatment Methods 0.000 description 2
- 239000001307 helium Substances 0.000 description 2
- 229910052734 helium Inorganic materials 0.000 description 2
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 2
- 230000006872 improvement Effects 0.000 description 2
- 238000001459 lithography Methods 0.000 description 2
- 150000001247 metal acetylides Chemical class 0.000 description 2
- 150000004767 nitrides Chemical class 0.000 description 2
- 239000002245 particle Substances 0.000 description 2
- 238000005240 physical vapour deposition Methods 0.000 description 2
- 238000011112 process operation Methods 0.000 description 2
- 238000012163 sequencing technique Methods 0.000 description 2
- 229910000077 silane Inorganic materials 0.000 description 2
- -1 silicon nitrides Chemical class 0.000 description 2
- 239000010409 thin film Substances 0.000 description 2
- 238000011144 upstream manufacturing Methods 0.000 description 2
- 239000006200 vaporizer Substances 0.000 description 2
- 238000003848 UV Light-Curing Methods 0.000 description 1
- 230000004913 activation Effects 0.000 description 1
- 238000001994 activation Methods 0.000 description 1
- 239000012790 adhesive layer Substances 0.000 description 1
- 239000003708 ampul Substances 0.000 description 1
- 238000003491 array Methods 0.000 description 1
- 238000004140 cleaning Methods 0.000 description 1
- 230000003750 conditioning effect Effects 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 230000002596 correlated effect Effects 0.000 description 1
- 238000001723 curing Methods 0.000 description 1
- 238000002716 delivery method Methods 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 239000007792 gaseous phase Substances 0.000 description 1
- 238000002347 injection Methods 0.000 description 1
- 239000007924 injection Substances 0.000 description 1
- 238000010849 ion bombardment Methods 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 239000012705 liquid precursor Substances 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 230000001404 mediated effect Effects 0.000 description 1
- 229910044991 metal oxide Inorganic materials 0.000 description 1
- 150000004706 metal oxides Chemical class 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000012544 monitoring process Methods 0.000 description 1
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 1
- 238000000059 patterning Methods 0.000 description 1
- 238000000678 plasma activation Methods 0.000 description 1
- 238000007747 plating Methods 0.000 description 1
- 150000003254 radicals Chemical group 0.000 description 1
- 230000001105 regulatory effect Effects 0.000 description 1
- 230000004044 response Effects 0.000 description 1
- 230000000284 resting effect Effects 0.000 description 1
- 239000000523 sample Substances 0.000 description 1
- 238000009738 saturating Methods 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical class [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- 238000006557 surface reaction Methods 0.000 description 1
- 238000013022 venting Methods 0.000 description 1
- 239000011800 void material Substances 0.000 description 1
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45536—Use of plasma, radiation or electromagnetic fields
- C23C16/45542—Plasma being used non-continuously during the ALD reactions
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/0228—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02612—Formation types
- H01L21/02617—Deposition types
- H01L21/0262—Reduction or decomposition of gaseous compounds, e.g. CVD
-
- H01L21/205—
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/28008—Making conductor-insulator-semiconductor electrodes
- H01L21/28017—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
- H01L21/28158—Making the insulator
- H01L21/28167—Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
- H01L21/28194—Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L22/00—Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
- H01L22/10—Measuring as part of the manufacturing process
- H01L22/12—Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45536—Use of plasma, radiation or electromagnetic fields
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Computer Hardware Design (AREA)
- General Chemical & Material Sciences (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Mechanical Engineering (AREA)
- Materials Engineering (AREA)
- Plasma & Fusion (AREA)
- Electromagnetism (AREA)
- Crystallography & Structural Chemistry (AREA)
- Chemical Vapour Deposition (AREA)
- Formation Of Insulating Films (AREA)
Abstract
멀티-사이클 ALD (atomic layer deposition) 기법들을 사용하여 기판들 상에 균일한 막들을 증착하는 방법들이 기술된다. 방법들은 증착 프로파일을 테일러링하도록 (tailor) 사이클마다 하나 이상의 파라미터 값들을 가변하는 단계를 수반한다. 예를 들어, 일부 방법들은 전구체 노출 동안 제 1 캐리어 가스 플로우 레이트를 사용하는 제 1 ALD 사이클과 전구체 노출 동안 제 2 캐리어 가스 플로우 레이트를 사용하는 제 2 ALD 사이클을 반복하는 단계를 수반한다. 일부 방법들은 제 1 전구체 노출의 지속기간을 사용하는 제 1 ALD 사이클과 제 2 전구체 노출의 지속기간을 사용하는 제 2 ALD 사이클을 반복하는 단계를 수반한다.
Description
반도체 디바이스들을 위한 다양한 박막 층들이 ALD (atomic layer deposition) 프로세스들을 사용하여 증착될 수도 있다. 그러나, 기존의 ALD 프로세스들은 매우 컨포멀한 (conformal) 유전체 막들을 증착하기에 부적합할 수도 있다. 예를 들어, 많은 기존의 ALD 프로세스들은 고 쓰루풋 (신속한 증착) 과 고 컨포멀성의 조합을 제공할 수 없다.
기판들을 프로세싱하는 방법들이 본 명세서에 제공된다. 일 양태는 (a) 기판의 표면을 포화시키기에 불충분한 지속기간 동안 반응물질에 기판을 노출시키는 단계; (b) 기판 상에 적어도 부분적인 막층을 증착하도록 플라즈마에 기판을 노출시키는 단계; 및 (c) 단계 (a) 및 단계 (b) 를 2 이상의 증착 사이클들로 반복하는 단계에 의해 챔버 내에 하우징된 기판을 프로세싱하는 방법을 수반하고, 단계 (a) 또는 단계 (b) 중 적어도 하나 동안 하나 이상의 파라미터들의 값들은 2 이상의 증착 사이클들 동안 가변된다.
다양한 실시예들에서, 하나 이상의 파라미터들 중 하나는 단계 (a) 의 지속기간이다. 다양한 실시예들에서, 하나 이상의 파라미터들 중 하나는 단계 (b) 의 지속기간이다. 일부 실시예들에서, 단계 (a) 의 지속기간은 단계 (b) 의 지속기간보다 길다. 일부 실시예들에서, 단계 (a) 의 지속기간은 약 0.05 초 내지 약 5 초이다. 일부 실시예들에서, 단계 (a) 의 지속기간은 약 0.1 초 내지 약 1 초이다. 일부 실시예들에서, 단계 (b) 의 지속기간은 약 0.05 초 내지 약 5 초이다.
일부 실시예들에서, 하나 이상의 파라미터들 중 하나는 단계 (a) 동안의 캐리어 가스 플로우 레이트이다. 일부 실시예들에서, 하나 이상의 파라미터들 중 하나는 단계 (b) 동안의 프로세스 가스 플로우 레이트이다. 일부 실시예들에서, 단계 (a) 동안의 캐리어 가스 플로우 레이트는 약 0.5 slm (standard liters per min) 내지 약 20 slm일 수도 있다. 일부 실시예들에서, 단계 (b) 동안의 프로세스 가스 플로우 레이트는 약 0.5 slm 내지 약 20 slm일 수도 있다.
다양한 실시예들에서, 하나 이상의 파라미터들 중 하나는 단계 (b) 동안의 플라즈마 전력이다. 일부 실시예들에서, 플라즈마 전력은 약 50 W 내지 약 6000 W일 수 있다.
일부 실시예들에서, 하나 이상의 파라미터들 중 하나는 단계 (a) 의 반응물질의 조성이다. 일부 실시예들에서, 하나 이상의 파라미터들 중 하나는 단계 (a) 동안 흐른 캐리어 가스들의 조성이다. 일부 실시예들에서, 하나 이상의 파라미터들 중 하나는 단계 (b) 동안 흐른 프로세스 가스들의 조성이다.
일부 실시예들에서, 단계 (b) 에서 플라즈마에 기판을 노출시키는 단계는 제 2 반응물질에 기판을 노출시키는 단계를 더 포함한다. 일부 실시예들에서, 하나 이상의 파라미터들 중 하나는 제 2 반응물질의 조성이다.
방법은 (d) 2 이상의 증착 사이클들 중 하나 이상 동안 단계 (a) 또는 단계 (b) 중 적어도 하나 후에 챔버를 퍼지하는 단계를 더 포함할 수도 있다. 일부 실시예들에서, 챔버를 퍼지하는 단계는 퍼지 가스를 흘리는 단계를 포함한다. 하나 이상의 파라미터들 중 하나는 단계 (d) 의 지속기간일 수도 있다. 일부 실시예들에서, 하나 이상의 파라미터들 중 하나는 단계 (d) 의 퍼지 가스의 조성이다.
또 다른 양태는 챔버 내에 하우징된 기판을 프로세싱하는 방법을 수반하고, 방법은 2 이상의 증착 사이클들을 반복함으로써 막을 증착하는 단계를 포함하고, 증착 사이클은: (a) 반응물질에 기판을 노출시키는 단계, 및 (b) 막을 증착하도록 플라즈마에 기판을 노출시키는 단계를 포함하고, 단계 (a) 또는 단계 (b) 중 하나 동안 하나 이상의 파라미터들의 값들은 프로세스 사이클에서 사이클마다 가변된다.
일부 실시예들에서, 하나 이상의 파라미터들 중 하나는 단계 (a) 의 지속기간이다. 일부 실시예들에서, 하나 이상의 파라미터들 중 하나는 단계 (b) 의 지속기간이다. 일부 실시예들에서, 단계 (a) 의 지속기간은 단계 (b) 의 지속기간보다 길다. 단계 (a) 의 지속기간은 약 0.1 초 내지 약 1 초이다. 일부 실시예들에서, 단계 (b) 의 지속기간은 약 0.05 초 내지 약 5 초이다.
일부 실시예들에서, 하나 이상의 파라미터들 중 하나는 단계 (a) 동안의 캐리어 가스 플로우 레이트이다. 일부 실시예들에서, 하나 이상의 파라미터들 중 하나는 단계 (b) 동안의 프로세스 가스 플로우 레이트이다. 일부 실시예들에서, 단계 (a) 동안의 캐리어 가스 플로우 레이트는 약 0.5 slm (standard liters per min) 내지 약 20 slm일 수도 있다. 일부 실시예들에서, 단계 (b) 동안의 프로세스 가스 플로우 레이트는 약 0.5 slm 내지 약 20 slm일 수도 있다. 다양한 실시예들에서, 하나 이상의 파라미터들 중 하나는 단계 (b) 동안의 플라즈마 전력이다. 일부 실시예들에서, 플라즈마 전력은 약 50 W 내지 약 6000 W일 수 있다. 일부 실시예들에서, 하나 이상의 파라미터들 중 하나는 단계 (a) 의 반응물질의 조성이다.
증착 사이클은 (c) 2 이상의 증착 사이클들 중 하나 이상에서 단계 (a) 또는 단계 (b) 중 적어도 하나 후에 챔버를 퍼지하는 단계를 더 포함할 수도 있다. 일부 실시예들에서, 챔버를 퍼지하는 단계는 퍼지 가스를 흘리는 단계를 포함한다. 일부 실시예들에서, 하나 이상의 파라미터들 중 하나는 단계 (c) 의 지속기간이다. 다양한 실시예들에서, 하나 이상의 파라미터들 중 하나는 단계 (c) 의 퍼지 가스의 조성이다.
일부 실시예들에서, 하나 이상의 파라미터들 중 하나는 단계 (a) 동안 흐른 캐리어 가스들의 조성이다. 일부 실시예들에서, 하나 이상의 파라미터들 중 하나는 단계 (b) 동안 흐른 프로세스 가스들의 조성이다.
일부 실시예들에서, 플라즈마에 기판을 노출시키는 단계는 제 2 반응물질에 기판을 노출시키는 단계를 더 포함한다. 일부 실시예들에서, 하나 이상의 파라미터들 중 하나는 제 2 반응물질의 조성이다.
또 다른 양태는 반도체 기판들을 프로세싱하기 위한 장치를 수반하고, 장치는: a. 기판을 홀딩하기 위한 페데스탈을 포함한 적어도 하나의 프로세스 챔버; b. 진공에 커플링하기 위한 적어도 하나의 유출부; c. 하나 이상의 전구체 소스들에 커플링된 하나 이상의 프로세스 가스 유입부들; d. 하나 이상의 제 2 반응물질 소스들에 커플링된 하나 이상의 프로세스 가스 유입부들; 및 e. 장치 내에서 동작들을 제어하기 위한 제어기를 포함하고, 제어기는: i. 기판의 표면을 포화시키기에 불충분한 지속기간 동안 프로세스 챔버에 하나 이상의 전구체 소스들 중 하나를 도입하기 위한 머신 판독가능 인스트럭션; ii. 기판 상에 적어도 부분적인 막층을 증착하도록 플라즈마를 점화하기 위한 머신 판독가능 인스트럭션; 및 iii. 인스트럭션 (i) 및 인스트럭션 (ii) 를 2 이상의 증착 사이클들로 반복하고 그리고 인스트럭션 (i) 및 인스트럭션 (ii) 중 적어도 하나 동안 하나 이상의 파라미터들의 값들을 2 이상의 증착 사이클들 동안 가변하기 위한 머신 판독가능 인스트럭션을 포함한다.
일부 실시예들에서, 머신 판독가능 인스트럭션들은 하나 이상의 전구체 소스들 중 하나를 도입한 후에 적어도 하나의 프로세스 챔버를 퍼지하는 것을 더 포함한다. 일부 실시예들에서, 머신 판독가능 인스트럭션들은 플라즈마를 점화한 후에 적어도 하나의 프로세스 챔버를 퍼지하는 것을 더 포함한다. 일부 실시예들에서, 플라즈마 전력은 약 50 W 내지 약 6000 W일 수 있다.
또 다른 양태는 반도체 기판들을 프로세싱하기 위한 장치를 수반하고, 장치는: a. 기판을 홀딩하기 위한 페데스탈을 포함한 적어도 하나의 프로세스 챔버; b. 진공에 커플링하기 위한 적어도 하나의 유출부; c. 하나 이상의 전구체 소스들에 커플링된 하나 이상의 프로세스 가스 유입부들; d. 하나 이상의 제 2 반응물질 소스들에 커플링된 하나 이상의 프로세스 가스 유입부들; 및 e. 장치 내에서 동작들을 제어하기 위한 제어기를 포함하고, 제어기는: i. 제 1 캐리어 가스 플로우 레이트로 캐리어 가스와 함께 하나 이상의 전구체 소스들 중 하나를 프로세스 챔버에 도입하기 위한 머신 판독가능 인스트럭션; ii. 막을 증착하도록 플라즈마를 점화하기 위한 머신 판독가능 인스트럭션; 및 iii. 인스트럭션 (i) 및 인스트럭션 (ii) 중 하나 동안 하나 이상의 파라미터들의 값들을 사이클마다 프로세스 사이클들에서 가변하기 위한 머신 판독가능 인스트럭션을 포함한다.
일부 실시예들에서, 머신 판독가능 인스트럭션들은 하나 이상의 전구체 소스들 중 하나를 도입한 후에 적어도 하나의 프로세스 챔버를 퍼지하는 것을 더 포함한다. 일부 실시예들에서, 머신 판독가능 인스트럭션들은 플라즈마를 점화한 후에 적어도 하나의 프로세스 챔버를 퍼지하는 것을 더 포함한다. 일부 실시예들에서, 플라즈마 전력은 약 50 W 내지 약 6000 W일 수 있다.
이들 및 다른 양태들은 도면들을 참조하여 이하에 더 기술된다.
도 1은 증착 프로세스 동안 프로세싱 챔버 내의 전구체 플로우를 예시한 기판 프로세스 스테이션의 개략도이다.
도 2a는 기판 프로세스 스테이션에 대한 다단계 전구체 전달 시스템의 구성의 개략도이다.
도 2b는 기판 프로세스 스테이션에 대한 다단계 전구체 전달 시스템의 또 다른 구성의 개략도이다.
도 2c는 기판 프로세스 스테이션에 대한 다단계 전구체 전달 시스템의 부가적인 대안적인 구성의 개략도이다.
도 3은 개시된 실시예들에 따른, 방법에 대한 동작들을 도시한 프로세스 흐름도이다.
도 4a는 개시된 실시예들에 따른, 방법의 예에 대한 동작들을 도시한 프로세스 흐름도이다.
도 4b는 개시된 실시예들에 따른, 방법의 사이클들의 예를 나타낸 타이밍 시퀀스도이다.
도 5a는 개시된 실시예들에 따른, 방법의 예에 대한 동작들을 도시한 프로세스 흐름도이다.
도 5b는 개시된 실시예들에 따른, 방법의 사이클들의 예를 나타낸 타이밍 시퀀스도이다.
도 6은 개시된 실시예들을 수행하기 위한 예시적인 프로세스 스테이션의 개략도이다.
도 7은 개시된 실시예들을 수행하기 위한 예시적인 프로세스 툴의 개략도이다.
도 8a 및 도 11은 개시된 실시예들을 수행하는 실험들에서 프로세스들에 대응하는 펄싱 및 타이밍 스킴들 (schemes) 을 도시한 그래프들이다.
도 8b, 도 9a, 도 9b, 도 10a, 도 10b, 및 도 12는 개시된 실시예들에 따라 수행된 실험들로부터 웨이퍼들 상에 증착된 막들의 두께를 도시한 그래프들이다.
도 2a는 기판 프로세스 스테이션에 대한 다단계 전구체 전달 시스템의 구성의 개략도이다.
도 2b는 기판 프로세스 스테이션에 대한 다단계 전구체 전달 시스템의 또 다른 구성의 개략도이다.
도 2c는 기판 프로세스 스테이션에 대한 다단계 전구체 전달 시스템의 부가적인 대안적인 구성의 개략도이다.
도 3은 개시된 실시예들에 따른, 방법에 대한 동작들을 도시한 프로세스 흐름도이다.
도 4a는 개시된 실시예들에 따른, 방법의 예에 대한 동작들을 도시한 프로세스 흐름도이다.
도 4b는 개시된 실시예들에 따른, 방법의 사이클들의 예를 나타낸 타이밍 시퀀스도이다.
도 5a는 개시된 실시예들에 따른, 방법의 예에 대한 동작들을 도시한 프로세스 흐름도이다.
도 5b는 개시된 실시예들에 따른, 방법의 사이클들의 예를 나타낸 타이밍 시퀀스도이다.
도 6은 개시된 실시예들을 수행하기 위한 예시적인 프로세스 스테이션의 개략도이다.
도 7은 개시된 실시예들을 수행하기 위한 예시적인 프로세스 툴의 개략도이다.
도 8a 및 도 11은 개시된 실시예들을 수행하는 실험들에서 프로세스들에 대응하는 펄싱 및 타이밍 스킴들 (schemes) 을 도시한 그래프들이다.
도 8b, 도 9a, 도 9b, 도 10a, 도 10b, 및 도 12는 개시된 실시예들에 따라 수행된 실험들로부터 웨이퍼들 상에 증착된 막들의 두께를 도시한 그래프들이다.
다음의 기술에서, 수많은 구체적인 상세들이 제공된 실시예들의 완전한 이해를 제공하도록 제시된다. 개시된 실시예들은 이들 구체적인 상세들의 일부 또는 전부 없이 실시될 수도 있다. 다른 예들에서, 공지된 프로세스 동작들은 개시된 실시예들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다. 개시된 실시예들은 구체적인 실시예들과 함께 기술될 것이지만, 개시된 실시예들을 제한하도록 의도되지 않음이 이해될 것이다.
반도체 제조 프로세스들은 ALD를 사용하는, 다양한 재료들의 증착을 수반할 수도 있다. ALD 프로세스들은 층 단위 기반으로 (layer-by-layer basis) 막들을 증착하기 위해서 표면-매개 증착 반응들을 사용하고 그리고 종종 자기 제한 반응에서 재료를 증착하도록 전구체를 사용하여 기판의 표면을 포화시키는 것을 수반한다. ALD 프로세스의 일 예에서, 표면 활성 사이트들의 집단 (population) 을 포함하는 기판 표면은 기판을 하우징하는 프로세스 스테이션에 제공된 도즈 내의 실리콘 함유 전구체와 같은 제 1 반응물질 또는 전구체의 가스 상 분포에 노출된다. 제 1 전구체의 화학흡착된 종 및/또는 물리흡착된 분자들을 포함한, 이 제 1 전구체의 분자들은 기판 표면 상에 흡착된다. 화합물이 본 명세서에 기술된 바와 같이 기판 표면 상에 흡착될 때, 흡착된 층은 화합물뿐만 아니라 화합물의 유도체들을 포함할 수도 있다는 것이 이해되어야 한다. 예를 들어, 실리콘 함유 전구체의 흡착된 층은 실리콘 함유 전구체뿐만 아니라 실리콘 함유 전구체의 유도체들을 포함할 수도 있다. 제 1 전구체 도즈 후에, 이어서 반응기는 흡착된 종만이 남기기 위해서, 가스 상으로 남아 있는 모든 제 1 전구체를 제거하도록 배기된다. 제 2 반응물질은 이들 분자들 중 일부가 표면 상에 흡착된 제 1 전구체와 반응하도록 반응기에 도입된다. 일부 프로세스들에서, 제 2 전구체는 즉시 흡착된 제 1 전구체와 반응한다. 다른 실시예들에서, 제 2 전구체는 소스의 활성화가 일시적으로 적용된 후에만 반응한다. 이어서 반응기는 결합되지 않은 (unbound) 제 2 전구체 분자들 및 반응 부산물들을 제거하도록 다시 배기될 수도 있다. 부가적인 ALD 사이클들이 막 두께를 구축하도록 사용될 수도 있다. 일부 실시예들에서, 단일의 반응물질만이 사용되고 그리고 열적 또는 플라즈마 동작이 흡착된 전구체를 목표된 증착 재료로 변환시키도록 사용된다.
특정한 실시예들에서, ALD 전구체 도즈는 부분적으로 기판 표면을 포화시킨다. 아포화된 (sub-saturated) 레짐 (regime) 으로 수행된 ALD 프로세스들은 SS-ALD (sub-saturated ALD) 로서 지칭될 수도 있다. SS-ALD 방법들에 의해 증착된 막들은 다음의 특징들을 가질 수도 있다: (1) 쓰루풋은 사이클 각각에서 전구체 도즈 시간을 실질적으로 감소시킴으로써 개선됨; (2) 막 두께는 증착 사이클 당 매우 얇은 아포화된 층들을 증착함으로써 정밀하게 조절될 수도 있음, 일부 경우들에서 사이클 당 두께는 목표된 막의 가장 큰 결합 길이 미만임; (3) 대체로, 연속적인 박막들이 증착될 수도 있음; (4) 증착된 막들은 개선된 습식 에칭 레이트 제어와 같은 개선된 속성들을 가질 수도 있음; 그리고 (5) 표면이 흡착된 분자들에 의해 완전히 포화되지 않기 때문에 감소된 전구체 소모. 미포화 (undersaturation) 는 표면으로의 반응성 종의 플로우 또는 도즈를 제한함으로써 제어될 수도 있다.
일부 실시예들에서, ALD 사이클의 도즈 페이즈는 전구체가 기판의 표면을 고르게 포화시키기 전에 종료된다. 통상적으로, 전구체 플로우는 이 시점에서 턴 오프되거나 (turned off) 방향전환되고, 그리고 퍼지 가스만이 흐른다. 이 아포화 레짐으로 동작함으로써, ALD 프로세스는 사이클 시간을 감소시키고 그리고 쓰루풋을 증가시킨다. 그러나, 전구체 흡착이 포화 제한되지 않기 때문에, 흡착된 전구체 농도는 기판 표면에 걸쳐 약간 가변할 수도 있다. 아포화 레짐으로 동작하는 ALD 프로세스들의 예들은 전체가 참조로서 본 명세서에 인용되는, 2013년 10월 23일 출원되고 발명의 명칭이 "SUBSATURATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION"인, 미국 특허 출원 제 14/061,587 호에 제공된다.
ALD 프로세스 및 SS-ALD 프로세스는 현재 사이클마다 동일한 프로세스 조건들을 사용하지만, 일부 SS-ALD 프로세스들에서 증착은 균일하지 않을 수도 있다. ALD, 특히 아포화된 ALD는 샤워헤드의 타입 및 전달 방법 및 플로우, 뿐만 아니라 전구체의 분압에 민감하다. 복수의 ALD 사이클들은 컨포멀한 층들의 스택들을 구축하도록 반복될 수도 있다. 일부 구현예들에서, 층 각각은 실질적으로 동일한 조성을 가질 수도 있고 반면에 다른 구현예들에서, 순차적으로 ALD 증착된 층들은 상이한 조성들을 가질 수도 있거나, 특정한 이러한 구현예들에서, 조성은 층마다 변경될 수도 있거나 상기에 기술된 바와 같이, 상이한 조성들을 가진 반복되는 시퀀스의 층들이 있을 수도 있다.
다양한 타입들의 하드웨어가 사용될 수도 있다. 예들은 전체가 참조로서 본 명세서에 인용되는 2014년 12월 19일 출원되고 발명의 명칭이 "HARDWARE AND PROCESS FOR FILM UNIFORMITY IMPROVEMENT"인, 미국 특허 출원 일련번호 제 14/578,166 호에 제공된다. 도 1은 증착 프로세스 동안 프로세싱 챔버 내의 전구체 플로우를 예시한 기판 프로세스 스테이션의 개략도를 제공한다. 기판 프로세스 스테이션 (100) 은 샤워헤드 (102) 및 기판 (106) 을 지지하는 페데스탈 (104) 을 포함한다.
프로세스 가스 (108) 는 샤워헤드 (102) 를 통해 기판 (106) 으로 전달된다. 특정한 구현예들에서, 프로세스 가스 (108) 는 전구체 또는 전구체와 캐리어 가스의 조합일 수도 있다. 기판은 전구체를 흡착할 수도 있고 그리고 기판 (106) 상에 흡착 층을 형성할 수도 있다. 증착 사이클의 특정한 페이즈들 동안, 퍼지 가스 또는 다른 프로세스 가스들이 프로세스 가스 (108) 대신에 샤워헤드 (102) 를 통해 흐를 수도 있다.
부가적으로, 도 1에서, 퍼지 가스 (110) 는 샤워헤드 (102) 의 외부를 따라 흐를 수도 있다. 특정한 구현예들에서, 퍼지 가스 (110) 는 샤워헤드 (102) 의 후면 상의 증착을 방지할 수도 있다.
기판 프로세스 스테이션 (100) 의 내부 주위의 퍼지 가스 (110) 및 프로세스 가스 (108) 의 플로우는 기판 (106) 의 고르지 않은 도징을 발생시킬 수도 있다. 고르지 않은 도징은 불균일한 프로세싱된 기판들을 발생시킬 수도 있다. 특정한 구현예들에서, 기판 (106) 의 표면 위의 프로세스 가스 (108) 의 플로우는 기판 (106) 의 표면 상에 고르지 않은 도징의 영역 (112) 을 발생시킬 수도 있다. 부가적으로, 퍼지 가스 (110) 의 플로우는 기판 (106) 의 표면 상에 고르지 않은 도징의 영역들 (114A 및 114B) 을 발생시킬 수도 있다. 일부 구현예들에서 고르지 않은 도징의 결과들은 불균일한 증착을 발생시킬 수도 있다.
도 1의 프로세스 가스 (108) 는 기판 프로세싱 장치 내의 프로세스 가스 전달 시스템을 통해 전달될 수도 있다. 프로세스 가스 전달 시스템은 플로우 경로들과 밸브들의 구성을 포함할 수도 있다. 전구체 가스 전달 시스템들에서 사용될 수도 있는 밸브들은 공압식으로 그리고 전기적으로 작동되는 다이어프램-시일링된 또는 벨로우-시일링된 밸브들 및 밸브 매니폴드들, 예컨대 Swagelok의 ALD 밸브들, DP 시리즈 밸브들, 및 Fujikin의 MEGA 시리즈, Standard 시리즈 및 전기적으로 제어된 밸브들을 포함한다.
멀티-사이클의 ALD를 사용하여 균일한 층들을 증착하는 방법들이 본 명세서에 제공된다. 멀티-사이클의 ALD에서, ALD 사이클에 대한 2 이상의 조건들의 세트는 "프로세스 사이클"에 포함된다. 프로세스 사이클은 시간에 걸쳐 반복되는 동작들의 유닛으로서 규정된다. ALD 사이클은 적어도 하나의 도즈와 하나의 변환 단계를 포함한 동작들의 세트로서 규정된다 (예를 들어, ALD 사이클은 도즈/퍼지/변환/퍼지 또는 변환/퍼지/도즈/퍼지 또는 도즈/변환, 등일 수도 있다). 멀티-사이클의 ALD는 2 이상의 ALD 사이클을 포함하는 프로세스 사이클들을 반복하는 것을 수반한다. 프로세스 사이클에서 ALD 사이클 각각은 ALD 사이클의 동작들 중 임의의 동작 동안 하나 이상의 파라미터들의 값을 변화시킬 수도 있다. 특정한 실시예들에서, 일부 파라미터 값들은 사이클들 사이에서 파라미터 값을 변화시키는데 걸리는 시간에 기인하여 변화 가능하지 않을 수도 있다. 예를 들어, MFC (mass flow controller) 플로우들, LFC (liquid flow controller) 플로우들, 및 압력은 사이클들 사이에서 변화되지 않을 수도 있다. 일부 장치에서, 이들 파라미터들 중 하나 이상의 값을 변화시키기에 필요한 시간은 대략 사이클을 수행하기에 필요한 시간이다. 결과로서, 이러한 파라미터 값들은 현실적으로 (realistically) 쓰루풋을 급격히 감소시키지 않고 사이클마다 조정될 수 없다. 물론, 장치가 신속한 조정을 허용한다면, 일부 파라미터 값들은 동적으로 가변될 수도 있다.
특정한 실시예들에서, 다음의 파라미터 값들 중 하나 이상이 멀티-사이클 프로세스의 사이클들 사이에서 변화된다: 타이밍, 예컨대 방향전환 밸브에 의해 제어 가능한 도즈 시간, 플라즈마 노출의 지속기간, 및 퍼지 시간; 및 상이한 캐리어 가스 플로우들, 예컨대 캐리어 가스들의 일부 조합 및 상이한 조성들 및 상이한 레이트들의 플로우들에 대한 캐리어 가스 플로우 온/오프; 및 플라즈마 전력. 상이한 캐리어 가스 플로우들은 캐리어 가스(들)를 전달하도록 사용된 다양한 매니폴드들에 대한 밸브 타이밍을 제어함으로써 신속하게 조정될 수도 있다.
본 명세서의 실시예들은 다양한 타입들의 프로세스 가스 플로우를 수반할 수도 있다. 다단계 전구체 전달 시스템들로서 지칭될 수도 있는 전구체 전달 시스템들은 증기 기반 전달 시스템들 및 액체 전달 시스템들 양자로 구현될 수도 있다. 증기 기반 전달 시스템들은 전구체를 기화시키도록 앰플을 사용할 수도 있다. 액체 전달 시스템들은 전구체를 기화시키도록 기화기를 사용할 수도 있다. 도 2a는 기판 프로세스 스테이션에 대한 다단계 전구체 전달 시스템의 구성의 개략도이다.
도 2a의 다단계 전구체 전달 시스템 (200A) 은 제 1 플로우 경로 (204) 에 연결된 제 1 프로세스 가스 소스 (202) 및 제 2 플로우 경로 (220) 에 연결된 제 2 프로세스 가스 소스 (218) 를 포함한다. 특정한 구현예들에서, 제 1 프로세스 가스 소스 (202) 로부터의 제 1 프로세스 가스는 전구체 및/또는 캐리어 가스를 포함하는 프로세스 가스일 수도 있다. 부가적으로, 제 2 프로세스 가스 소스 (218) 로부터의 제 2 프로세스 가스는 전구체 및/또는 캐리어 가스를 포함하는 프로세스 가스일 수도 있다. 제 1 프로세스 가스와 제 2 프로세스 가스에 대해 사용된 전구체 및/또는 캐리어 가스는 유사하거나 상이할 수도 있다. 캐리어 가스는 아르곤, 질소 (N2), 산소 (O2), 아산화질소 (N2O), 헬륨, 다른 불활성 가스들, 또는 이들 가스들의 혼합물일 수도 있다. 특정한 다른 구현예들에서, 캐리어 가스 소스는 제 1 플로우 경로와 제 2 플로우 경로 사이에서, 제 1 플로우 경로 및/또는 제 2 플로우 경로에 연결된 추가의 전구체 소스와 공유될 수도 있다. 이러한 구현예들에서, 캐리어 가스 및 전구체는 샤워헤드에 진입하기 전에 일부 지점에서 혼합될 수도 있다. 특정한 구현예들에서, 본 명세서에 기술된 도면들 내의 단일의 밸브들은 복수의 밸브들로 대체될 수도 있다.
제 1 플로우 경로 (204) 는 샤워헤드 플로우 경로 (206) 및 제 1 방향전환 플로우 경로 (210) 에 유체적으로 연결된다. 샤워헤드 플로우 경로 (206) 는 샤워헤드 (208) 로 이어지고 반면에 제 1 방향전환 플로우 경로 (210) 는 제 1 방향전환 덤프 (212A) 로 이어진다. 제 1 플로우 경로 (204) 로부터 샤워헤드 플로우 경로 (206) 로의 프로세스 가스의 플로우는 제 1 샤워헤드 밸브 (224) 에 의해 제어된다. 제 1 플로우 경로 (204) 로부터 제 1 방향전환 플로우 경로 (210) 로의 프로세스 가스의 플로우는 제 1 방향전환 밸브 (226) 에 의해 제어된다. 특정한 구현예들에서, 제 1 샤워헤드 밸브 (224) 및 제 1 방향전환 밸브 (226) 중 하나만이 임의의 시간에 개방될 수도 있다. 부가적으로, 특정한 구현예들에서, 제 1 플로우 경로는 가능하게는 제 1 플로우 경로와 제 1 샤워헤드 사이의 프로세스 가스의 플로우를 제어하는 제 1 샤워헤드 밸브를 사용하여, 샤워헤드에 직접 연결될 수도 있다. 이러한 구현예들에서, 제 1 샤워헤드 플로우 경로가 없을 수도 있다.
제 2 플로우 경로 (220) 는 샤워헤드 플로우 경로 (206) 및 제 2 방향전환 플로우 경로 (222) 에 유체적으로 연결된다. 제 2 방향전환 플로우 경로 (222) 는 제 2 방향전환 덤프 (212B) 로 이어진다. 제 2 플로우 경로 (220) 로부터 샤워헤드 플로우 경로 (206) 로의 프로세스 가스의 플로우는 제 2 샤워헤드 밸브 (228) 에 의해 제어된다. 제 2 플로우 경로 (220) 로부터 제 2 방향전환 플로우 경로 (222) 로의 플로우는 제 2 방향전환 밸브 (230) 에 의해 제어된다. 특정한 구현예들에서, 제 2 샤워헤드 밸브 (228) 및 제 2 방향전환 밸브 (230) 중 하나만이 임의의 시간에 개방될 수도 있다.
특정한 구현예들에서, 다단계 전구체 전달 시스템 (200A) 은 이 개시의 다른 곳에 기술된 바와 같이 제어기에 의해 제어될 수도 있다. 특정한 구현예들에서, 다단계 전구체 전달 시스템 (200A) 은 나중의 시간 기간에 제 2 프로세스 가스 소스 (218) 로부터 샤워헤드 (208) 로 프로세스 가스를 전달하기 전에 제 1 프로세스 가스 소스 (202) 로부터 샤워헤드 (208) 로 프로세스 가스를 먼저 전달한다. 제 1 프로세스 가스와 제 2 프로세스 가스의 전달 기간들은 오버랩될 수도 있다. 제 1 프로세스 가스와 제 2 프로세스 가스의 전달 기간들의 타이밍은 이 개시의 다른 곳에 보다 상세히 기술된다.
도 2b는 기판 프로세스 스테이션에 대한 다단계 전구체 전달 시스템의 또 다른 구성의 개략도이다. 다단계 전구체 전달 시스템 (200B) 은 구성에 있어서 전달 시스템 (200A) 과 유사하다. 다단계 전구체 전달 시스템 (200B) 에서, 제 1 샤워헤드 밸브 (224) 및 제 1 방향전환 밸브 (226) 는 제 1 플로우 경로 밸브 (232) 로 대체된다. 특정한 구현예들에서, 제 1 플로우 경로 밸브 (232) 는 프로세스 가스 플로우를 제 1 플로우 경로 (204) 로부터 샤워헤드 플로우 경로 (206) 또는 제 1 방향전환 플로우 경로 (210) 를 향해 교대로 지향시키도록 구성될 수도 있다.
부가적으로, 도 2a의 전달 시스템 (200A) 의 제 2 샤워헤드 밸브 (228) 및 제 2 방향전환 밸브 (230) 는 도 2b의 다단계 전구체 전달 시스템 (200B) 의 제 2 플로우 경로 밸브 (234) 로 대체된다. 제 2 플로우 경로 밸브 (234) 는 구성에 있어서 제 1 플로우 경로 밸브 (232) 와 유사할 수도 있다. 특정한 구현예들에서, 제 2 플로우 경로 밸브 (234) 는 프로세스 가스 플로우를 제 2 플로우 경로 (220) 로부터 샤워헤드 플로우 경로 (206) 또는 제 2 방향전환 플로우 경로 (222) 를 향해 교대로 지향시킬 수도 있다.
도 2c는 기판 프로세스 스테이션에 대한 다단계 전구체 전달 시스템의 부가적인 대안적인 구성의 개략도이다. 다단계 전구체 전달 시스템 (200C) 은 구성에 있어서 전달 시스템 (200A) 과 유사하다. 다단계 전구체 전달 시스템 (200C) 에서, 제 2 플로우 경로 (220) 는 제 1 플로우 경로 (204) 의 일부분으로 종결된다. 따라서, 제 1 샤워헤드 밸브 (224) 는 제 1 프로세스 가스 및 샤워헤드 (208) 로의 제 1 프로세스 가스 양자의 플로우를 제어할 수도 있다. 이러한 구성은 제 1 프로세스 가스와 제 2 프로세스 가스가 샤워헤드로 흐르는 것을 동시에 중지하도록 타이밍될 때 사용될 수도 있다. 이러한 경우들에서, 제 1 샤워헤드 밸브 (224) 는 프로세스 가스들 양자의 플로우를 동시에 차단할 수도 있다.
도 3은 개시된 실시예들에 따른, 멀티-사이클의 ALD를 도시한 프로세스 흐름도를 제공한다. 동작 392에서, 제 1 ALD 사이클이 수행된다. ALD 사이클은 과잉의 반응물질/부산물들을 제거하기 위해서 중간에 퍼지 단계와 함께 2 이상의 반응물질들의 도즈들 사이를 교번하는 것을 수반한다. 일부 실시예들에서, ALD 사이클은 포화되거나 아포화된 레짐으로 PEALD (plasma ALD) 를 수반한다. PEALD 동안, 플라즈마는 ALD 사이클에서 적어도 하나의 도즈들 동안 점화된다. 동작 394에서, 제 2 ALD 사이클이 수행된다. 제 2 ALD 사이클은 하나 이상의 파라미터 값들이 동작 392에서 수행된 제 1 ALD 사이클과 상이하도록 수행될 수도 있다. 제 1 ALD 사이클과 제 2 ALD 사이클 간 상이할 수도 있는 파라미터 값들의 예들은 도즈 시간, 퍼지 시간, 플라즈마 노출 시간, 캐리어 가스 플로우에 대한 밸브 타이밍, 및 무선 주파수 (RF) 플라즈마 전력 및 주파수를 포함한다. 예를 들어, 동작 394에서, 일 사이클 동안 도즈 시간들은 동작 392의 또 다른 사이클의 도즈 시간들보다 짧을 수도 있다. ALD 사이클들 간의 가변 파라미터 값들의 추가의 예들은 이하에 기술된다.
동작 396에서, 제 n ALD 사이클은 잠재적으로 수많은 개재된 사이클들 후에 수행될 수도 있다. 즉, 임의의 2 이상의 ALD 사이클들이 개시된 실시예들에 따라 수행될 수도 있고, 임의의 하나의 ALD 사이클은 하나 이상의 파라미터 값들을 변화시킨다. 다양한 실시예들에서, 제 1 사이클, 제 2 사이클, ..., 제 n 사이클 각각은 서로 구별될 수도 있다. 예를 들어, n 사이클들 각각은 상이한 도즈 시간들을 가질 수도 있다. 일부 실시예들에서, n 사이클들 중 일부만이 상이한 도즈 시간들을 가질 수도 있다. 동작들의 일부가 수행되는 순서는 프로세스 전반에 걸쳐 변화될 수도 있다. 예를 들어, 3 개의 상이한 도즈 시간들 (t1, t2, 및 t3) 을 가진 프로세스에 대해, 프로세스는 이러한 동작들을 순환시키는 다음의 예들 중 임의의 예들을 포함하는, 동작들의 임의의 조합으로 수행될 수도 있다:
예 1 (순차적으로 반복됨): t1, t2, t3, t1, t2, t3, t1, t2, t3, t1, t2, t3...
예 2 (랜덤 사이클들): t1, t2, t3, t2, t1, t1, t2, t2, t3, t1, t2, t2, t3, t1...
예 3 (가변된 시퀀스들): t1, t2, t1, t2, t3, t1, t2, t1, t2, t3, t1, t2, t1, t2, t3...
개시된 실시예들에서, n은 2 이상의 임의의 정수일 수도 있다. 동작 398에서, 동작들 392 내지 396이 반복될 수도 있다. 예를 들어, n=3이라면, 제 1 ALD 사이클, 제 2 ALD 사이클, 및 제 3 ALD 사이클이 반복되는 멀티-사이클의 ALD 프로세스 사이클을 구성할 수도 있다. 다양한 실시예들에서, 하나 이상의 파라미터 값이 변화되는 동작은 모든 멀티-사이클의 ALD 사이클에서 수행되지 않을 수도 있지만, 대신에 멀티-사이클의 ALD 사이클의 다른 동작들 중 일 동작이 수행된 후, 또는 다른 동작들 중 일 동작을 2번, 3번, 또는 그 이상 수행한 후에 수행될 수도 있다. 일부 실시예들에서, 멀티-사이클의 ALD 사이클의 동작들은 랜덤으로 (randomly) 수행될 수도 있다. 일부 실시예들에서, 동작들 392 내지 396은 하나의 멀티-사이클 ALD 프로세스 사이클, 본 명세서에 사용된 바와 같이 "프로세스 사이클"로 지칭될 수도 있다. 프로세스 사이클은 2 이상의 ALD 사이클들을 포함하고, ALD 사이클들 각각은 상이한 도즈 시간들 또는 상이한 플라즈마 전력들, 또는 상이한 캐리어 가스 플로우들과 같은 파라미터 값들의 별개의 세트를 가질 수도 있다는 것을 주의하라. 개시된 실시예들은 ALD를 사용하여 실리콘의 산화물들, 질화물들, 및 탄화물들과 같은 임의의 재료를 증착하기에 적합하다.
멀티-사이클의 ALD 프로세스 사이클들의 추가의 예들이 본 명세서에 제공된다. 도 4a는 캐리어 가스 플로우가 사이클마다 가변되는 2 개의 ALD 사이클들을 포함한 예시적인 프로세스 사이클을 도시한다. 도 4a는 ALD 사이클 각각 그리고 멀티-사이클의 ALD 사이클 각각에 다양한 펄스들 및 플로우들을 제공하는, 예시적인 타이밍 개략도 도 4b에 대응한다. 도 5a는 전구체 도즈 시간이 사이클마다 가변되는 2 개의 ALD 사이클들을 포함한 예시적인 프로세스 사이클을 도시한다. 도 5a는 ALD 사이클 각각 그리고 멀티-사이클의 ALD 사이클 각각에 다양한 펄스들 및 플로우들을 제공하는, 예시적인 타이밍 개략도 도 5b에 대응한다. 도 4a 및 도 4b는 이하에 함께 논의될 것이다.
도 4a에서, 동작 402a에서, 기판은 제 1 캐리어 가스 플로우의 전구체에 노출된다. 기판은 실리콘 웨이퍼, 예를 들어, 상부에 증착된 유전체, 전도성, 또는 반도체성 재료와 같은 재료의 하나 이상의 층들을 가진 웨이퍼들을 포함한, 200-㎜ 웨이퍼, 300-㎜ 웨이퍼, 또는 450-㎜ 웨이퍼일 수도 있다. 다양한 실시예들에서, 기판은 패터닝된다. 패터닝된 기판은 피처 내의 좁은 그리고/또는 재차 들어간 개구들, 협착부들 (constrictions) 중 하나 이상을 특징으로 할 수도 있는, 비아 또는 콘택트 홀들과 같은 "피처들", 및 고 종횡비들을 가질 수도 있다. 피처는 상기 기술된 층들 중 하나 이상에 형성될 수도 있다. 피처의 일 예는 반도체 기판 또는 기판 상의 층 내의 홀 또는 비아이다. 또 다른 예는 기판 또는 층 내의 트렌치이다. 다양한 실시예들에서, 피처는 배리어 층 또는 접착 층과 같은 하부층을 가질 수도 있다. 하부층들의 비제한적인 예들은, 유전체 층들 및 전도성 층들, 예를 들어, 실리콘 산화물들, 실리콘 질화물들, 실리콘 탄화물들, 금속 산화물들, 금속 질화물들, 금속 탄화물들, 및 금속 층들을 포함한다.
일부 실시예들에서, 피처는 적어도 약 1:1, 적어도 약 2:1, 적어도 약 4:1, 적어도 약 6:1, 적어도 약 10:1, 또는 그 이상의 종횡비를 가질 수도 있다. 피처는 또한 개구와 비슷한 치수를 가질 수도 있고, 예를 들어, 개구 직경 또는 라인 폭은 약 10 ㎚ 내지 10 ㎛, 예를 들어 약 25 ㎚ 내지 약 1 ㎛이다. 개시된 방법들은 약 250 ㎚ 미만의 개구를 가진 피처들을 가진 기판들 상에서 수행될 수도 있다. 비아, 트렌치 또는 다른 리세스된 피처는 충진되지 않은 피처 또는 피처로서 지칭될 수도 있다. 다양한 실시예들에 따라, 피처 프로파일은 점진적으로 좁아지고/지거나 피처 개구에서 오버행 (overhang) 을 포함할 수도 있다. 재차 들어간 프로파일은 피처의 하단, 폐쇄된 단부 또는 내부로부터 피처 개구로 좁아지는 프로파일이다. 재차 들어간 프로파일은 확산 배리어의 증착과 같은 이전의 막 증착 시 컨포멀하지 않은 막 단차 커버리지로 인해 패터닝 및/또는 오버행 동안 비대칭적인 에칭 동역학에 의해 생성될 수도 있다. 다양한 예들에서, 피처는 피처의 하단의 폭보다 피처의 상단에서 보다 좁은 폭의 개구를 가질 수도 있다.
동작 402a에서 사용된 캐리어 가스는 질소, 아르곤 또는 다른 불활성 가스, 산소, 아산화질소, 불활성 가스들의 조합 또는 다른 프로세스 가스들일 수도 있다. 기판은 기판 표면의 100 % 미만을 포화시키기에 충분한 지속기간 동안 전구체에 노출될 수도 있다. 예시적인 지속기간들은 웨이퍼 화학물질, 전구체의 타입, 전구체 플로우 레이트, 웨이퍼 상의 패턴들, 및 다른 요인들에 의존한다. 지속기간은 목표된 기판 프로파일의 타입에 따라 선택될 수도 있다. 일부 실시예들에서, 전구체 노출의 지속기간은 에지 근방에 보다 얇은 층, 그리고 기판의 중간에 보다 두꺼운 층을 형성하도록 기판의 에지 근방에 보다 적은 전구체를 흡착하기 위해 보다 짧을 수도 있다. 일부 실시예들에서, 전구체 노출의 지속기간은 에지 근방에 보다 두꺼운 층을 형성하도록 기판의 에지 근방에 보다 많은 전구체를 흡착하기 위해 보다 길 수도 있다. 일부 실시예들에서, 기판은 동작 402a 동안 약 5 초 미만, 또는 약 0.05 초 내지 약 3 초의 지속기간 동안 노출될 수도 있다. 산화물들의 증착을 위해, 도즈 시간은 약 0.05 초 내지 약 0.5 초일 수도 있다. 기판은 전구체 또는 제 1 반응물질에 노출된다. 전구체는 증착될 재료의 타입에 따라 선택될 수도 있다. 예를 들어, 실리콘 질화물 또는 실리콘 산화물 막을 증착하기 위해서, 실리콘 함유 전구체가 동작 402a 동안 사용될 수도 있다.
동작 404a에서, 챔버는 기판의 표면 상에 흡착하지 않은 가스 상인 과잉의 전구체를 제거하도록 선택 가능하게 퍼지된다. 퍼지는 다른 동작들에서 사용된 캐리어 가스 또는 상이한 가스일 수도 있는 퍼지 또는 스윕프 (sweep) 가스를 수반할 수도 있다. 일부 실시예들에서, 퍼지 가스는 질소, 아르곤 또는 다른 불활성 가스, 산소, 아산화질소, 불활성 가스들의 조합, 또는 이들의 조합들일 수도 있다. 일부 실시예들에서, 퍼지 가스는 전구체 도즈들 동안 사용된 캐리어 가스와 동일한 화학물질이다. 일부 실시예들에서, 퍼지 가스는 이하에 더 기술된 바와 같이 플라즈마 동작 동안 흐른 동일한 가스이다. 일부 실시예들에서, 퍼지 가스는 캐리어 가스가 흐르는 동일한 가스 소스로부터 흐른다. 일부 실시예들에서, 퍼지는 스테이션을 배기하는 것을 수반할 수도 있다. 일부 실시예들에서, 퍼지는 프로세스 스테이션을 배기하기 위한 하나 이상의 배기 퍼지들을 포함할 수도 있다. 일부 실시예들에서, 퍼지는 약 0 초 내지 약 60 초와 같은 임의의 적합한 지속기간 동안 수행될 수도 있다. 일부 실시예들에서, 하나 이상의 스윕프 가스들의 플로우 레이트를 증가시키는 것은 퍼지의 지속기간을 감소시킬 수도 있다. 예를 들어, 퍼지 가스 플로우 레이트는 동작 404a의 지속기간을 수정하기 위해 프로세스 스테이션 및/또는 프로세스 스테이션 배관의 다양한 반응물질 열역학적 특성들 및/또는 기하학적 특성들에 따라 조정될 수도 있다. 일 비제한적인 예에서, 스윕프 페이즈의 지속기간은 스윕프 가스 플로우 레이트를 조절함으로써 조정될 수도 있다. 이것은 증착 사이클 시간을 감소시킬 수도 있고, 이는 기판 쓰루풋을 개선할 수도 있다. 퍼지 후에, 일부 전구체 분자들은 기판 표면 상에 흡착된 채로 남아 있을 수도 있다.
동작 406a에서, 기판은 플라즈마에 노출된다. 예를 들어, 기판은 플라즈마가 반응을 촉진시키도록 점화되는 동안 기판의 표면 상에 재료를 형성하도록 전구체와 반응할 수 있는 제 2 반응물질에 노출될 수도 있다. 제 2 반응물질은 증착될 막의 타입에 따라 선택될 수도 있다. 예를 들어, 실리콘 질화물 막에 대해, 기판은 플라즈마가 실리콘 질화물 막을 증착하도록 동작 406a에서 점화되는 동안 질소-함유 반응물질에 노출될 수도 있다. 또 다른 예로서, 실리콘 산화물 막에 대해, 기판은 플라즈마가 실리콘 산화물 막을 증착하도록 동작 406a에서 점화되는 동안 산소-함유 반응물질에 노출될 수도 있다.
동작 408a에서, 챔버는 다시 선택 가능하게 퍼지된다. 일부 실시예들에서 퍼지 조건들은 동작 404a의 퍼지 조건들과 동일할 수도 있다. 일부 실시예들에서, 퍼지 조건들은 가변될 수도 있다. 이 예의 목적들을 위해, 퍼지 조건들은 동작 404a의 퍼지 조건들과 동일할 수도 있다.
동작들 402a 내지 408a는 멀티-사이클 ALD 프로세스 사이클의 일 ALD 사이클을 구성할 수도 있다. 도 4a에서, 멀티-사이클 ALD 프로세스 사이클의 또 다른 ALD 사이클이 동작들 402b 내지 408b에 제공된다. 그러나, 동작들 402b 내지 408b에 제공된 제 2 ALD 사이클은 동작들 402a 내지 408a와 상이한 하나 이상의 파라미터 값들을 수반한다. 도 4a의 동작들 402b 내지 408b는 전구체 노출 동안 캐리어 가스 플로우가 프로세스 챔버 내의 제 1 ALD 사이클의 캐리어 가스 플로우와 상이한 일 예를 제공한다. 도시된 바와 같이, 동작 402b에서, 기판은 제 2 캐리어 가스 플로우를 가진 전구체에 노출된다. 동작 402a와 비교하면, 동작 402b의 캐리어 가스 플로우는 동작 402a의 캐리어 가스 플로우 레이트보다 빠른 캐리어 가스 플로우 레이트, 보다 느린 캐리어 가스 플로우 레이트, 상이한 가스 플로우 조성, 또는 다른 변동을 수반할 수도 있다. 예를 들어, 기판을 고 캐리어 가스 플로우에 노출시키기 위한 동작은 4 개의-스테이션 툴에 대해 약 20 L 미만, 또는 단일의 기판에 대해 약 5 L의 플로우 레이트로 캐리어 가스를 흘리는 것을 수반할 수도 있다. 기판을 저 캐리어 가스 플로우에 노출시키기 위한 동작은 4 개의-스테이션 툴에 대해 약 1000 sccm 미만, 또는 단일의 기판에 대해 약 250 sccm의 플로우 레이트로 캐리어 가스를 흘리는 것을 수반할 수도 있다.
일부 실시예들에서, 보다 고 캐리어 가스 플로우 레이트는 일 ALD 사이클에 대해 적어도 약 9 L일 수도 있고, 반면에 보다 저 캐리어 가스 플로우 레이트는 4 개의 샤워헤드들 (예를 들어, 사이즈가 300 ㎜인 기판을 홀딩하기 위한 페데스탈을 각각 포함한, 4 개의 스테이션들) 을 포함한 장치에 대해 약 3 L 이하일 수도 있다. 상대적인 플로우 레이트들은 하드웨어에 의존할 수도 있다. 일부 하드웨어에 대해, 캐리어 가스 플로우와 기판 상의 발생한 프로파일의 타입의 관계는 선형이 아닐 수도 있다. 예를 들어, 일부 실시예들에서, 예를 들어, 동작 402a에서 사용된 약 9 L의 고 캐리어 가스 플로우는 에지가 두꺼운 프로파일을 발생시킬 수도 있고, 그리고 예를 들어, 동작 402b에서 사용된 약 3 L의 저 캐리어 가스 플로우는 에지가 얇은 프로파일을 발생시킬 수도 있지만, 약 6 L의 플로우 레이트로 캐리어 가스를 흘리는 것은 균일한 증착 프로파일을 발생시키지 않을 수도 있다.
일부 실시예들에서, 기판은 캐리어 가스를 흘릴 수 있는, 2 이상의 매니폴드들을 포함한 챔버 내에서 프로세싱될 수도 있다. 일 매니폴드는 또한 전구체 가스를 전달하도록 사용될 수도 있다. 동작 402a에서, 2 개의 매니폴드들은 캐리어 가스를 전달할 수도 있고, 반면에 동작 402b에서, 하나의 매니폴드만이 캐리어 가스를 전달할 수도 있다. 일부 실시예들에서, 매니폴드들 내의 캐리어 가스들은 동일할 수도 있다. 일부 실시예들에서, 매니폴드들 내의 캐리어 가스들은 상이할 수도 있다.
동작 404b에서, 챔버는 선택 가능하게 퍼지된다. 이 예에서, 퍼지 동안 챔버 조건들은 동작 404a에서와 동일할 수도 있지만, 일부 실시예들에서, 퍼지 조건들은 또한 사이클마다 가변될 수도 있다는 것이 이해된다. 동작 406b에서, 기판은 기판 상에 증착될 재료를 형성하도록 플라즈마에 노출된다. 다양한 실시예들에서, 동작 406b는 406a와 동일한 조건들을 수반할 수도 있다. 다시 일부 실시예들에서, 동작 406b 동안의 조건들은 사이클마다 변화될 수도 있고 그리고 반드시 동작 406a의 조건들과 동일할 필요가 없을 수도 있다는 것이 이해된다. 동작 408b에서, 퍼지는 다시 동작 408a와 유사하게, 선택 가능하게 수행된다. 다시, 여기에서, 동작 408b는 동작 408a의 조건들과 동일한 조건들을 수반할 필요가 없을 수도 있다.
이어서 동작들 402a 내지 408b는 이 멀티-사이클의 ALD 프로세스를 사용하여 재료를 증착하도록 사이클들로 반복될 수도 있다. 도 4a에 제공된 예는 멀티-사이클의 ALD 프로세스의 2 개의 ALD 사이클들을 포함하지만, 일부 실시예들에서, 3 개의 사이클들, 4 개의 사이클들, 5 개의 사이클들, 또는 그 이상의 사이클들과 같은 3 이상의 사이클들이 포함될 수도 있다는 것을 주의하라. 예를 들어, 3 개의-사이클 멀티-사이클의 ALD 프로세스에 대해, 제 1 ALD 사이클에서 캐리어 가스 플로우는 가스 A와 가스 B를 흘리는 것을 수반할 수도 있고, 제 2 ALD 사이클에서 캐리어 가스 플로우는 가스 B 없이 단지 가스 A를 흘리는 것을 수반할 수도 있고, 그리고 제 3 ALD 사이클에서 캐리어 가스 플로우는 가스 A 없이 단지 가스 B를 흘리는 것을 수반할 수도 있다.
도 4b는 도 4a의 멀티-사이클의 ALD 사이클이 반복되는 프로세스 400의 예를 도시한 타이밍 개략도를 제공한다.
도시된 바와 같이, 제 1 멀티-사이클의 ALD 사이클 480은 제 1 ALD 사이클 410A 및 제 2 ALD 사이클 410B 양자를 포함한다. 프로세스는 제 1 ALD 사이클 450A 및 제 2 ALD 사이클 450B를 포함하는, 제 2 프로세스 사이클 490에서 다시 반복된다.
제 1 ALD 사이클 410A는 도 4a의 동작 402a에 대응하는 도즈 페이즈 412A를 포함한다. 이 동작 동안, 캐리어 가스 1 및 캐리어 가스 2는 전구체와 함께 흐르고, 반면에 플라즈마 및 제 2 반응물질 양자는 턴 오프된다. 퍼지 페이즈 414A에서, 챔버가 배기되는 동안 퍼지 가스가 흐르고 그리고 플라즈마는 오프된다. 이 페이즈는 도 4a의 동작 404a에 대응한다. RF 페이즈 416A에서, 기판은 플라즈마에 노출되고 그리고 제 2 반응물질은 표면 상의 흡착된 전구체와 반응하도록 흐른다. 이 동작은 동작 406a에 대응한다. 퍼지 페이즈 418A에서, 챔버를 배기하도록 퍼지 가스가 흐르고 플라즈마가 턴 오프된다. 제 2 ALD 사이클 410B에서, 캐리어 가스 1가 턴 오프된 동안 도즈 페이즈 412B는 캐리어 가스 2만을 흘리는 것을 수반하고, 그리고 전구체가 흐른다. 이것은 제 2 캐리어 가스가 흐르는 도 4a의 동작 402b에 대응한다. 도즈 페이즈 412A와 비교하면, 하나의 캐리어 가스만이 흐른다. 도 4a의 동작 404b에 대응하는 퍼지 페이즈 414B에서, 퍼지 가스가 흐르고 플라즈마가 점화되지 않는다. 도 4a의 동작 406b에 대응하는 RF 페이즈 416B에서, 플라즈마가 턴 온되고 (turn on) 그리고 제 2 반응물질이 흐른다. 도 4a의 동작 408b에 대응하는 퍼지 페이즈 418B에서, 퍼지 가스가 흐르고 그리고 플라즈마가 점화되지 않는다. 도 4b는 제 2 프로세스 사이클 490이 2 개의 캐리어 가스 플로우들 및 전구체 플로우를 가진 도즈 페이즈 412A, 퍼지 페이즈 414A, RF 페이즈 416A, 퍼지 페이즈 418A, 이어서 전구체 플로우와 함께 일 캐리어 가스 플로우를 수반한 도즈 페이즈 412B, 퍼지 페이즈 414B, RF 페이즈 416B, 및 퍼지 페이즈 418B를 포함하도록, 도 4a의 동작들 402a 내지 408b를 반복하기 위한 페이즈들을 도시한다.
도 5a 및 도 5b는 파라미터 값이 사이클마다 변화되는 멀티-사이클의 ALD 프로세스의 또 다른 예를 제공하고; 이 예에서, 파라미터는 전구체 노출 동안의 도즈 시간이다. 도 5a에 도시된 바와 같이, 동작 502a에서, 기판은 제 1 도즈 시간에 전구체에 노출된다. 제 1 도즈 시간은 약 50 ms 내지 약 100 ms일 수도 있다. 동작 504a에서, 챔버는 기판의 표면에 흡착되지 않은 모든 전구체 분자들을 제거하도록 선택 가능하게 퍼지된다. 퍼지는 도 5a의 동작 504a에 대해 상기에 기술된 임의의 파라미터 값들을 가질 수도 있다.
동작 506a에서, 기판은 플라즈마에 노출된다. 이 동작 동안, 제 2 반응물질이 기판 상에 막을 형성하기 위해서 흡착된 전구체와 반응하도록 제 2 반응물질이 기판으로 흐르고 그리고 플라즈마가 제 2 반응물질을 점화하도록 턴 온된다. 프로세스 조건들은 도 4a의 동작 406a에 대해 상기에 기술된 임의의 프로세스 조건들일 수도 있다.
동작 508a에서, 챔버는 다시 선택 가능하게 퍼지된다. 퍼지 조건들은 도 4a의 동작 404a에 대해 상기에 기술된 임의의 퍼지 조건들일 수도 있다.
동작들 502a 내지 508a는 멀티-사이클의 ALD 프로세스의 일 ALD 사이클을 구성할 수도 있다. 동작 502b에서, 기판은 제 2 도즈 시간에 전구체에 노출된다. 제 2 도즈 시간은 동작 502a의 도즈 시간보다 길거나 보다 짧을 수도 있다. 일부 실시예들에서, 제 1 도즈 시간 또는 제 2 도즈 시간은 적어도 약 0.05 초일 수도 있다. 특정한 실시예들에서, 제 1 도즈 시간, 제 2 도즈 시간 및 차후의 도즈 시간 간의 차는 약 0.025 초 또는 그 이상이다. 예를 들어, 동작 502a의 도즈 시간이 약 0.1 초라면, 동작 502b의 도즈 시간은 약 0.125 초 또는 그 이상일 수도 있다. 도즈 시간은 목표된 증착 결과에 따라 선택될 수도 있다. 예를 들어, 동작 502a에서, 도즈 시간은, 전구체로 하여금 샤워헤드 및 챔버 설계로 인해 웨이퍼의 중심을 향해 우선적으로 흡착되게 하는 약 0.1 초일 수도 있다. 이어서 동작 502b에서, 도즈 시간은 기판 상에 막을 보다 균일하게 증착하도록 기판의 중심에 전구체 흡착을 포화시키고 기판의 에지들 상의 전구체를 증가시키기 위한 약 0.3 초일 수도 있다. 동작 504b에서, 챔버는 선택 가능하게 퍼지되고, 이는 도 4a의 동작 404a에 대해 상기에 기술된 임의의 조건들을 수반할 수도 있다. 동작 506b에서, 기판은 플라즈마에 노출된다. 동작 508b에서, 챔버는 다시 선택 가능하게 퍼지된다. 동작들 502a 내지 508b는 전구체 노출 동안 가변된 파라미터인 도즈 시간을 가진 2 개의 ALD 사이클들을 포함한 일 멀티-사이클의 ALD 프로세스를 구성할 수도 있고 그리고 이들 동작들은 사이클들로 반복될 수도 있다. 프로세스는 ALD 프로세스의 모든 지점들에서 쌍일 사이클 "a" 및 사이클 "b"를 나타낼 (unfold) 필요가 없다. 예를 들어, "b" 사이클은 2 이상의 "a" 사이클들마다 1회 수행될 수도 있다. 또는 "b" 사이클은 프로세스 상의 실시간 피드백을 통해, 증착 전 또는 증착 동안 결정된 바와 같이 불규칙적으로 또는 심지어 랜덤으로 수행될 수도 있다.
도즈 시간 변동에 대해, 일부 실시예들에서, 도즈 시간은 기판의 패턴의 타입에 의존한다. 예를 들어, 트렌치들은 고 종횡비를 가지며 꽤 깊을 수도 있고 예를 들어 트렌치들은 약 0.1 내지 약 0.5 ㎛의 트렌치 개구를 가지며 2 내지 5 ㎛만큼 깊을 수 있다. 도즈 시간은 트렌치들 내로의 확산을 허용하도록 보다 길 수도 있고, 예를 들어 약 0.2 초 초과일 수도 있다. 멀티-사이클의 ALD 프로세스에서 이러한 트렌치들에 대해 보다 짧은 도즈 시간들, 예를 들어 약 0.1 초를 사용하여, 재료는 주로 트렌치 또는 피처의 상단 또는 개구를 향해 성장할 수도 있고, 이는 트렌치 내에 보이드들을 발생시킬 수도 있다. 보다 긴 도즈 시간은 트렌치 벽을 따라 컨포멀한 막을 생산할 수도 있고 반면에 보다 짧은 도즈 시간은 트렌치의 상단에 또는 상단 근방에서의 막 성장을 증가시킬 수도 있다. 멀티-사이클의 ALD는 특정한 애플리케이션들에 바람직한 제어된 에어 갭 또는 보이드를 생성하도록 이러한 변동을 사용할 수 있다.
도 5b는 도 5a의 동작들 502a 내지 508b가 사이클들로 반복되는 프로세스 500의 예를 도시한다.
도시된 바와 같이, 제 1 프로세스 사이클 580은 제 1 ALD 사이클 510A (동작들 502a 내지 508a에 대응함) 및 제 2 ALD 사이클 510B (동작들 502b 내지 508b에 대응함) 양자를 포함한다. 제 1 ALD 사이클 510A는 캐리어 가스가 흐르고, 전구체가 흐르고, 플라즈마가 흐르지 않고, 그리고 제 2 반응물질이 흐르지 않는, 도 5a의 동작 502a에 대응하는 도즈 페이즈 512A를 수반한다. 도즈의 길이는 전구체 노출에 대응하는 수평선, 또는 전구체 개략도의 x-축의 길이로 나타낸다는 것을 주의하라. 퍼지 페이즈 514A는 퍼지 가스 플로우만을 포함하고 그리고 도 5a의 동작 504a에 대응한다. RF 페이즈 516A는 제 2 반응물질이 흐르고 그리고 플라즈마가 턴 온되는 도 5a의 동작 506a에 대응한다. 퍼지 페이즈 518A는 동작 508A에 대응하고, 그리고 퍼지 가스만이 흐른다. 캐리어 가스는 전구체 가스가 흐를 때마다; 예를 들어, 도즈 페이즈마다 흐른다. 여기서, 캐리어 가스 플로우는 전구체 가스를 챔버 내로 운반하도록 사용된 캐리어 가스를 나타내고 그리고 기판이 하우징되는 챔버 내로 펄싱된다.
제 2 ALD 사이클 510B에서, 도즈 페이즈 512B는 도 5a의 동작 504b에 대응한다. 도즈 페이즈 512B 동안, 캐리어는 도즈 페이즈 512A의 도즈 시간보다 긴 도즈 시간 동안 전구체와 함께 흐른다. 일부 실시예들에서, 역이 참일 수도 있다 - 도즈 페이즈 512B가 도즈 페이즈 512A보다 짧을 수도 있다는 것을 주의하라. 퍼지 페이즈 514B는 도 5a의 동작 504b에 대응할 수도 있다. 퍼지 페이즈 514B 동안, 캐리어 가스만이 흐르고, 그리고 전구체 플로우 및 제 2 반응물질 플로우가 턴 오프되고, 플라즈마도 턴 오프된다. 도 5a의 동작 506b에 대응하는 RF 페이즈 516B에서, 제 2 반응물질이 흐르고 그리고 플라즈마가 턴 온된다. 퍼지 페이즈 518B는 동작 508b에 대응하고, 그리고 퍼지 페이즈 518B 동안, 퍼지 가스만이 흐른다.
제 1 프로세스 사이클 580은 제 2 프로세스 사이클 590에 도시된 바와 같이 도 5b에 제공된 예로 반복된다. 제 2 프로세스 사이클 590은 도 5a의 동작들 502a 내지 508a를 반복하는 것에 대응하는 제 1 ALD 사이클 550A를 포함한다. 제 1 ALD 사이클 550A는 도즈 페이즈 552A, 퍼지 페이즈 554A, RF 페이즈 556A, 및 퍼지 페이즈 558A가 있도록, 제 1 ALD 사이클 510A와 동일한 동작들을 포함한다. 이들 동작들은 각각 도즈 페이즈 512A, 퍼지 페이즈 514A, RF 페이즈 516A, 및 퍼지 페이즈 518A와 동일하다. 552A의 도즈 시간은 512A의 도즈 시간과 동일하다.
제 2 ALD 사이클 510B와 동일한 동작들을 포함한, 제 2 ALD 사이클 550B가 수행된다. 이들 동작들은 도 5a의 동작들 502b 내지 508b에 대응한다. 제 2 ALD 사이클 550B는 도즈 페이즈 552B, 퍼지 페이즈 554B, RF 페이즈 556B, 및 퍼지 페이즈 558B를 수반한다. 이들은 각각 도즈 페이즈 512B, 퍼지 페이즈 514B, RF 페이즈 516B, 및 퍼지 페이즈 518B에 대응한다. 도즈 페이즈 552B의 지속기간은 도즈 페이즈 512B의 지속기간과 동일하다. 제 1 프로세스 사이클 580에 대해 상기에 기술된 바와 같이, 도즈 시간이 하나의 멀티-사이클의 ALD 프로세스 사이클에서 ALD 사이클마다 가변되도록, 도즈 페이즈 552B 지속기간은 도즈 페이즈 552A의 지속기간보다 길다.
본 명세서에 기술된 실시예들이 제 2 반응물질 노출 동안 기판을 플라즈마에 노출시키는 것을 수반하지만, 개시된 실시예들은 또한 열적 프로세스들에 대해 사용될 수도 있다. 열적 ALD를 수반한 일부 실시예들에서, 가스는 챔버로 가스를 보다 균일하게 분배하도록 튜브를 통해 분배된다. PEALD를 수반한 실시예들에서, 가스는 증착 프로파일을 테일러링하도록 (tailor) 이하에 기술된 바와 같이 샤워헤드를 통해 흐를 수도 있다. 일부 실시예들에서, 단일의 반응물질만이 사용되고 그리고 열적 또는 플라즈마 동작이 흡착된 전구체를 목표된 증착 재료로 변환하도록 사용된다.
장치
도 6은 프로세스 챔버 바디 (602) 를 가진 ALD 프로세스 스테이션 (600) 의 실시예의 개략적인 예시를 도시한다. ALD 프로세스 스테이션 (600) 은 일부 실시예들에서 저압 환경에서 기판들을 프로세싱하기에 적합할 수도 있다. 일부 실시예들에서, 이하에 상세히 논의되는 파라미터 값들을 포함한, ALD 프로세스 스테이션 (600) 의 하나 이상의 하드웨어 파라미터 값들은 하나 이상의 컴퓨터 제어기들 (650) 에 의해 프로그램에 따라서 조정될 수도 있다. 다양한 실시예들에서, ALD 프로세스의 파라미터 값들은 본 명세서에 기술된 바와 같이 멀티-사이클의 ALD 프로세스에서 사이클들에 걸쳐 가변된다. 파라미터 값들의 변동은 결정된 방식으로 또는 실시간 피드백에 기초하여 행해질 수도 있다. 부가적인 예들 및 추가의 실시예들은 이하에 기술된다.
ALD 프로세스 스테이션 (600) 은 분배 샤워헤드 (606) 로 프로세스 가스들을 전달하기 위한 반응물질 전달 시스템 (601a) 과 유체로 연통한다. 반응물질 전달 시스템 (601a) 은 샤워헤드 (606) 로 전달할 프로세스 가스들을 혼합하고 그리고/또는 컨디셔닝하기 위한 혼합 용기 (604) 를 포함한다. 예를 들어, 반응물질 전달 시스템 (601a) 은 이하에 기술된 바와 같이 질량 유량 제어기들 및 액체 플로우 제어기들을 포함할 수도 있다. 하나 이상의 혼합 용기 유입부 밸브들 (620) 은 프로세스 가스들의 혼합 용기 (604) 로의 도입을 제어할 수도 있다. 다양한 실시예들에서, 하나 이상의 프로세스 가스들의 샤워헤드 (606) 또는 프로세스 챔버 (602) 로의 전달은 사이클들에 걸쳐 가변될 수도 있다. 예를 들어, 하나 이상의 프로세스 가스들의 도즈의 지속기간은 가변될 수도 있다. 개시된 실시예들에서, 제어기 (650) 는 하나 이상의 유입부 밸브들 (620) 을 제어함으로써 하나 이상의 프로세스 가스들의 방향전환을 제어할 수도 있다. 가스 전달의 변동은 결정된 방식으로 행해질 수도 있다. 예를 들어, 레시피는 제 2 프로세스 가스를 흘리는 n 사이클들마다 제 1 프로세스 가스를 방향전환하기 위해 제어기 (650) 에 프로그램될 수도 있고, 여기서 n은 1 이상의 정수이다. 일부 실시예들에서, 반응물질 전달 시스템 (601a) 에 의해 전달된 캐리어 가스들은 또한 사이클마다 가변될 수도 있다. 예를 들어, 도즈 지속기간은 사이클들에 걸쳐 가변될 수도 있다. 일부 실시예들에서, 가스 전달의 변동은 실시간 피드백에 기초할 수도 있다. 예를 들어, 검출기 (미도시) 는 시간에 걸쳐 기판 (612) 상에 막이 얼마나 증착되었는지를 결정할 수도 있고, 그리고 하나 이상의 가스들 (예컨대, 프로세스 가스들 또는 캐리어 가스들) 의 도즈 시간들은 임의의 주어진 시간에 기판 (612) 의 상태를 수용하도록 멀티-사이클의 ALD 프로세스에서 사이클들에 걸쳐 가변될 수도 있다.
일 예로서, 도 6의 실시예는 혼합 용기 (604) 에 공급될 액체 반응물질을 기화시키는 기화 지점 (vaporization point, 603) 을 포함한다. 일부 실시예들에서, 기화 지점 (603) 은 가열된 기화기일 수도 있다. 이러한 기화기들로부터 생성된 포화된 반응물 증기는 다운스트림 전달 파이프에서 응결될 수도 있다. 이 응결된 반응물질에 대한 양립할 수 없는 가스들의 노출은 작은 입자들을 생성할 수도 있다. 이러한 작은 입자들은 파이프를 막히게 하며, 밸브 동작을 방해하며, 기판을 오염시키는 등을 할 수도 있다. 이들 이슈들을 처리하기 위한 일부 방법들은 잔여 반응물질을 제거하도록 전달 파이프를 퍼지 및/또는 배기하는 것을 수반한다. 그러나, 전달 파이프를 퍼지하는 것은 프로세스 스테이션 사이클 시간을 증가시킬 수도 있고, 프로세스 스테이션 쓰루풋을 감소시킨다. 따라서, 일부 실시예들에서, 기화 지점 (603) 의 다운스트림에 있는 전달 파이프는 열 추적될 수도 있다. 일부 예들에서, 혼합 용기 (604) 는 또한 열 추적될 수도 있다. 일 비제한적인 예에서, 기화 지점 (603) 의 다운스트림에 있는 파이프는 혼합 용기 (604) 에서 대략 30 ℃ 에서 대략 150 ℃로 확장되는 증가하는 온도 프로파일을 갖는다.
일부 실시예들에서, 액체 전구체 또는 액체 반응물질은 액체 주입기에서 기화될 수도 있다. 예를 들면, 액체 주입기는 혼합 용기의 업스트림에 있는 캐리어 가스 스트림 내로 액체 반응물질의 펄스들을 주입할 수도 있다. 일 실시예에서, 액체 주입기는 이 액체를 보다 고압에서 보다 저압으로 플래싱함으로써 (flashing) 반응물질을 기화시킬 수도 있다. 또 다른 실시예에서, 액체 주입기는 이후에 가열된 전달 파이프 내에서 기화되는, 분산된 미세 액적 (microdroplets) 으로 액체를 원자화할 (atomize) 수도 있다. 보다 작은 액적들은 보다 큰 액적들보다 빠르게 기화될 수도 있어, 액체 주입과 완전한 기화 사이의 지연을 감소시킨다. 보다 빠른 기화는 기화 지점 (603) 으로부터의 다운스트림에 있는 파이프의 길이를 감소시킬 수도 있다. 일 시나리오에서, 액체 주입기는 혼합 용기 (604) 에 직접 장착될 수도 있다. 또 다른 시나리오에서, 액체 주입기는 샤워헤드 (606) 에 직접 장착될 수도 있다.
일부 실시예들에서, 기화 지점 (603) 의 업스트림에 있는 LFC (liquid flow controller) 는, 기화를 위한 액체의 질량 유량 및 프로세스 스테이션 (600) 으로의 전달을 제어하기 위해 제공될 수도 있다. 예를 들어, LFC는 LFC의 다운스트림에 위치된 열적 MFM (mass flow meter) 을 포함할 수도 있다. 이어서, LFC의 플런저 밸브는 MFM과 전기적으로 통신하는 PID (proportional-integral-derivative) 제어기에 의해 제공된 피드백 제어 신호들에 응답하여 조정될 수도 있다. 그러나, 이는 피드백 제어를 사용하여 액체 플로우를 안정화하는데 1 초 이상 걸릴 수도 있다. 이것은 액체 반응물질을 도즈하기 위한 시간을 연장할 수도 있다. 따라서, 일부 실시예들에서, LFC는 피드백 제어 모드 및 직접 제어 모드 사이에서 동적으로 전환될 (switch) 수도 있다. 일부 실시예들에서, 이는 LFC 및 PID 제어기의 센스 튜브를 디스에이블함으로써 수행될 수도 있다.
샤워헤드 (606) 는 프로세스 가스들을 기판 (612) 를 향해서 분배한다. 도 6에 도시된 실시예에서, 기판 (612) 은 샤워헤드 (606) 아래에 위치되고, 페데스탈 (608) 위에 놓여있는 것으로 도시된다. 샤워헤드 (606) 는 임의의 적합한 형상을 가질 수도 있고, 프로세스 가스들을 기판 (612) 으로 분배하기 위한 임의의 적절한 수 및 배치의 포트들을 가질 수도 있다.
일부 실시예들에서, 마이크로볼륨 (microvolume, 607) 은 샤워헤드 (606) 아래에 위치된다. 프로세스 스테이션의 전체 볼륨보다는 마이크로볼륨에서 개시된 실시예들을 실행하는 것은, 반응물질의 노출 및 퍼지 시간들을 감소시킬 수도 있고, 프로세스 조건들 (예를 들어, 압력, 온도, 등) 을 변경하기 위한 시간들을 감소시킬 수도 있고, 그리고 프로세스 가스들로의 프로세스 스테이션 로보틱스 (robotics) 의 노출을 제한할 수도 있는 등을 한다. 예시적인 마이크로볼륨 사이즈들은 이로제한되지 않지만, 0.1 리터 내지 2 리터의 체적들을 포함한다. 이는 또한 생산 쓰루풋에 영향을 준다. 일부 실시예들에서, 개시된 실시예들은 마이크로볼륨에서 수행되지 않는다.
일부 실시예들에서, 페데스탈 (608) 은 기판 (612) 을 마이크로볼륨 (607) 에 노출시키고/시키거나 마이크로볼륨 (607) 의 체적을 가변하기 위해 상승되거나 하강될 수도 있다. 예를 들어, 기판 이송 페이즈에서, 페데스탈 (608) 은 마이크로볼륨 (607) 내에 기판 (612) 을 위치시키기 위해 상승될 수도 있다. 일부 실시예들에서, 마이크로볼륨 (607) 은 고 플로우 임피던스 영역을 생성하기 위해 페데스탈 (608) 의 일부뿐만 아니라 기판 (612) 을 완전히 둘러쌀 수도 있다.
선택 가능하게, 페데스탈 (608) 은 마이크로볼륨 (607) 내에서 프로세스 압력, 반응물질 농도, 등을 조절하기 위해 일부의 프로세스 동안 하강되고/되거나 상승될 수도 있다. 프로세싱 챔버 바디 (602) 가 프로세스 동안 기준 압력으로 유지되는 일 시나리오에서, 페데스탈 (608) 을 하강시키는 것은 마이크로볼륨 (607) 으로 하여금 배기되게 할 수도 있다. 프로세스 챔버 볼륨에 대한 마이크로볼륨의 예시적인 비들은 이로 제한되지 않지만, 1:500 내지 1:10의 체적 비들을 포함한다. 일부 실시예들에서, 페데스탈 높이는 적합한 컴퓨터 제어기 (650) 에 의해 프로그램에 따라 조정될 수도 있다는 것이 이해될 것이다. 일부 실시예들에서, 페데스탈 (608) 의 위치는 사이클들에 걸쳐 가변될 수도 있다. 예를 들어, 일부 ALD 사이클들에서, 페데스탈 (608) 은 상승될 수도 있고, 그리고 일부 ALD 사이클들에서, 페데스탈 (608) 은 하강될 수도 있다. 본 명세서에 기술된 바와 같이 변동들은 실시간 피드백 또는 결정된 레시피에 의존할 수도 있다.
또 다른 시나리오에서, 페데스탈 (608) 의 높이를 조정하는 것은 플라즈마 밀도로 하여금 개시된 멀티-사이클의 ALD 프로세스들에 포함된 플라즈마 활성화 및/또는 증착 사이클들 동안 가변되게 할 수도 있다. 프로세스 페이즈의 종료 시, 페데스탈 (608) 은 또 다른 기판 이송 페이즈 동안 페데스탈 (608) 로부터 기판 (612) 의 제거를 허용하기 위해 하강될 수도 있다.
본 명세서에 기술된 예시적인 마이크로볼륨 변동들이 높이-조정가능한 페데스탈을 참조하지만, 일부 실시예들에서, 샤워헤드 (606) 의 위치는 마이크로볼륨 (607) 의 체적을 가변시키기 위해 페데스탈 (608) 에 대해 조정될 수도 있다는 것이 이해될 것이다. 또한, 페데스탈 (608) 및/또는 샤워헤드 (606) 의 수직 위치는 본 개시의 범위 내에 있는 임의의 적합한 메커니즘에 의해 가변될 수도 있다는 것이 이해될 것이다. 일부 실시예들에서, 페데스탈 (608) 은 기판 (612) 의 배향을 회전시키기 위한 회전 축을 포함할 수도 있다. 일부 실시예들에서, 하나 이상의 이들 예시적인 조정들은, 하나 이상의 적합한 컴퓨터 제어기들 (650) 에 의해 프로그램에 따라 수행될 수도 있다는 것이 이해될 것이다.
플라즈마가 상기에 논의된 바와 같이 사용될 수도 있는 일부 실시예들에서, 샤워헤드 (606) 및 페데스탈 (608) 은 플라즈마에 전력을 공급하기 위해 무선 주파수 (RF) 전력 공급부 (614) 및 매칭 네트워크 (616) 와 전기적으로 통신한다. 일부 실시예들에서, 플라즈마 에너지는 프로세스 스테이션 압력, 가스 농도, RF 소스 전력, RF 소스 주파수, 및 플라즈마 전력 펄스 타이밍 중 하나 이상을 제어함으로써 제어될 수도 있다. 이러한 파라미터 값들은 본 명세서에 기술된 바와 같이 멀티-사이클의 ALD 프로세스에서 ALD 사이클마다 가변될 수도 있다. 예를 들어, RF 전력 공급부 (614) 및 매칭 네트워크 (616) 는 하나 이상의 ALD 사이클들 동안 목표된 조성의 라디칼 종을 가진 플라즈마를 형성하도록 임의의 적합한 전력에서 동작될 수도 있다. 적합한 전력들의 예들은 상기에 포함된다. 마찬가지로, RF 전력 공급부 (614) 는 임의의 적합한 주파수의 RF 전력을 제공할 수도 있다. 일부 실시예들에서, RF 전력 공급부 (614) 는 서로 독립적으로 고 주파수 RF 전력 소스 및 저 주파수 RF 전력 소스를 제어하도록 구성될 수도 있다. 예시적인 저 주파수 RF 주파수들은 이로 제한되지 않지만, 50 ㎑ 내지 500 ㎑의 주파수들을 포함할 수도 있다. 예시적인 고 주파수 RF 주파수들은 이로 제한되지 않지만, 1.8 ㎒ 내지 2.45 ㎓의 주파수들을 포함할 수도 있다. 표면 반응들을 위한 플라즈마 에너지를 제공하도록 임의의 적합한 파라미터 값들이 이산적으로 또는 연속적으로 조절될 수도 있다는 것이 이해될 것이다. 하나의 비제한적인 예에서, 플라즈마 전력은 연속적으로 전력이 공급되는 플라즈마들에 대해 기판 표면과의 이온 충격을 감소시키기 위해 간헐적으로 펄싱될 수도 있다. 일부 실시예들에서, 플라즈마 전력은 약 50 W 내지 약 6000 W일 수 있다.
다양한 실시예들에서, RF 전력 또는 RF 주파수 또는 양자는 사이클들에 걸쳐 가변될 수도 있다. 일부 실시예들에서, ALD 프로세스의 하나 이상의 다른 파라미터 값들과 결합하여 RF 전력은 사이클마다, 또는 n 사이클들마다, 또는 랜덤으로 가변될 수도 있다. 예를 들어, 일부 실시예들에서, 고 RF 전력은 일 ALD 사이클에서 사용될 수도 있고 반면에 저 RF 전력은 다음의 ALD 사이클, 등에서 사용된다. 일부 실시예들에서, RF 전력의 3 이상의 변동들이 멀티-사이클의 ALD 프로세스에서 사용될 수도 있다.
일부 실시예들에서, 플라즈마는 하나 이상의 플라즈마 모니터들에 의해 인 시츄 모니터링될 수도 있다. 일 시나리오에서, 플라즈마 전력은 하나 이상의 전압, 전류 센서들 (예를 들어, VI 프로브들) 에 의해 모니터링될 수도 있다. 또 다른 시나리오에서, 플라즈마 밀도 및/또는 프로세스 가스 농도는 하나 이상의 OES (optical emission spectroscopy) 센서들에 의해 측정될 수도 있다. 일부 실시예들에서, 하나 이상의 플라즈마 파라미터 값들은 이러한 인 시츄 플라즈마 모니터들로부터의 측정치들에 기초하여 프로그램에 따라 조정될 수도 있다. 예를 들어, OES 센서는 플라즈마 전력의 프로그램적인 제어를 제공하기 위해 피드백 루프에서 사용될 수도 있다. 일부 실시예들에서, 플라즈마 및 다른 프로세스 특성들을 모니터링하기 위해 다른 모니터들이 사용될 수도 있다는 것이 이해될 것이다. 이러한 모니터들은 이로 제한되지 않지만, 적외선 (IR) 모니터들, 음향 모니터들, 및 압력 트랜스듀서들을 포함할 수도 있다.
일부 실시예들에서, 제어기 (650) 를 위한 인스트럭션들은 IOC (input/output control) 시퀀싱 인스트럭션들을 통해 제공될 수도 있다. 일 예에서, 프로세스 페이즈를 위한 조건들을 설정하기 위한 인스트럭션들은 프로세스 레시피의 대응하는 레시피 페이즈에 포함될 수도 있다. 일부 경우들에서, 프로세스 레시피 페이즈들은 프로세스 페이즈를 위한 모든 인스트럭션들이 이 프로세스 페이즈와 동시에 실행되도록 순차적으로 배치될 수도 있다. 일부 실시예들에서, 하나 이상의 반응기 파라미터 값들을 설정하기 위한 인스트럭션들은 레시피 페이즈에 포함될 수도 있다. 예를 들어, 제 1 레시피 페이즈는 불활성 및/또는 반응물질 가스 (예를 들어, 실란과 같은 제 1 전구체) 의 플로우 레이트를 설정하기 위한 인스트럭션들, 캐리어 가스 (예컨대, 질소 또는 아르곤) 의 플로우 레이트를 설정하기 위한 인스트럭션들, 및 제 1 레시피 페이즈를 위한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 2, 후속하는 레시피 페이즈는 불활성 및/또는 반응물질 가스의 플로우 레이트를 조절하거나 중지하기 위한 인스트럭션들, 캐리어 가스 또는 퍼지 가스의 플로우 레이트를 조절하기 위한 인스트럭션들, 및 제 2 레시피 페이즈를 위한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 3 레시피 페이즈는 제 1 레시피 페이즈에서 사용된 가스 (예를 들어, 산소와 같은 제 2 전구체) 와 동일하거나 상이할 수도 있는 불활성 및/또는 반응물질 가스의 플로우 레이트를 설정하기 위한 인스트럭션들, 플라즈마 RF 전력을 설정하기 위한 인스트럭션들, 제 1 레시피 페이즈의 플로우 레이트와 동일하거나 상이할 수도 있는 캐리어 가스의 플로우 레이트, 플라즈마 조건들을 조절하기 위한 인스트럭션들, 및 제 3 레시피 페이즈를 위한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 4 레시피 페이즈는 불활성 및/또는 반응물질 가스의 플로우 레이트를 조절하거나 중지하기 위한 인스트럭션들, 캐리어 또는 퍼지 가스의 플로우 레이트를 조절하기 위한 인스트럭션들, 제 4 레시피 페이즈를 위한 시간 지연 인스트럭션들을 포함할 수도 있다. 보다 많은 레시피 페이즈들이 또한 사용될 수도 있다. 예를 들어, 또 다른 레시피 페이즈는 사이클마다, 또는 n 사이클들마다, 또는 랜덤으로, 또는 실시간 피드백에 의존하여, 플라즈마 조건들을 가변하는 실시예들에 대해 제 3 레시피 페이즈의 플라즈마 조건들과 상이한 플라즈마 조건들을 포함할 수도 있다. 이들 레시피 페이즈들은 더 세분화될 수도 있고/있거나 본 개시의 범위 내에서 임의의 적합한 방식으로 반복될 수도 있다는 것이 이해될 것이다.
일부 실시예들에서, 페데스탈 (608) 은 가열기 (610) 를 통해 온도 제어될 수도 있다. 또한, 일부 실시예들에서, 프로세스 스테이션 (600) 을 위한 압력 제어가 버터플라이 밸브 (618) 에 의해 제공될 수도 있다. 도 6의 실시예에 도시된 바와 같이, 버터플라이 밸브 (618) 는 다운스트림 진공 펌프 (미도시) 에 의해 제공된 진공을 쓰로틀링한다 (throttle). 그러나, 일부 실시예들에서, 프로세스 스테이션 (600) 의 압력 제어는 또한 프로세스 스테이션 (600) 에 도입된 하나 이상의 가스들의 플로우 레이트를 가변함으로써 조정될 수도 있다. 프로세스 스테이션 (600) 은 상기에 기술된 바와 같이 예시적인 레시피들을 제어하기 위한 제어기 (650) 를 포함할 수도 있다.
일부 구현예들에서, 제어기 (650) 는 상술한 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이러한 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수 있다. 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수 있는 "제어기"로서 지칭될 수도 있다. 제어기 (650) 는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달 및/또는 하나 이상의 가스들의 방향전환을 포함한 프로세스 가스들의 전달을 위한 상이한 도즈 시간들의 변동, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들 및/또는 RF 전력 설정사항들의 변동, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴 및 다른 전달 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스를 제어하도록 프로그램될 수 있다.
일반적으로 말하면, 제어기 (650) 는 인스트럭션들을 수신하고 인스트럭션들을 발행하고 동작을 제어하고 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기 (650) 로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
제어기 (650) 는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기 (650) 는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 가능하게 하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기 (650) 는 하나 이상의 동작들 동안에 수행될 프로세싱 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기 (650) 가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기 (650) 는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 가공 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기 (650) 는, 반도체 제조 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
상기 기술된 바와 같이, 하나 이상의 프로세스 스테이션들은 멀티-스테이션 프로세싱 툴에 포함될 수도 있다. 도 7은 인바운드 로드록 (702) 및 아웃바운드 로드록 (704) 중 하나 또는 양자가 리모트 플라즈마 소스를 포함할 수도 있는, 인바운드 로드록 (702) 및 아웃바운드 로드록 (704) 을 갖는, 멀티-스테이션 프로세싱 툴 (700) 의 일 실시예의 개략도를 도시한다. 대기압에서 로봇 (706) 은, 카세트로부터 포드 (708) 를 통해 인바운드 로드록 (702) 으로 로딩된 웨이퍼들을 대기 포트 (710) 를 통해 이동시키도록 구성된다. 웨이퍼는 인바운드 로드록 (702) 내의 페데스탈 (712) 상에 로봇 (706) 에 의해 배치되고, 대기 포트 (710) 는 폐쇄되고, 로드록은 펌프 다운된다 (pump down). 인바운드 로드록 (702) 이 리모트 플라즈마 소스를 포함하면, 웨이퍼는 프로세싱 챔버 (714) 내로 도입되기 전에 로드록 내에서 리모트 플라즈마 처리에 노출될 수도 있다. 또한, 웨이퍼는 또한 예를 들어, 수분 및 흡착된 가스들을 제거하기 위해 인바운드 로드록 (702) 내에서 가열될 수도 있다. 다음에, 프로세싱 챔버 (714) 로의 챔버 이송 포트 (716) 가 개방되고, 또 다른 로봇 (미도시) 이 프로세싱을 위해 반응기 내에 도시된 제 1 스테이션의 페데스탈 상의 반응기 내로 웨이퍼를 배치한다. 도 7에 도시된 실시예는 로드록들을 포함하지만, 일부 실시예들에서, 웨이퍼의 프로세스 스테이션으로의 직접적인 진입이 제공될 수도 있다는 것이 이해될 것이다.
도시된 프로세싱 챔버 (714) 는 도 7에 도시된 실시예들에서 1 내지 4로 번호가 붙여진, 4 개의 프로세스 스테이션들을 포함한다. 스테이션 각각은 가열된 페데스탈 (스테이션 1에 대해 718로 도시됨), 및 가스선 유입부들을 갖는다. 일부 실시예들에서, 프로세스 스테이션 각각이 상이한 목적 또는 복수의 목적들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시예들에서, 프로세스 스테이션은 ALD와 PEALD 프로세스 모드 사이에서 전환 가능할 수도 있다. 부가적으로 또는 대안적으로, 일부 실시예들에서, 프로세싱 챔버 (714) 는 ALD 및 PEALD 프로세스 스테이션들의 하나 이상의 매칭된 쌍들을 포함할 수도 있다. 도시된 프로세싱 챔버 (714) 는 4 개의 스테이션들을 포함하지만, 본 개시에 따른 프로세싱 챔버는 임의의 적합한 수의 스테이션들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시예들에서, 프로세싱 챔버는 5 개 이상의 스테이션들을 갖는 반면, 다른 실시예들에서 프로세싱 챔버는 3 개 이하의 스테이션들을 가질 수도 있다.
도 7은 프로세싱 챔버 (714) 내에서 웨이퍼들을 이송하기 위한 웨이퍼 핸들링 시스템 (790) 의 실시예를 도시한다. 일부 실시예들에서, 웨이퍼 핸들링 시스템 (790) 은 다양한 프로세스 스테이션들 사이 및/또는 프로세스 스테이션과 로드 록 사이에서 웨이퍼들을 이송할 수도 있다. 임의의 적합한 웨이퍼 핸들링 시스템이 채용될 수도 있다는 것이 이해될 것이다. 비제한적인 예들은 웨이퍼 캐로절들 (carousels) 및 웨이퍼 핸들링 로봇들을 포함한다. 도 7은 또한 프로세스 툴 (700) 의 프로세스 조건들 및 하드웨어 상태들을 제어하도록 채용된 시스템 제어기 (750) 의 실시예를 도시한다. 시스템 제어기 (750) 는 하나 이상의 메모리 디바이스들 (756), 하나 이상의 대용량 저장 디바이스들 (754), 및 하나 이상의 프로세서들 (752) 을 포함할 수도 있다. 프로세서 (752) 는 CPU 또는 컴퓨터, 아날로그 입력/출력 접속부 및/또는 디지털 입력/출력 접속부, 스텝퍼 모터 제어 보드들, 등을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (750) 는 프로세스 툴 (700) 의 모든 액티비티들을 제어한다. 시스템 제어기 (750) 는 대용량 저장 디바이스 (754) 에 저장되고, 메모리 디바이스 (756) 로 로딩되고, 프로세서 (752) 상에서 실행되는 시스템 제어 소프트웨어 (758) 를 실행한다. 대안적으로, 제어 로직은 제어기 (750) 내에서 하드코딩될 수도 있다. ASIC (Applications Specific Integrated Circuits), PLD (Progra㎜able Logic Devices) (예를 들어, FPGA (field-progra㎜able gate array)), 등이 이 목적들을 위해 사용될 수도 있다. 이하의 논의에서, "소프트웨어" 또는 "코드"가 사용될 때마다, 기능적으로 유사한 하드코딩된 로직이 그 자리에 사용될 수도 있다. 시스템 제어 소프트웨어 (758) 는 타이밍, 가스들의 혼합, 아포화된 가스 플로우의 양, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 웨이퍼 온도, 타겟 전력 레벨들, RF 전력 레벨들, 기판 페데스탈, 척 및/또는 서셉터 위치, 및 프로세스 툴 (700) 에서 수행된 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들을 포함할 수도 있다. 시스템 제어 소프트웨어 (758) 는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 컴포넌트 서브루틴들 또는 제어 객체들이 다양한 프로세스 툴 프로세스들을 수행하기 위해 사용된 프로세스 툴 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 시스템 제어 소프트웨어 (758) 는 임의의 적합한 컴퓨터 판독가능 프로그래밍 언어로 코딩될 수도 있다.
일부 실시예들에서, 시스템 제어 소프트웨어 (758) 는 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC (input/output control) 시퀀싱 (sequencing) 인스트럭션들을 포함할 수도 있다. 시스템 제어기 (750) 와 연관된 대용량 저장 디바이스 (754) 및/또는 메모리 디바이스 (756) 에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램들이 일부 실시예들에서 채용될 수도 있다. 이러한 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 (positioning) 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 가열기 제어 프로그램, 및 플라즈마 제어 프로그램을 포함한다.
기판 포지셔닝 프로그램은 페데스탈 (718) 상에 기판을 로딩하고 그리고 기판과 프로세스 툴 (700) 의 다른 부분들 사이의 간격을 제어하도록 사용된 프로세스 툴 컴포넌트들에 대한 프로그램 코드를 포함할 수도 있다.
프로세스 가스 제어 프로그램은 프로세스 스테이션 내의 압력을 안정화시키기 위해 증착 전에 가스 조성 (예를 들어, 본 명세서에 기술된 바와 같은 실란, 질소, 및 퍼지 가스들) 및 플로우 레이트들을 제어하기 위한 코드 및 선택 가능하게 하나 이상의 프로세스 스테이션들로 가스를 흘리기 위한 코드를 포함할 수도 있다. 예를 들어, 프로세스 가스 제어 프로그램은 멀티-사이클의 ALD 프로세스에서 사이클들에 걸쳐 프로세스 가스 도즈들의 지속기간을 변화시키기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램은 예를 들어, 프로세스 스테이션의 배기 시스템의 쓰로틀 밸브, 프로세스 스테이션으로의 가스 플로우, 등을 조절함으로써 프로세스 스테이션 내의 압력을 제어하기 위한 코드를 포함할 수도 있다.
가열기 제어 프로그램은 기판을 가열하기 위해 사용된 가열 유닛으로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 가열기 제어 프로그램은 기판으로의 (헬륨과 같은) 열 전달 가스의 전달을 제어할 수도 있다.
플라즈마 제어 프로그램은 본 명세서의 실시예들에 따른, 하나 이상의 프로세스 스테이션들 내의 프로세스 전극들에 인가된 RF 전력 레벨들을 설정하기 위한 코드를 포함할 수도 있다. 예를 들어, 플라즈마 제어 프로그램은 사이클들에 걸쳐 RF 전력 레벨들을 가변하기 위한 코드를 포함할 수도 있다.
압력 제어 프로그램은 본 명세서의 실시예들에 따른 반응 챔버 내에서 압력을 유지하기 위한 코드를 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (750) 와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치의 그래픽적인 소프트웨어 디스플레이 및/또는 프로세스 조건들의 그래픽적인 소프트웨어 디스플레이, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (750) 에 의해 조정된 파라미터 값들은 프로세스 조건들과 관련될 수도 있다. 비제한적인 예들은 프로세스 가스 조성 및 플로우 레이트들 및 도즈 시간들, 온도, 압력, (RF 전력 레벨들과 같은) 플라즈마 조건들, 및 ALD 사이클들에 걸친 하나 이상의 파라미터 값들의 변동, 등을 포함한다. 이들 파라미터 값들은 사용자 인터페이스를 활용하여 입력될 수도 있는, 레시피의 형태로 사용자에게 제공될 수도 있다.
프로세스를 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기 (750) 의 아날로그 입력 접속부 및/또는 디지털 입력 접속부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세스 툴 (700) 의 아날로그 출력 접속부 및/또는 디지털 출력 접속부 상에 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비제한적인 예들은 질량 유량 제어기들, (압력계들 (manometers) 과 같은) 압력 센서들, 써모커플들 (thermocouple), 등을 포함한다. 적절하게 프로그램된 피드백 및 제어 알고리즘들이 프로세스 조건들을 유지하기 위해 이들 센서들로부터의 데이터와 함께 사용될 수도 있다.
시스템 제어기 (750) 는 상기 기술된 증착 프로세스들을 구현하기 위한 프로그램 인스트럭션들을 제공할 수도 있다. 프로그램 인스트럭션들은 DC 전력 레벨, RF 바이어스 전력 레벨, 압력, 온도, 등과 같은 다양한 프로세스 파라미터들을 제어할 수도 있다. 인스트럭션들은 본 명세서에 기술된 다양한 실시예들에 따라 막 스택들의 인-시츄 증착을 동작시키기 위한 파라미터들을 제어할 수도 있다.
시스템 제어기 (750) 는, 통상적으로 장치가 개시된 실시예들에 따른 방법을 수행하도록 인스트럭션들을 실행하도록 구성된 하나 이상의 프로세서들 및 하나 이상의 메모리 디바이스들을 포함할 것이다. 개시된 실시예들에 따른 프로세스 동작들을 제어하기 위한 인스트럭션들을 포함하는 머신 판독가능 매체는 시스템 제어기에 커플링될 수도 있다. 제어기 (750) 는 도 6에 대해 상기에 기술된 임의의 피처들을 가질 수도 있다.
본 명세서에 개시된 방법들을 수행하기 위한 적절한 장치는 전체가 본 명세서에 인용되는, 2011년 4월 11일 출원되고 발명의 명칭이 "PLASMA ACTIVATED CONFORMAL FILM DEPOSITION"인 미국 특허 출원 제 13/084,399 호; 및 2011년 4월 11일 출원되고 발명의 명칭이 "SILICON NITRIDE FILMS AND METHODS"인 미국 특허 출원 제 13/084,305 호에 더 논의되고 기술된다.
본 명세서에 기술된 장치/프로세스는 예를 들어, 반도체 디바이스들, 디스플레이들, LED들, 광전 패널들 등의 제조 또는 제작을 위한 리소그래피 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 반드시 그러한 것은 아니지만, 이러한 툴들/프로세스들은 공통 제조 설비 내에서 함께 사용되거나 실시될 것이다. 막의 리소그래픽 패터닝은 통상적으로 동작들 각각이 다수의 가능한 툴들을 사용하여 인에이블되는, 이하의 동작들: (1) 스핀-온 (spin-on) 툴 또는 스프레이-온 (spray-on) 툴을 사용하여 워크피스, 즉, 기판 상에 포토레지스트를 도포하는 동작; (2) 고온 플레이트 또는 노 (furnace) 또는 UV 경화 툴을 사용하여 포토레지스트를 경화하는 동작; (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 가시광선 또는 UV 또는 x-선 광에 포토레지스트를 노광하는 동작; (4) 습식 벤치와 같은 툴을 사용하여 레지스트를 선택적으로 제거하여 레지스트를 패터닝하도록 레지스트를 현상하는 동작; (5) 건식 또는 플라즈마 보조 에칭 툴을 사용함으로써 하부 막 또는 워크피스 내로 레지스트 패턴을 전사하는 동작; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트립퍼와 같은 툴을 사용하여 레지스트를 제거하는 동작의 일부 또는 전부를 포함한다.
실험
실험 1
실험은 개시된 실시예들에 따라 수행된 멀티-사이클의 ALD 프로세스들에 대한 증착 균일성을 비교하여 실시되었다. 사용된 장치는 2 개의 매니폴드들 - 매니폴드 1 및 매니폴드 2를 포함하였다. 매니폴드 1은 캐리어 가스를 전달하고 그리고 방향전환될 수도 있다. 매니폴드 2는 실리콘 함유 ALD 전구체와 함께 캐리어 가스를 전달했다. 매니폴드 1로부터의 플로우는 샤워헤드 그리고 기판이 하우징되는 프로세스 챔버 내로의 전달 전에 매니폴드 2와 합쳐진다. 5 개의 기판들이 평가되고 그리고 상이한 캐리어 가스 플로우들을 겪는다. 프로세스들은 50 ℃에서 수행되었다.
제 1 기판은 다음의 ALD 사이클을 반복하는 것을 수반한다: 0.2 초 동안 9.5 slm 캐리어 가스 플로우의 전구체 도즈, 퍼지, 1000 W 산화 플라즈마 노출, 및 퍼지.
제 2 기판은 다음의 ALD 사이클을 반복하는 것을 수반한다: 0.2 초 동안 6 slm 캐리어 가스 플로우의 전구체 도즈, 퍼지, 1000 W 산화 플라즈마 노출, 및 퍼지.
제 3 기판은 다음의 ALD 사이클을 반복하는 것을 수반한다: 0.2 초 동안 3.5 slm 캐리어 가스 플로우의 전구체 도즈, 퍼지, 1000 W 산화 플라즈마 노출, 및 퍼지.
제 4 기판은 다음의 멀티-사이클의 ALD 프로세스 사이클을 반복하는 것을 수반한다: 0.2 초 동안 6 slm 캐리어 가스 플로우의 전구체 도즈, 퍼지, 1000 W 산화 플라즈마 노출, 퍼지, 0.2 초 동안 9.5 slm 캐리어 가스 플로우의 전구체 도즈, 퍼지, 1000 W 산화 플라즈마 노출, 퍼지.
제 5 기판은 다음의 멀티-사이클의 ALD 프로세스 사이클을 반복하는 것을 수반한다: 0.2 초 동안 3.5 slm 캐리어 가스 플로우의 전구체 도즈, 퍼지, 1000 W 산화 플라즈마 노출, 퍼지, 0.2 초 동안 9.5 slm 캐리어 가스 플로우의 전구체 도즈, 퍼지, 1000 W 산화 플라즈마 노출, 퍼지.
도 8a의 타이밍도는 캐리어 가스의 플로우들 및 RF 전력을 도시한다. "RF ON"은 플라즈마가 턴 온될 때의 타이밍을 나타낸다. "Man 1"은 매니폴드 1이 턴 온될 때의 타이밍을 나타낸다. "Man 2"는 매니폴드 2가 턴 온될 때의 타이밍을 나타낸다 - 매니폴드 2는 매니폴드 1의 2배만큼 빈번하게 흘린다는 것을 주의하라. 제 1 기판, 제 2 기판, 및 제 3 기판에서, 타이밍은 Man 2 및 RF ON을 사용하는 것에 대응한다 (도 8a의 절반보다 낮음). 제 4 기판 및 제 5 기판에서, 제 1 사이클은 매니폴드 2 캐리어 가스만을 포함하고, 반면에 제 2 사이클은 매니폴드 2 및 매니폴드 1 양자를 포함하고, 그리고 이러한 사이클들은 도 8a의 상단 절반과 하단 절반의 조합이 모두 실시되도록 반복되었다.
기판들 상에 증착된 재료의 두께들은 49-PPM (point polar metrology) 을 사용하여 결정되었고, 여기서 두께 측정들은 다양한 지점들 (중심인 지점 1, 지점 1 주위에 제 1 링을 형성하는 지점들 2 내지 9, 제 1 링 주위에 제 2 링을 형성하는 지점들 10 내지 25, 및 웨이퍼의 에지로부터 3 ㎜에서 측정되는, 제 2 링 주위에 제 3 링을 형성하는 지점들 26 내지 49) 상에서 행해진다. 불균일성 (NU%) 은 평균 두께로부터의 증착 레이트와 같이 평가되었다.
두께에 대한 결과들은 도 8b 및 이하의 표 1에 도시된다. 도 8b에 도시된 바와 같이, 단일의 캐리어 가스에 대한 에지가 얇은 프로파일은 3.5 slm으로 라벨링된 (labeled) 선으로서 도시되고, 그리고 단일의 캐리어 가스에 대한 에지가 두꺼운 프로파일은 9.5 slm으로 라벨링된 선으로서 도시된다.
멀티-사이클의 ALD에서의 1000 W 캐리어 가스 플로우 변동
프로세스 | RF 전력 | 캐리어 가스 플로우 | 평균 두께 (Å) | NU% (R/2) |
단일의 캐리어 가스 ALD | 1000 W | 9.5 slm | 886 | 0.51 |
단일의 캐리어 가스 ALD | 1000 W | 6 slm | 895 | 0.76 |
단일의 캐리어 가스 ALD | 1000 W | 3.5 slm | 901 | 0.87 |
멀티-사이클의 ALD | 1000 W | 6 slm, 9.5 slm | 887 | 0.62 |
멀티-사이클의 ALD | 1000 W | 3.5 slm, 9.5 slm | 893 | 0.54 |
도시된 바와 같이, 6 - 9.5 slm 및 3.5 - 9.5 slm 웨이퍼들에 대해 도시된 바와 같은 멀티-사이클의 ALD 프로세스는 보다 양호한 NU%를 나타냈다. 증착 레이트는 아마도 보다 저 캐리어 가스 플로우 및 보다 고 전구체 분압에 기인하여 보다 크다. 멀티-사이클의 프로세스들은 도 8b에 도시된 바와 같이 에지가 두꺼운 프로파일 및 에지가 얇은 프로파일 양자를 달성할 수 있다.
실험 2
실험은 개시된 실시예들에 따라 수행된 멀티-사이클의 ALD 프로세스들에 대한 증착 균일성을 비교하여 실시되었다. 3 개의 기판들이 평가되고 그리고 상이한 캐리어 가스 플로우들을 겪는다. 프로세스들은 200 ℃에서 수행되었다.
제 1 기판은 다음의 ALD 사이클을 반복하는 것을 수반한다: 0.2 초 동안 9.5 slm 캐리어 가스 플로우의 전구체 도즈, 퍼지, 1000 W 산화 플라즈마 노출, 및 퍼지.
제 2 기판은 다음의 멀티-사이클의 ALD 프로세스 사이클을 반복하는 것을 수반한다: 0.2 초 동안 6 slm 캐리어 가스 플로우의 전구체 도즈, 퍼지, 1500 W 산화 플라즈마 노출, 퍼지, 및 0.2 초 동안 9.5 slm 캐리어 가스 플로우의 전구체 도즈, 퍼지, 1500 W 산화 플라즈마 노출, 퍼지.
제 3 기판은 다음의 멀티-사이클의 ALD 프로세스 사이클을 반복하는 것을 수반한다: 0.2 초 동안 3.5 slm 캐리어 가스 플로우의 전구체 도즈, 퍼지, 1500 W 산화 플라즈마 노출, 퍼지, 및 0.2 초 동안 9.5 slm 캐리어 가스 플로우의 전구체 도즈, 퍼지, 1500 W 산화 플라즈마 노출, 퍼지.
제 1 기판은 하나의 캐리어 가스 플로우만을 수반하고 (매니폴드 2, 타이밍도에 대해 도 8a 참조), 반면에 제 2 기판 및 제 3 기판 각각은 상이한 캐리어 가스 플로우들을 가진 2 개의 ALD 사이클들을 가진 멀티-사이클의 ALD 프로세스들을 수반한다 (매니폴드 2 단독과 매니폴드 1 및 매니폴드 2 양자 사이에서 가변함, 타이밍도에 대해 도 8a 참조).
기판들 상에 증착된 재료의 두께들은 49-PPM (point polar metrology) 을 사용하여 결정되었다. 불균일성 (NU%) 은 평균 두께로부터의 증착 레이트 (DepR) 와 같이 평가되었다. 두께에 대한 결과들은 도 9a (두께) 및 도 9b (정규화된 두께) 그리고 이하의 표 2에 도시된다.
멀티-사이클의 ALD에서의 1500 W 캐리어 가스 플로우 변동
프로세스 | RF 전력 | 캐리어 가스 플로우 | 평균 두께 (Å) | NU% (R/2) |
단일의 캐리어 가스 ALD | 1500 W | 9.5 slm | 539 | 2.1 |
멀티-사이클의 ALD | 1500 W | 6 slm, 9.5 slm | 554 | 1.86 |
멀티-사이클의 ALD | 1500 W | 3.5 slm, 9.5 slm | 584 | 1.84 |
도시된 바와 같이, 3.5 - 9.5 slm 및 6 - 9.5 slm 웨이퍼들에 대해 도시된 바와 같은 멀티-사이클의 ALD 프로세스는 보다 양호한 NU%를 나타냈다. 증착 레이트는 아마도 보다 저 캐리어 가스 플로우 및 발생한 보다 고 전구체 분압에 기인하여 보다 크다. 멀티-사이클의 프로세스들은 도 8b에 도시된 바와 같이 에지가 두꺼운 프로파일 및 에지가 얇은 프로파일 양자를 달성할 수 있다. 도 9a 및 도 9b 양자는 멀티-사이클의 ALD 프로세스들에서의 개선된 균일성을 나타낸다.
실험 3
실험은 개시된 실시예들에 따라 수행된 멀티-사이클의 ALD 프로세스들에 대한 증착 균일성을 비교하여 실시되었다. 5 개의 기판들이 평가되고 그리고 상이한 캐리어 가스 플로우들을 겪는다. 프로세스들은 200 ℃에서 수행되었다.
제 1 기판은 다음의 ALD 사이클을 반복하는 것을 수반한다: 0.2 초 동안 9.5 slm 캐리어 가스 플로우의 전구체 도즈, 퍼지, 2500 W 산화 플라즈마 노출, 및 퍼지.
제 2 기판은 다음의 ALD 사이클을 반복하는 것을 수반한다: 0.2 초 동안 6 slm 캐리어 가스 플로우의 전구체 도즈, 퍼지, 2500 W 산화 플라즈마 노출, 및 퍼지.
제 3 기판은 다음의 ALD 사이클을 반복하는 것을 수반한다: 0.2 초 동안 3.5 slm 캐리어 가스 플로우의 전구체 도즈, 퍼지, 2500 W 산화 플라즈마 노출, 및 퍼지.
제 4 기판은 다음의 멀티-사이클의 ALD 프로세스 사이클을 반복하는 것을 수반한다: 0.2 초 동안 6 slm 캐리어 가스 플로우의 전구체 도즈, 퍼지, 2500 W 산화 플라즈마 노출, 퍼지, 및 0.2 초 동안 9.5 slm 캐리어 가스 플로우의 전구체 도즈, 퍼지, 2500 W 산화 플라즈마 노출, 퍼지.
제 5 기판은 다음의 멀티-사이클의 ALD 프로세스 사이클을 반복하는 것을 수반한다: 0.2 초 동안 3.5 slm 캐리어 가스 플로우의 전구체 도즈, 퍼지, 2500 W 산화 플라즈마 노출, 퍼지, 및 0.2 초 동안 9.5 slm 캐리어 가스 플로우의 전구체 도즈, 퍼지, 2500 W 산화 플라즈마 노출, 퍼지.
실험 1과 유사하게, 제 1 기판, 제 2 기판, 및 제 3 기판은 매니폴드 2만을 사용했다. 제 4 기판 및 제 5 기판은 사이클들 사이에서 매니폴드 2를 사용하는 것과 매니폴드 1 및 매니폴드 2를 사용하는 것 사이에서 가변한다.
기판들 상에 증착된 재료의 두께들은 49-PPM (point polar metrology) 을 사용하여 결정되었다. 불균일성 (NU%) 은 평균 두께로부터의 증착 레이트 (DepR) 와 같이 평가되었다. 두께에 대한 결과들은 도 10a (두께) 및 도 10b (정규화된 두께) 그리고 이하의 표 3에 도시된다.
멀티-사이클의 ALD에서의 2500 W 캐리어 가스 플로우 변동
프로세스 | RF 전력 | 캐리어 가스 플로우 | 평균 두께 (Å) | NU% (R/2) |
단일의 캐리어 가스 ALD | 2500 W | 9.5 slm | 526 | 1.46 |
단일의 캐리어 가스 ALD | 2500 W | 6 slm | 553 | 1.4 |
단일의 캐리어 가스 ALD | 2500 W | 3.5 slm | 585 | 1.47 |
멀티-사이클의 ALD | 2500 W | 6 slm, 3.5 slm | 538 | 1.3 |
멀티-사이클의 ALD | 2500 W | 3.5 slm, 6 slm | 563 | 1.46 |
도시된 바와 같이, 멀티-사이클의 ALD 웨이퍼들에 대해 도시된 바와 같은 멀티-사이클의 ALD 프로세스는 보다 양호한 NU%를 나타냈다. 증착 레이트는 캐리어 가스 플로우와 상관되고; 보다 저 캐리어 가스 플로우는 보다 고 증착 레이트를 제공한다. 도 10a 및 도 10b 양자는 멀티-사이클의 ALD 프로세스들에서의 개선된 균일성을 나타낸다.
실험 4
실험은 개시된 실시예들에 따라 수행된 멀티-사이클의 ALD 프로세스들에 대한 증착 균일성에 관하여 실시되었다. 2 개의 기판들이 단일의 사이클 ALD 프로세스들에 노출되었다. 2 개의 기판들은 가변하는 도즈 시간들과 1000 W 플라즈마 전력을 가진 멀티-사이클의 ALD 프로세스들에 노출되었다. 프로세스들은 50 ℃에서 수행되었다.
제 1 기판은 다음의 ALD 사이클을 반복하는 것을 수반한다: 0.2 초 동안의 전구체 도즈, 퍼지, 1000 W 산화 플라즈마 노출, 및 퍼지.
제 2 기판은 다음의 ALD 사이클을 반복하는 것을 수반한다: 0.1 초 동안의 전구체 도즈, 퍼지, 1000 W 산화 플라즈마 노출, 및 퍼지.
제 3 기판은 다음의 멀티-사이클의 ALD 프로세스 사이클을 반복하는 것을 수반한다: 0.1 초 동안의 전구체 도즈, 퍼지, 1000 W 산화 플라즈마 노출, 퍼지, 0.2 초 동안의 전구체 도즈, 퍼지, 1000 W 산화 플라즈마 노출, 및 퍼지.
제 4 기판은 다음의 멀티-사이클의 ALD 프로세스 사이클을 반복하는 것을 수반한다: 0.2 초 동안의 전구체 도즈, 퍼지, 1000 W 산화 플라즈마 노출, 퍼지, 0.3 초 동안의 전구체 도즈, 퍼지, 1000 W 산화 플라즈마 노출, 및 퍼지.
도 11은 이 실험에서 사용된 멀티-사이클의 ALD 사이클에 대한 도즈 시간 타이밍의 예를 도시한다. RF ON 지속기간은 모든 사이클에서 동일하지만, 도즈 시간 지속기간은 긴 도즈 시간과 짧은 도즈 시간 사이에서 가변한다는 것을 주의하라.
기판들 상에 증착된 재료의 두께들은 49-PPM (point polar metrology) 을 사용하여 결정되었다. 불균일성 (NU%) 은 평균 두께와 같이 평가되었다. 두께에 대한 결과들은 도 12 그리고 이하의 표 4에 도시된다.
1000 W 및 50 ℃에서의 멀티-사이클의 ALD의 도즈 시간 변동
프로세스 | 도즈 시간 | 평균 두께 (Å) | NU% (R/2) |
단일의 사이클 ALD | 0.2 초 | 886 | 0.51 |
단일의 사이클 ALD | 0.1 초 | 847 | 0.74 |
멀티-사이클의 ALD | 0.1 초, 0.2 초 | 865 | 0.63 |
멀티-사이클의 ALD | 0.2 초, 0.3 초 | 894 | 0.51 |
결과들은 평균 도즈 시간의 증가에 의한 균일성의 개선을 나타내고, 예를 들어 0.1 초의 도즈 시간은 0.74 % NU를 나타내고 반면에 0.15 초의 도즈 시간 (0.1 초와 0.2 초의 평균) 은 0.63의 NU%를 나타낸다. 또한 0.2 초의 평균 도즈 시간 주위에서 NU%가 안정화된다는 것을 나타낸다. 도 12는 상이한 프로세스들의 두께 프로파일을 도시한다. 중심에 대한 에지에서의 두께는 도즈 시간이 증가함에 따라 증가한다. 이들 결과들은 멀티-사이클의 ALD가 두께 프로파일을 튜닝하도록 사용될 수 있다는 것을 암시한다.
결론
상술한 실시예들이 이해의 명료성의 목적들을 위해 일부 상세히 기술되지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수도 있다는 것이 자명할 것이다. 본 실시예들의 프로세스들, 시스템들, 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것을 주의해야 한다. 따라서, 본 실시예들은 예시적인 것이지 제한적인 것으로 고려되지 않고, 본 실시예들은 본 명세서에 제공된 상세사항들에 제한되지 않는다.
Claims (44)
- 챔버 내에 하우징된 기판을 프로세싱하는 방법에 있어서,
상기 방법은,
(a) 기판의 표면을 포화시키기에 불충분한 지속기간 동안 반응물질에 상기 기판을 노출시키는 단계로서, 상기 반응물질에 상기 기판을 노출시키는 단계는 하나 이상의 캐리어 가스들을 흘리는 단계를 더 포함하는, 상기 반응물질에 상기 기판을 노출시키는 단계;
(b) 상기 기판 상에 적어도 부분적인 막층을 증착하도록 플라즈마에 상기 기판을 노출시키는 단계; 및
(c) 교번하는 펄스들로 상기 단계 (a) 및 상기 단계 (b) 를 2 이상의 증착 사이클들로 반복하는 단계를 포함하고,
상기 단계 (a) 동안 상기 하나 이상의 캐리어 가스들 중 적어도 하나의 캐리어 가스 플로우 레이트는 상기 2 이상의 증착 사이클들 동안 증착 사이클마다 가변되는, 챔버 내에 하우징된 기판을 프로세싱하는 방법. - 제 1 항에 있어서,
상기 단계 (a) 또는 상기 단계 (b) 중 적어도 하나 동안 하나 이상의 파라미터들을 상기 2 이상의 증착 사이클들 동안 증착 사이클마다 가변하는 단계를 더 포함하는, 챔버 내에 하우징된 기판을 프로세싱하는 방법. - 제 2 항에 있어서,
상기 하나 이상의 파라미터들 중 하나는 상기 단계 (a) 의 지속기간인, 챔버 내에 하우징된 기판을 프로세싱하는 방법. - 제 2 항에 있어서,
상기 하나 이상의 파라미터들 중 하나는 상기 단계 (b) 의 지속기간인, 챔버 내에 하우징된 기판을 프로세싱하는 방법. - 제 2 항에 있어서,
상기 단계 (a) 의 지속기간은 상기 단계 (b) 의 지속기간보다 긴, 챔버 내에 하우징된 기판을 프로세싱하는 방법. - 제 1 항에 있어서,
상기 플라즈마에 상기 기판을 노출시키는 단계는 프로세스 가스를 흘리는 단계를 더 포함하고, 상기 단계 (b) 동안의 프로세스 가스 플로우 레이트는 상기 2 이상의 증착 사이클들 동안 증착 사이클마다 가변되는, 챔버 내에 하우징된 기판을 프로세싱하는 방법. - 제 2 항에 있어서,
상기 하나 이상의 파라미터들 중 하나는 상기 단계 (b) 동안의 플라즈마 전력인, 챔버 내에 하우징된 기판을 프로세싱하는 방법. - 제 2 항에 있어서,
상기 하나 이상의 파라미터들 중 하나는 상기 단계 (a) 의 상기 반응물질의 조성인, 챔버 내에 하우징된 기판을 프로세싱하는 방법. - 제 1 항에 있어서,
상기 단계 (a) 동안 흐른 상기 하나 이상의 캐리어 가스들의 조성은 상기 2 이상의 증착 사이클들 동안 증착 사이클마다 가변되는, 챔버 내에 하우징된 기판을 프로세싱하는 방법. - 제 1 항에 있어서,
상기 플라즈마에 상기 기판을 노출시키는 단계는 하나 이상의 프로세스 가스들을 흘리는 단계를 더 포함하고, 상기 단계 (b) 동안 흐른 상기 하나 이상의 프로세스 가스들의 조성은 상기 2 이상의 증착 사이클들 동안 증착 사이클마다 가변되는, 챔버 내에 하우징된 기판을 프로세싱하는 방법. - 제 1 항 내지 제 10 항 중 어느 한 항에 있어서,
상기 단계 (b) 에서 상기 플라즈마에 상기 기판을 노출시키는 단계는 제 2 반응물질에 상기 기판을 노출시키는 단계를 더 포함하는, 챔버 내에 하우징된 기판을 프로세싱하는 방법. - 제 1 항 내지 제 10 항 중 어느 한 항에 있어서,
(d) 상기 2 이상의 증착 사이클들 중 하나 이상 동안 상기 단계 (a) 또는 상기 단계 (b) 중 적어도 하나 후에 상기 챔버를 퍼지하는 단계를 더 포함하는, 챔버 내에 하우징된 기판을 프로세싱하는 방법. - 제 12 항에 있어서,
상기 챔버를 퍼지하는 단계는 퍼지 가스를 흘리는 단계를 포함하는, 챔버 내에 하우징된 기판을 프로세싱하는 방법. - 제 12 항에 있어서,
상기 단계 (d) 의 지속기간은 상기 2 이상의 증착 사이클들 동안 증착 사이클마다 가변되는, 챔버 내에 하우징된 기판을 프로세싱하는 방법. - 제 12 항에 있어서,
상기 단계 (d) 에서의 상기 퍼지 가스의 조성은 상기 2 이상의 증착 사이클들 동안 증착 사이클마다 가변되는, 챔버 내에 하우징된 기판을 프로세싱하는 방법. - 제 1 항에 있어서,
상기 단계 (b) 에서 상기 플라즈마에 상기 기판을 노출시키는 단계는 제 2 반응물질에 상기 기판을 노출시키는 단계를 더 포함하고 그리고 상기 제 2 반응물질의 조성은 상기 2 이상의 증착 사이클들 동안 증착 사이클마다 가변되는, 챔버 내에 하우징된 기판을 프로세싱하는 방법. - 제 2 항에 있어서,
상기 단계 (a) 의 상기 지속기간은 0.05 초 내지 5 초인, 챔버 내에 하우징된 기판을 프로세싱하는 방법. - 제 1 항 내지 제 10 항 중 어느 한 항에 있어서,
상기 단계 (b) 동안의 플라즈마 전력은 50 W 내지 6000 W인, 챔버 내에 하우징된 기판을 프로세싱하는 방법. - 챔버 내에 하우징된 기판을 프로세싱하는 방법에 있어서,
상기 방법은,
2 이상의 증착 사이클들을 반복함으로써 막을 증착하는 단계를 포함하고, 상기 증착 사이클은,
(a) 반응물질에 기판을 노출시키는 단계로서, 상기 반응물질에 상기 기판을 노출시키는 단계는 하나 이상의 캐리어 가스들을 흘리는 단계를 더 포함하는, 상기 반응물질에 상기 기판을 노출시키는 단계, 및
(b) 상기 막을 증착하도록 플라즈마에 상기 기판을 노출시키는 단계를 포함하고,
상기 단계 (a) 동안 상기 하나 이상의 캐리어 가스들의 캐리어 가스 플로우 레이트는 프로세스 사이클에서 사이클마다 가변되는, 챔버 내에 하우징된 기판을 프로세싱하는 방법. - 제 19 항에 있어서,
상기 단계 (a) 또는 상기 단계 (b) 중 적어도 하나 동안 하나 이상의 파라미터들을 프로세스 사이클에서 사이클마다 가변하는 단계를 더 포함하는, 챔버 내에 하우징된 기판을 프로세싱하는 방법. - 제 20 항에 있어서,
상기 하나 이상의 파라미터들 중 하나는 상기 단계 (a) 의 지속기간인, 챔버 내에 하우징된 기판을 프로세싱하는 방법. - 제 20 항에 있어서,
상기 하나 이상의 파라미터들 중 하나는 상기 단계 (b) 의 지속기간인, 챔버 내에 하우징된 기판을 프로세싱하는 방법. - 제 20 항에 있어서,
상기 단계 (a) 의 지속기간은 상기 단계 (b) 의 지속기간보다 긴, 챔버 내에 하우징된 기판을 프로세싱하는 방법. - 제 20 항에 있어서,
상기 하나 이상의 파라미터들 중 하나는 상기 단계 (b) 동안의 캐리어 가스 플로우 레이트인, 챔버 내에 하우징된 기판을 프로세싱하는 방법. - 제 20 항에 있어서,
상기 하나 이상의 파라미터들 중 하나는 상기 단계 (b) 동안의 플라즈마 전력인, 챔버 내에 하우징된 기판을 프로세싱하는 방법. - 제 20 항에 있어서,
상기 하나 이상의 파라미터들 중 하나는 상기 단계 (a) 의 상기 반응물질의 조성인, 챔버 내에 하우징된 기판을 프로세싱하는 방법. - 제 19 항에 있어서,
상기 단계 (a) 동안 흐른 상기 하나 이상의 캐리어 가스들의 조성은 프로세스 사이클에서 사이클마다 가변되는, 챔버 내에 하우징된 기판을 프로세싱하는 방법. - 제 20 항에 있어서,
상기 하나 이상의 파라미터들 중 하나는 상기 단계 (b) 동안 흐른 캐리어 가스들의 조성인, 챔버 내에 하우징된 기판을 프로세싱하는 방법. - 제 19 항에 있어서,
상기 플라즈마에 상기 기판을 노출시키는 단계는 제 2 반응물질에 상기 기판을 노출시키는 단계를 더 포함하는, 챔버 내에 하우징된 기판을 프로세싱하는 방법. - 제 29 항에 있어서,
상기 하나 이상의 파라미터들 중 하나는 상기 제 2 반응물질의 조성인, 챔버 내에 하우징된 기판을 프로세싱하는 방법. - 반도체 기판들을 프로세싱하기 위한 장치에 있어서,
상기 장치는,
(a) 기판을 홀딩하기 위한 페데스탈을 포함한 적어도 하나의 프로세스 챔버;
(b) 진공에 커플링하기 위한 적어도 하나의 유출부;
(c) 하나 이상의 전구체 소스들에 커플링된 하나 이상의 프로세스 가스 유입부들;
(d) 하나 이상의 제 2 반응물질 소스들에 커플링된 하나 이상의 프로세스 가스 유입부들; 및
(e) 상기 장치 내에서 동작들을 제어하기 위한 제어기를 포함하고,
상기 제어기는,
(i) 상기 기판의 표면을 포화시키기에 불충분한 지속기간 동안 상기 프로세스 챔버에 하나 이상의 캐리어 가스들과 함께 상기 하나 이상의 전구체 소스들 중 하나를 도입하기 위한 머신 판독가능 인스트럭션;
(ii) 상기 기판 상에 적어도 부분적인 막층을 증착하도록 플라즈마를 점화하기 위한 머신 판독가능 인스트럭션; 및
(iii) 교번하는 펄스들로 상기 인스트럭션 (i) 및 상기 인스트럭션 (ii) 를 2 이상의 증착 사이클들로 반복하고 그리고 상기 인스트럭션 (i) 동안 상기 하나 이상의 캐리어 가스들 중 적어도 하나의 캐리어 가스 플로우 레이트를 상기 2 이상의 증착 사이클들 동안 증착 사이클마다 가변하기 위한 머신 판독가능 인스트럭션을 포함하는, 반도체 기판들을 프로세싱하기 위한 장치. - 제 31 항에 있어서,
상기 머신 판독가능 인스트럭션은 상기 인스트럭션 (i) 및 상기 인스트럭션 (ii) 중 적어도 하나 동안 하나 이상의 파라미터들을 상기 2 이상의 증착 사이클들 동안 증착 사이클마다 가변하는 것을 더 포함하는, 반도체 기판들을 프로세싱하기 위한 장치. - 제 32 항에 있어서,
상기 하나 이상의 파라미터들 중 하나는 상기 인스트럭션 (i) 의 지속기간인, 반도체 기판들을 프로세싱하기 위한 장치. - 제 32 항에 있어서,
상기 하나 이상의 파라미터들 중 하나는 상기 인스트럭션 (ii) 의 지속기간인, 반도체 기판들을 프로세싱하기 위한 장치. - 제 31 항 내지 제 34 항 중 어느 한 항에 있어서,
상기 머신 판독가능 인스트럭션들은 상기 하나 이상의 전구체 소스들 중 하나를 도입한 후에 상기 적어도 하나의 프로세스 챔버를 퍼지하는 것을 더 포함하는, 반도체 기판들을 프로세싱하기 위한 장치. - 제 31 항 내지 제 34 항 중 어느 한 항에 있어서,
상기 머신 판독가능 인스트럭션들은 상기 플라즈마를 점화한 후에 상기 적어도 하나의 프로세스 챔버를 퍼지하는 것을 더 포함하는, 반도체 기판들을 프로세싱하기 위한 장치. - 제 31 항 내지 제 34 항 중 어느 한 항에 있어서,
상기 플라즈마 전력은 50 W 내지 6000 W인, 반도체 기판들을 프로세싱하기 위한 장치. - 반도체 기판들을 프로세싱하기 위한 장치에 있어서,
상기 장치는,
(a) 기판을 홀딩하기 위한 페데스탈을 포함한 적어도 하나의 프로세스 챔버;
(b) 진공에 커플링하기 위한 적어도 하나의 유출부;
(c) 하나 이상의 전구체 소스들에 커플링된 하나 이상의 프로세스 가스 유입부들;
(d) 하나 이상의 제 2 반응물질 소스들에 커플링된 하나 이상의 프로세스 가스 유입부들; 및
(e) 상기 장치 내에서 동작들을 제어하기 위한 제어기를 포함하고,
상기 제어기는,
(i) 제 1 캐리어 가스 플로우 레이트로 캐리어 가스와 함께 상기 하나 이상의 전구체 소스들 중 하나를 상기 프로세스 챔버에 도입하기 위한 머신 판독가능 인스트럭션;
(ii) 막을 증착하도록 플라즈마를 점화하기 위한 머신 판독가능 인스트럭션; 및
(iii) 상기 인스트럭션 (i) 동안 상기 캐리어 가스의 상기 제 1 캐리어 가스 플로우 레이트를 2 이상의 사이클들에서 사이클마다 가변하기 위한 머신 판독가능 인스트럭션을 포함하는, 반도체 기판들을 프로세싱하기 위한 장치. - 제 38 항에 있어서,
상기 인스트럭션 (i) 및 상기 인스트럭션 (ii) 중 하나 동안 하나 이상의 파라미터들의 값들을 2 이상의 사이클들에서 사이클마다 가변하는 것을 더 포함하는, 반도체 기판들을 프로세싱하기 위한 장치. - 제 39 항에 있어서,
상기 하나 이상의 파라미터들 중 하나는 상기 인스트럭션 (i) 의 지속기간인, 반도체 기판들을 프로세싱하기 위한 장치. - 제 39 항에 있어서,
상기 하나 이상의 파라미터들 중 하나는 상기 인스트럭션 (ii) 의 지속기간인, 반도체 기판들을 프로세싱하기 위한 장치. - 제 38 항 내지 제 41 항 중 어느 한 항에 있어서,
상기 머신 판독가능 인스트럭션들은 상기 하나 이상의 전구체 소스들 중 하나를 도입한 후에 상기 적어도 하나의 프로세스 챔버를 퍼지하는 것을 더 포함하는, 반도체 기판들을 프로세싱하기 위한 장치. - 제 38 항 내지 제 41 항 중 어느 한 항에 있어서,
상기 머신 판독가능 인스트럭션들은 상기 플라즈마를 점화한 후에 상기 적어도 하나의 프로세스 챔버를 퍼지하는 것을 더 포함하는, 반도체 기판들을 프로세싱하기 위한 장치. - 제 38 항 내지 제 41 항 중 어느 한 항에 있어서,
상기 플라즈마 전력은 50 W 내지 6000 W인, 반도체 기판들을 프로세싱하기 위한 장치.
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201562190618P | 2015-07-09 | 2015-07-09 | |
US62/190,618 | 2015-07-09 | ||
US14/814,372 US10526701B2 (en) | 2015-07-09 | 2015-07-30 | Multi-cycle ALD process for film uniformity and thickness profile modulation |
US14/814,372 | 2015-07-30 |
Publications (2)
Publication Number | Publication Date |
---|---|
KR20170007177A KR20170007177A (ko) | 2017-01-18 |
KR102604354B1 true KR102604354B1 (ko) | 2023-11-21 |
Family
ID=57730921
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020160086681A KR102604354B1 (ko) | 2015-07-09 | 2016-07-08 | 막 균일성 및 두께 프로파일 조절을 위한 멀티-사이클 ald 프로세스 |
Country Status (2)
Country | Link |
---|---|
US (2) | US10526701B2 (ko) |
KR (1) | KR102604354B1 (ko) |
Families Citing this family (19)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9997357B2 (en) | 2010-04-15 | 2018-06-12 | Lam Research Corporation | Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors |
US9257274B2 (en) | 2010-04-15 | 2016-02-09 | Lam Research Corporation | Gapfill of variable aspect ratio features with a composite PEALD and PECVD method |
JP6538300B2 (ja) | 2012-11-08 | 2019-07-03 | ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated | 感受性基材上にフィルムを蒸着するための方法 |
US9564312B2 (en) | 2014-11-24 | 2017-02-07 | Lam Research Corporation | Selective inhibition in atomic layer deposition of silicon-containing films |
US10566187B2 (en) | 2015-03-20 | 2020-02-18 | Lam Research Corporation | Ultrathin atomic layer deposition film accuracy thickness control |
US9773643B1 (en) | 2016-06-30 | 2017-09-26 | Lam Research Corporation | Apparatus and method for deposition and etch in gap fill |
US10062563B2 (en) * | 2016-07-01 | 2018-08-28 | Lam Research Corporation | Selective atomic layer deposition with post-dose treatment |
US10269559B2 (en) | 2017-09-13 | 2019-04-23 | Lam Research Corporation | Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer |
US10872762B2 (en) * | 2017-11-08 | 2020-12-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods of forming silicon oxide layer and semiconductor structure |
WO2020006313A1 (en) * | 2018-06-29 | 2020-01-02 | Lam Research Corporation | Oxidative conversion in atomic layer deposition processes |
US10727046B2 (en) * | 2018-07-06 | 2020-07-28 | Lam Research Corporation | Surface modified depth controlled deposition for plasma based deposition |
CN113169040A (zh) * | 2018-11-30 | 2021-07-23 | 朗姆研究公司 | 用于原子层沉积或化学气相沉积的方法及设备 |
JP7281285B2 (ja) * | 2019-01-28 | 2023-05-25 | 株式会社堀場エステック | 濃度制御装置、及び、ゼロ点調整方法、濃度制御装置用プログラム |
JP7209568B2 (ja) * | 2019-03-27 | 2023-01-20 | 東京エレクトロン株式会社 | 基板処理方法及び基板処理装置 |
CN114127890A (zh) | 2019-05-01 | 2022-03-01 | 朗姆研究公司 | 调整的原子层沉积 |
US11492364B2 (en) | 2020-03-31 | 2022-11-08 | Entegris, Inc. | Silicon hydrazido precursor compounds |
US11965239B2 (en) | 2020-06-17 | 2024-04-23 | Entegris, Inc. | Method for nucleation of conductive nitride films |
US20220178026A1 (en) * | 2020-12-03 | 2022-06-09 | Applied Materials, Inc. | Carbon cvd deposition methods to mitigate stress induced defects |
US11487304B2 (en) | 2021-01-08 | 2022-11-01 | Applied Materials, Inc. | Process fluid path switching in recipe operations |
Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
EP1703552A2 (en) | 2005-03-15 | 2006-09-20 | ASM America, Inc. | Method of forming non-conformal layers |
US20140113457A1 (en) | 2010-04-15 | 2014-04-24 | Lam Research Corporation | Plasma enhanced atomic layer deposition with pulsed plasma exposure |
Family Cites Families (250)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4500563A (en) | 1982-12-15 | 1985-02-19 | Pacific Western Systems, Inc. | Independently variably controlled pulsed R.F. plasma chemical vapor processing |
CA1327338C (en) | 1987-02-02 | 1994-03-01 | Chorng-Ping Chang | Process for producing devices containing silicon nitride films |
TW201848B (ko) | 1991-11-08 | 1993-03-11 | Advanced Micro Devices Inc | |
US5223443A (en) | 1992-02-19 | 1993-06-29 | Integrated Device Technology, Inc. | Method for determining wafer cleanliness |
US5932286A (en) | 1993-03-16 | 1999-08-03 | Applied Materials, Inc. | Deposition of silicon nitride thin films |
US5496608A (en) | 1993-09-22 | 1996-03-05 | Brother Kogyo Kabushiki Kaisha | Optical recording medium |
US5593914A (en) | 1996-03-19 | 1997-01-14 | Radiant Technologies, Inc. | Method for constructing ferroelectric capacitor-like structures on silicon dioxide surfaces |
US6342277B1 (en) | 1996-08-16 | 2002-01-29 | Licensee For Microelectronics: Asm America, Inc. | Sequential chemical vapor deposition |
US6156149A (en) | 1997-05-07 | 2000-12-05 | Applied Materials, Inc. | In situ deposition of a dielectric oxide layer and anti-reflective coating |
US5670432A (en) | 1996-08-01 | 1997-09-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Thermal treatment to form a void free aluminum metal layer for a semiconductor device |
US6809421B1 (en) | 1996-12-02 | 2004-10-26 | Kabushiki Kaisha Toshiba | Multichip semiconductor device, chip therefor and method of formation thereof |
US7393561B2 (en) | 1997-08-11 | 2008-07-01 | Applied Materials, Inc. | Method and apparatus for layer by layer deposition of thin films |
US5874368A (en) | 1997-10-02 | 1999-02-23 | Air Products And Chemicals, Inc. | Silicon nitride from bis(tertiarybutylamino)silane |
US6861356B2 (en) | 1997-11-05 | 2005-03-01 | Tokyo Electron Limited | Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film |
US5856003A (en) | 1997-11-17 | 1999-01-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device |
US6346741B1 (en) | 1997-11-20 | 2002-02-12 | Advanced Technology Materials, Inc. | Compositions and structures for chemical mechanical polishing of FeRAM capacitors and method of fabricating FeRAM capacitors using same |
US6509601B1 (en) | 1998-07-31 | 2003-01-21 | Samsung Electronics Co., Ltd. | Semiconductor memory device having capacitor protection layer and method for manufacturing the same |
KR100275738B1 (ko) | 1998-08-07 | 2000-12-15 | 윤종용 | 원자층 증착법을 이용한 박막 제조방법 |
KR20010042649A (ko) | 1999-02-12 | 2001-05-25 | 베리 아이클스 | 텅스텐 질화물의 화학기상증착 |
KR100273473B1 (ko) | 1999-04-06 | 2000-11-15 | 이경수 | 박막 형성 방법 |
US6576053B1 (en) | 1999-10-06 | 2003-06-10 | Samsung Electronics Co., Ltd. | Method of forming thin film using atomic layer deposition method |
US20030008070A1 (en) | 2001-06-12 | 2003-01-09 | Applied Materials,Inc | Low-resistivity tungsten from high-pressure chemical vapor deposition using metal-organic precursor |
KR100721503B1 (ko) | 2000-06-08 | 2007-05-23 | 에이에스엠지니텍코리아 주식회사 | 박막 형성 방법 |
US7141278B2 (en) | 2000-06-08 | 2006-11-28 | Asm Genitech Korea Ltd. | Thin film forming method |
US6689220B1 (en) | 2000-11-22 | 2004-02-10 | Simplus Systems Corporation | Plasma enhanced pulsed layer deposition |
US6428859B1 (en) | 2000-12-06 | 2002-08-06 | Angstron Systems, Inc. | Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD) |
US6416822B1 (en) | 2000-12-06 | 2002-07-09 | Angstrom Systems, Inc. | Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD) |
KR100385947B1 (ko) | 2000-12-06 | 2003-06-02 | 삼성전자주식회사 | 원자층 증착 방법에 의한 박막 형성 방법 |
US20020076507A1 (en) | 2000-12-15 | 2002-06-20 | Chiang Tony P. | Process sequence for atomic layer deposition |
US6951804B2 (en) | 2001-02-02 | 2005-10-04 | Applied Materials, Inc. | Formation of a tantalum-nitride layer |
KR100408733B1 (ko) | 2001-02-02 | 2003-12-11 | 주성엔지니어링(주) | 박막 증착 방법 |
AU2002306436A1 (en) | 2001-02-12 | 2002-10-15 | Asm America, Inc. | Improved process for deposition of semiconductor films |
US6632478B2 (en) | 2001-02-22 | 2003-10-14 | Applied Materials, Inc. | Process for forming a low dielectric constant carbon-containing film |
US6528430B2 (en) | 2001-05-01 | 2003-03-04 | Samsung Electronics Co., Ltd. | Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3 |
US6828218B2 (en) | 2001-05-31 | 2004-12-07 | Samsung Electronics Co., Ltd. | Method of forming a thin film using atomic layer deposition |
US6391803B1 (en) | 2001-06-20 | 2002-05-21 | Samsung Electronics Co., Ltd. | Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane |
JP2003045864A (ja) | 2001-08-02 | 2003-02-14 | Hitachi Kokusai Electric Inc | 基板処理装置 |
EP1421606A4 (en) | 2001-08-06 | 2008-03-05 | Genitech Co Ltd | PLASMA ACTIVE ATOMIC LAYER (PEALD) DEPOSITION APPARATUS AND METHOD OF FORMING THIN FILM USING SAID APPARATUS |
US6756318B2 (en) | 2001-09-10 | 2004-06-29 | Tegal Corporation | Nanolayer thick film processing system and method |
US6551893B1 (en) | 2001-11-27 | 2003-04-22 | Micron Technology, Inc. | Atomic layer deposition of capacitor dielectric |
US7081271B2 (en) | 2001-12-07 | 2006-07-25 | Applied Materials, Inc. | Cyclical deposition of refractory metal silicon nitride |
DE10208450B4 (de) | 2002-02-27 | 2004-09-16 | Infineon Technologies Ag | Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen |
US6962876B2 (en) | 2002-03-05 | 2005-11-08 | Samsung Electronics Co., Ltd. | Method for forming a low-k dielectric layer for a semiconductor device |
AU2003220088A1 (en) | 2002-03-08 | 2003-09-22 | Sundew Technologies, Llc | Ald method and apparatus |
US6987240B2 (en) | 2002-04-18 | 2006-01-17 | Applied Materials, Inc. | Thermal flux processing by scanning |
KR100468729B1 (ko) | 2002-04-25 | 2005-01-29 | 삼성전자주식회사 | Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법 |
US7374617B2 (en) | 2002-04-25 | 2008-05-20 | Micron Technology, Inc. | Atomic layer deposition methods and chemical vapor deposition methods |
US7041335B2 (en) | 2002-06-04 | 2006-05-09 | Applied Materials, Inc. | Titanium tantalum nitride silicide layer |
KR100472777B1 (ko) | 2002-06-26 | 2005-03-10 | 동부전자 주식회사 | 박막 적층 방법 |
WO2004009861A2 (en) | 2002-07-19 | 2004-01-29 | Asm America, Inc. | Method to form ultra high quality silicon-containing compound layers |
US7294582B2 (en) | 2002-07-19 | 2007-11-13 | Asm International, N.V. | Low temperature silicon compound deposition |
KR100542736B1 (ko) | 2002-08-17 | 2006-01-11 | 삼성전자주식회사 | 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법 |
US6967159B2 (en) | 2002-08-28 | 2005-11-22 | Micron Technology, Inc. | Systems and methods for forming refractory metal nitride layers using organic amines |
US6784049B2 (en) | 2002-08-28 | 2004-08-31 | Micron Technology, Inc. | Method for forming refractory metal oxide layers with tetramethyldisiloxane |
US6794284B2 (en) | 2002-08-28 | 2004-09-21 | Micron Technology, Inc. | Systems and methods for forming refractory metal nitride layers using disilazanes |
US6774040B2 (en) | 2002-09-12 | 2004-08-10 | Applied Materials, Inc. | Apparatus and method for surface finishing a silicon film |
WO2004032196A2 (en) | 2002-10-03 | 2004-04-15 | Pan Jit Americas, Inc. | Method of fabricating semiconductor by nitrogen doping of silicon film |
KR100496265B1 (ko) | 2002-11-29 | 2005-06-17 | 한국전자통신연구원 | 반도체 소자의 박막 형성방법 |
US7172792B2 (en) | 2002-12-20 | 2007-02-06 | Applied Materials, Inc. | Method for forming a high quality low temperature silicon nitride film |
US6890656B2 (en) | 2002-12-20 | 2005-05-10 | General Electric Company | High rate deposition of titanium dioxide |
KR100546852B1 (ko) | 2002-12-28 | 2006-01-25 | 동부아남반도체 주식회사 | 반도체 소자의 제조 방법 |
US7122222B2 (en) | 2003-01-23 | 2006-10-17 | Air Products And Chemicals, Inc. | Precursors for depositing silicon containing films and processes thereof |
US7713592B2 (en) | 2003-02-04 | 2010-05-11 | Tegal Corporation | Nanolayer deposition process |
US6930058B2 (en) | 2003-04-21 | 2005-08-16 | Micron Technology, Inc. | Method of depositing a silicon dioxide comprising layer doped with at least one of P, B and Ge |
CN102191483B (zh) | 2003-04-23 | 2012-10-03 | 艾克斯特朗公司 | 瞬时增强原子层沉积 |
US7115528B2 (en) | 2003-04-29 | 2006-10-03 | Micron Technology, Inc. | Systems and method for forming silicon oxide layers |
JP4329403B2 (ja) | 2003-05-19 | 2009-09-09 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US6930060B2 (en) | 2003-06-18 | 2005-08-16 | International Business Machines Corporation | Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric |
US7125815B2 (en) | 2003-07-07 | 2006-10-24 | Micron Technology, Inc. | Methods of forming a phosphorous doped silicon dioxide comprising layer |
US7399388B2 (en) | 2003-07-25 | 2008-07-15 | Applied Materials, Inc. | Sequential gas flow oxide deposition technique |
US6943097B2 (en) | 2003-08-19 | 2005-09-13 | International Business Machines Corporation | Atomic layer deposition of metallic contacts, gates and diffusion barriers |
KR100568859B1 (ko) | 2003-08-21 | 2006-04-10 | 삼성전자주식회사 | 디램 반도체 장치의 트랜지스터 제조방법 |
US7261919B2 (en) | 2003-11-18 | 2007-08-28 | Flx Micro, Inc. | Silicon carbide and other films and method of deposition |
US20050109276A1 (en) | 2003-11-25 | 2005-05-26 | Applied Materials, Inc. | Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber |
US7291271B2 (en) | 2003-12-09 | 2007-11-06 | Separation Design Group, Llc | Meso-frequency traveling wave electro-kinetic continuous adsorption system |
KR100560654B1 (ko) | 2004-01-08 | 2006-03-16 | 삼성전자주식회사 | 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법 |
US20050181535A1 (en) | 2004-02-17 | 2005-08-18 | Yun Sun J. | Method of fabricating passivation layer for organic devices |
JP4279176B2 (ja) | 2004-03-02 | 2009-06-17 | 株式会社アルバック | シリコン窒化膜の形成方法 |
US7259050B2 (en) | 2004-04-29 | 2007-08-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method of making the same |
US7001844B2 (en) | 2004-04-30 | 2006-02-21 | International Business Machines Corporation | Material for contact etch layer to enhance device performance |
US7651729B2 (en) | 2004-05-14 | 2010-01-26 | Samsung Electronics Co., Ltd. | Method of fabricating metal silicate layer using atomic layer deposition technique |
US8119210B2 (en) | 2004-05-21 | 2012-02-21 | Applied Materials, Inc. | Formation of a silicon oxynitride layer on a high-k dielectric material |
KR100591157B1 (ko) | 2004-06-07 | 2006-06-19 | 동부일렉트로닉스 주식회사 | 반도체 소자의 제조방법 |
US7449345B2 (en) | 2004-06-15 | 2008-11-11 | Headway Technologies, Inc. | Capping structure for enhancing dR/R of the MTJ device |
JP4396547B2 (ja) | 2004-06-28 | 2010-01-13 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
US20050287747A1 (en) | 2004-06-29 | 2005-12-29 | International Business Machines Corporation | Doped nitride film, doped oxide film and other doped films |
JP4595702B2 (ja) | 2004-07-15 | 2010-12-08 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
US7241686B2 (en) | 2004-07-20 | 2007-07-10 | Applied Materials, Inc. | Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA |
JP4179311B2 (ja) | 2004-07-28 | 2008-11-12 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
US7629270B2 (en) | 2004-08-27 | 2009-12-08 | Asm America, Inc. | Remote plasma activated nitridation |
US20060084283A1 (en) | 2004-10-20 | 2006-04-20 | Paranjpe Ajit P | Low temperature sin deposition methods |
US7148155B1 (en) | 2004-10-26 | 2006-12-12 | Novellus Systems, Inc. | Sequential deposition/anneal film densification method |
US20060093756A1 (en) * | 2004-11-03 | 2006-05-04 | Nagarajan Rajagopalan | High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films |
KR100648252B1 (ko) | 2004-11-22 | 2006-11-24 | 삼성전자주식회사 | 텅스텐막 형성 방법 및 이를 이용하는 반도체 소자의 형성방법 |
US8193096B2 (en) | 2004-12-13 | 2012-06-05 | Novellus Systems, Inc. | High dose implantation strip (HDIS) in H2 base chemistry |
US7482247B1 (en) | 2004-12-30 | 2009-01-27 | Novellus Systems, Inc. | Conformal nanolaminate dielectric deposition and etch bag gap fill process |
US7205187B2 (en) | 2005-01-18 | 2007-04-17 | Tokyo Electron Limited | Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor |
US20060162661A1 (en) | 2005-01-22 | 2006-07-27 | Applied Materials, Inc. | Mixing energized and non-energized gases for silicon nitride deposition |
US7838072B2 (en) | 2005-01-26 | 2010-11-23 | Tokyo Electron Limited | Method and apparatus for monolayer deposition (MLD) |
KR100622609B1 (ko) | 2005-02-16 | 2006-09-19 | 주식회사 하이닉스반도체 | 박막 형성 방법 |
US7629267B2 (en) | 2005-03-07 | 2009-12-08 | Asm International N.V. | High stress nitride film and method for formation thereof |
JP4258518B2 (ja) | 2005-03-09 | 2009-04-30 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
US7109129B1 (en) | 2005-03-09 | 2006-09-19 | Novellus Systems, Inc. | Optimal operation of conformal silica deposition reactors |
KR100640638B1 (ko) | 2005-03-10 | 2006-10-31 | 삼성전자주식회사 | 원자층 증착법에 의한 고유전막 형성 방법 및 고유전막을 갖는 반도체소자의 제조 방법 |
JP4506677B2 (ja) | 2005-03-11 | 2010-07-21 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
JP2006261434A (ja) | 2005-03-17 | 2006-09-28 | L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude | シリコン酸化膜の形成方法 |
US7314835B2 (en) | 2005-03-21 | 2008-01-01 | Tokyo Electron Limited | Plasma enhanced atomic layer deposition system and method |
US7435454B2 (en) | 2005-03-21 | 2008-10-14 | Tokyo Electron Limited | Plasma enhanced atomic layer deposition system and method |
US7341959B2 (en) | 2005-03-21 | 2008-03-11 | Tokyo Electron Limited | Plasma enhanced atomic layer deposition system and method |
US7422636B2 (en) | 2005-03-25 | 2008-09-09 | Tokyo Electron Limited | Plasma enhanced atomic layer deposition system having reduced contamination |
US7365027B2 (en) | 2005-03-29 | 2008-04-29 | Micron Technology, Inc. | ALD of amorphous lanthanide doped TiOx films |
US7687409B2 (en) | 2005-03-29 | 2010-03-30 | Micron Technology, Inc. | Atomic layer deposited titanium silicon oxide films |
US7361538B2 (en) | 2005-04-14 | 2008-04-22 | Infineon Technologies Ag | Transistors and methods of manufacture thereof |
US7875556B2 (en) | 2005-05-16 | 2011-01-25 | Air Products And Chemicals, Inc. | Precursors for CVD silicon carbo-nitride and silicon nitride films |
US7176084B2 (en) | 2005-06-09 | 2007-02-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Self-aligned conductive spacer process for sidewall control gate of high-speed random access memory |
US7473655B2 (en) | 2005-06-17 | 2009-01-06 | Applied Materials, Inc. | Method for silicon based dielectric chemical vapor deposition |
US7651955B2 (en) | 2005-06-21 | 2010-01-26 | Applied Materials, Inc. | Method for forming silicon-containing materials during a photoexcitation deposition process |
US20060286774A1 (en) | 2005-06-21 | 2006-12-21 | Applied Materials. Inc. | Method for forming silicon-containing materials during a photoexcitation deposition process |
JP2007019145A (ja) | 2005-07-06 | 2007-01-25 | Tokyo Electron Ltd | シリコン酸窒化膜の形成方法、シリコン酸窒化膜の形成装置及びプログラム |
JP2007043147A (ja) | 2005-07-29 | 2007-02-15 | Samsung Electronics Co Ltd | 原子層蒸着工程を用いたシリコンリッチナノクリスタル構造物の形成方法及びこれを用いた不揮発性半導体装置の製造方法 |
JP4305427B2 (ja) | 2005-08-02 | 2009-07-29 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
US7132353B1 (en) | 2005-08-02 | 2006-11-07 | Applied Materials, Inc. | Boron diffusion barrier by nitrogen incorporation in spacer dielectrics |
US7338901B2 (en) * | 2005-08-19 | 2008-03-04 | Tokyo Electron Limited | Method of preparing a film layer-by-layer using plasma enhanced atomic layer deposition |
KR100652427B1 (ko) | 2005-08-22 | 2006-12-01 | 삼성전자주식회사 | Ald에 의한 도전성 폴리실리콘 박막 형성 방법 및 이를이용한 반도체 소자의 제조 방법 |
US20070065576A1 (en) | 2005-09-09 | 2007-03-22 | Vikram Singh | Technique for atomic layer deposition |
KR100891779B1 (ko) | 2005-11-28 | 2009-04-07 | 허니웰 인터내셔날 인코포레이티드 | 증착 공정용의 유기금속 전구체 및 관련된 중간체, 이들의제조 방법, 및 이들의 사용 방법 |
US7615438B2 (en) | 2005-12-08 | 2009-11-10 | Micron Technology, Inc. | Lanthanide yttrium aluminum oxide dielectric films |
US7592251B2 (en) | 2005-12-08 | 2009-09-22 | Micron Technology, Inc. | Hafnium tantalum titanium oxide films |
JP4434149B2 (ja) | 2006-01-16 | 2010-03-17 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
US20070218701A1 (en) | 2006-03-15 | 2007-09-20 | Asm Japan K.K. | Semiconductor-processing apparatus with rotating susceptor |
US20070215036A1 (en) | 2006-03-15 | 2007-09-20 | Hyung-Sang Park | Method and apparatus of time and space co-divided atomic layer deposition |
US7959985B2 (en) | 2006-03-20 | 2011-06-14 | Tokyo Electron Limited | Method of integrating PEALD Ta-containing films into Cu metallization |
US7601651B2 (en) | 2006-03-31 | 2009-10-13 | Applied Materials, Inc. | Method to improve the step coverage and pattern loading for dielectric films |
US7645484B2 (en) | 2006-03-31 | 2010-01-12 | Tokyo Electron Limited | Method of forming a metal carbide or metal carbonitride film having improved adhesion |
TW201415551A (zh) | 2006-03-31 | 2014-04-16 | Applied Materials Inc | 用以改良介電薄膜之階梯覆蓋與圖案負載的方法 |
JP4929811B2 (ja) | 2006-04-05 | 2012-05-09 | 東京エレクトロン株式会社 | プラズマ処理装置 |
FR2900276B1 (fr) | 2006-04-25 | 2008-09-12 | St Microelectronics Sa | Depot peald d'un materiau a base de silicium |
KR100756809B1 (ko) | 2006-04-28 | 2007-09-07 | 주식회사 하이닉스반도체 | 반도체 소자 및 그 제조 방법 |
US7798096B2 (en) | 2006-05-05 | 2010-09-21 | Applied Materials, Inc. | Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool |
US7498273B2 (en) | 2006-05-30 | 2009-03-03 | Applied Materials, Inc. | Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes |
KR100791334B1 (ko) | 2006-07-26 | 2008-01-07 | 삼성전자주식회사 | 원자층 증착법을 이용한 금속 산화막 형성 방법 |
US7435684B1 (en) | 2006-07-26 | 2008-10-14 | Novellus Systems, Inc. | Resolving of fluorine loading effect in the vacuum chamber |
US7601648B2 (en) | 2006-07-31 | 2009-10-13 | Applied Materials, Inc. | Method for fabricating an integrated gate dielectric layer for field effect transistors |
US7592231B2 (en) | 2006-08-01 | 2009-09-22 | United Microelectronics Corp. | MOS transistor and fabrication thereof |
US7749879B2 (en) | 2006-08-03 | 2010-07-06 | Micron Technology, Inc. | ALD of silicon films on germanium |
JP4929932B2 (ja) | 2006-09-01 | 2012-05-09 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
KR101057877B1 (ko) | 2006-09-19 | 2011-08-19 | 도쿄엘렉트론가부시키가이샤 | 플라즈마 세정 방법 및 플라즈마 cvd 방법 |
TWI462179B (zh) | 2006-09-28 | 2014-11-21 | Tokyo Electron Ltd | 用以形成氧化矽膜之成膜方法與裝置 |
US20080087890A1 (en) | 2006-10-16 | 2008-04-17 | Micron Technology, Inc. | Methods to form dielectric structures in semiconductor devices and resulting devices |
US20080139003A1 (en) | 2006-10-26 | 2008-06-12 | Shahid Pirzada | Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process |
KR100816759B1 (ko) | 2006-11-09 | 2008-03-25 | 삼성전자주식회사 | 가변저항 스토리지를 갖는 비휘발성 기억 장치 및 동작방법 |
CN101657564A (zh) | 2007-02-12 | 2010-02-24 | 莲花应用技术有限责任公司 | 用原子层沉积制备复合材料 |
US20080213479A1 (en) | 2007-02-16 | 2008-09-04 | Tokyo Electron Limited | SiCN film formation method and apparatus |
US7651961B2 (en) | 2007-03-30 | 2010-01-26 | Tokyo Electron Limited | Method for forming strained silicon nitride films and a device containing such films |
US7776733B2 (en) | 2007-05-02 | 2010-08-17 | Tokyo Electron Limited | Method for depositing titanium nitride films for semiconductor manufacturing |
KR101457656B1 (ko) | 2007-05-17 | 2014-11-04 | 가부시키가이샤 한도오따이 에네루기 켄큐쇼 | 반도체장치의 제조방법, 표시장치의 제조방법, 반도체장치,표시장치 및 전자기기 |
JP5151260B2 (ja) | 2007-06-11 | 2013-02-27 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
US8017182B2 (en) | 2007-06-21 | 2011-09-13 | Asm International N.V. | Method for depositing thin films by mixed pulsed CVD and ALD |
US7638170B2 (en) | 2007-06-21 | 2009-12-29 | Asm International N.V. | Low resistivity metal carbonitride thin film deposition by atomic layer deposition |
EP2011898B1 (en) | 2007-07-03 | 2021-04-07 | Beneq Oy | Method in depositing metal oxide materials |
US7572052B2 (en) | 2007-07-10 | 2009-08-11 | Applied Materials, Inc. | Method for monitoring and calibrating temperature in semiconductor processing chambers |
US20090041952A1 (en) | 2007-08-10 | 2009-02-12 | Asm Genitech Korea Ltd. | Method of depositing silicon oxide films |
US7633125B2 (en) | 2007-08-31 | 2009-12-15 | Intel Corporation | Integration of silicon boron nitride in high voltage and small pitch semiconductors |
US20090065896A1 (en) | 2007-09-07 | 2009-03-12 | Seoul National University Industry Foundation | CAPACITOR HAVING Ru ELECTRODE AND TiO2 DIELECTRIC LAYER FOR SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME |
WO2009039251A1 (en) | 2007-09-18 | 2009-03-26 | L'air Liquide - Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude | Method of forming silicon-containing films |
US8119424B2 (en) | 2007-09-28 | 2012-02-21 | Everspin Technologies, Inc. | Electronic device including a magneto-resistive memory device and a process for forming the electronic device |
US7867923B2 (en) | 2007-10-22 | 2011-01-11 | Applied Materials, Inc. | High quality silicon oxide films by remote plasma CVD from disilane precursors |
US7651959B2 (en) | 2007-12-03 | 2010-01-26 | Asm Japan K.K. | Method for forming silazane-based dielectric film |
KR20090057665A (ko) | 2007-12-03 | 2009-06-08 | 주식회사 아이피에스 | 금속을 함유하는 박막 형성방법 |
US20090155606A1 (en) | 2007-12-13 | 2009-06-18 | Asm Genitech Korea Ltd. | Methods of depositing a silicon nitride film |
US7964515B2 (en) | 2007-12-21 | 2011-06-21 | Tokyo Electron Limited | Method of forming high-dielectric constant films for semiconductor devices |
JP4935684B2 (ja) | 2008-01-12 | 2012-05-23 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
JP4935687B2 (ja) | 2008-01-19 | 2012-05-23 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
JP5297048B2 (ja) | 2008-01-28 | 2013-09-25 | 三菱重工業株式会社 | プラズマ処理方法及びプラズマ処理装置 |
JP4959733B2 (ja) | 2008-02-01 | 2012-06-27 | 東京エレクトロン株式会社 | 薄膜形成方法、薄膜形成装置及びプログラム |
US20090203197A1 (en) | 2008-02-08 | 2009-08-13 | Hiroji Hanawa | Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition |
US8153348B2 (en) | 2008-02-20 | 2012-04-10 | Applied Materials, Inc. | Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch |
JP5405031B2 (ja) | 2008-03-06 | 2014-02-05 | AzエレクトロニックマテリアルズIp株式会社 | シリカ質膜の製造に用いる浸漬用溶液およびそれを用いたシリカ質膜の製造法 |
JP2009260151A (ja) | 2008-04-18 | 2009-11-05 | Tokyo Electron Ltd | 金属ドープ層の形成方法、成膜装置及び記憶媒体 |
US8383525B2 (en) | 2008-04-25 | 2013-02-26 | Asm America, Inc. | Plasma-enhanced deposition process for forming a metal oxide thin film and related structures |
KR101436564B1 (ko) | 2008-05-07 | 2014-09-02 | 한국에이에스엠지니텍 주식회사 | 비정질 실리콘 박막 형성 방법 |
US8133797B2 (en) | 2008-05-16 | 2012-03-13 | Novellus Systems, Inc. | Protective layer to enable damage free gap fill |
US7622369B1 (en) | 2008-05-30 | 2009-11-24 | Asm Japan K.K. | Device isolation technology on semiconductor substrate |
JP5190307B2 (ja) | 2008-06-29 | 2013-04-24 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
US8373254B2 (en) | 2008-07-29 | 2013-02-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Structure for reducing integrated circuit corner peeling |
ES2335638B1 (es) | 2008-08-01 | 2011-02-09 | Cosentino, S.A. | Articulo en forma de tabla o losa fabricado de aglomerado petreo recubierto con laminas delgadas transparentes de tio2 o zno mediante tecnicas de deposicion en via seca con alta resistencia frente a la degradacion solar. |
JP5233562B2 (ja) | 2008-10-04 | 2013-07-10 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
US8591661B2 (en) | 2009-12-11 | 2013-11-26 | Novellus Systems, Inc. | Low damage photoresist strip method for low-K dielectrics |
US7910491B2 (en) | 2008-10-16 | 2011-03-22 | Applied Materials, Inc. | Gapfill improvement with low etch rate dielectric liners |
US8252653B2 (en) | 2008-10-21 | 2012-08-28 | Applied Materials, Inc. | Method of forming a non-volatile memory having a silicon nitride charge trap layer |
WO2010062582A2 (en) | 2008-10-27 | 2010-06-03 | Applied Materials, Inc. | Vapor deposition method for ternary compounds |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US20100136313A1 (en) | 2008-12-01 | 2010-06-03 | Asm Japan K.K. | Process for forming high resistivity thin metallic film |
US7972980B2 (en) | 2009-01-21 | 2011-07-05 | Asm Japan K.K. | Method of forming conformal dielectric film having Si-N bonds by PECVD |
US7919416B2 (en) | 2009-01-21 | 2011-04-05 | Asm Japan K.K. | Method of forming conformal dielectric film having Si-N bonds by PECVD |
JP5408483B2 (ja) | 2009-07-03 | 2014-02-05 | ルネサスエレクトロニクス株式会社 | 半導体装置の製造方法 |
JP2011023718A (ja) | 2009-07-15 | 2011-02-03 | Asm Japan Kk | PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法 |
US7989365B2 (en) | 2009-08-18 | 2011-08-02 | Applied Materials, Inc. | Remote plasma source seasoning |
US8072800B2 (en) | 2009-09-15 | 2011-12-06 | Grandis Inc. | Magnetic element having perpendicular anisotropy with enhanced efficiency |
US8278224B1 (en) | 2009-09-24 | 2012-10-02 | Novellus Systems, Inc. | Flowable oxide deposition using rapid delivery of process gases |
US8173554B2 (en) | 2009-10-14 | 2012-05-08 | Asm Japan K.K. | Method of depositing dielectric film having Si-N bonds by modified peald method |
WO2011058947A1 (ja) | 2009-11-11 | 2011-05-19 | 日本電気株式会社 | 抵抗変化素子、半導体装置、および抵抗変化素子の形成方法 |
WO2011072143A2 (en) | 2009-12-09 | 2011-06-16 | Novellus Systems, Inc. | Novel gap fill integration |
US20110143548A1 (en) | 2009-12-11 | 2011-06-16 | David Cheung | Ultra low silicon loss high dose implant strip |
US20110151142A1 (en) | 2009-12-22 | 2011-06-23 | Applied Materials, Inc. | Pecvd multi-step processing with continuous plasma |
US8501629B2 (en) | 2009-12-23 | 2013-08-06 | Applied Materials, Inc. | Smooth SiConi etch for silicon-containing films |
US20110159202A1 (en) | 2009-12-29 | 2011-06-30 | Asm Japan K.K. | Method for Sealing Pores at Surface of Dielectric Layer by UV Light-Assisted CVD |
US8703625B2 (en) | 2010-02-04 | 2014-04-22 | Air Products And Chemicals, Inc. | Methods to prepare silicon-containing films |
JP5514129B2 (ja) | 2010-02-15 | 2014-06-04 | 東京エレクトロン株式会社 | 成膜方法、成膜装置、および成膜装置の使用方法 |
JP5742185B2 (ja) | 2010-03-19 | 2015-07-01 | 東京エレクトロン株式会社 | 成膜装置、成膜方法、回転数の最適化方法及び記憶媒体 |
US8993460B2 (en) | 2013-01-10 | 2015-03-31 | Novellus Systems, Inc. | Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants |
US9257274B2 (en) | 2010-04-15 | 2016-02-09 | Lam Research Corporation | Gapfill of variable aspect ratio features with a composite PEALD and PECVD method |
US20110256734A1 (en) | 2010-04-15 | 2011-10-20 | Hausmann Dennis M | Silicon nitride films and methods |
US8637411B2 (en) | 2010-04-15 | 2014-01-28 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US9611544B2 (en) | 2010-04-15 | 2017-04-04 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US8956983B2 (en) | 2010-04-15 | 2015-02-17 | Novellus Systems, Inc. | Conformal doping via plasma activated atomic layer deposition and conformal film deposition |
US9390909B2 (en) | 2013-11-07 | 2016-07-12 | Novellus Systems, Inc. | Soft landing nanolaminates for advanced patterning |
US8343881B2 (en) | 2010-06-04 | 2013-01-01 | Applied Materials, Inc. | Silicon dioxide layer deposited with BDEAS |
KR101710658B1 (ko) | 2010-06-18 | 2017-02-27 | 삼성전자 주식회사 | 관통 전극을 갖는 3차원 적층 구조의 반도체 장치 및 그 반도체 장치의 시그널링 방법 |
US8669185B2 (en) | 2010-07-30 | 2014-03-11 | Asm Japan K.K. | Method of tailoring conformality of Si-containing film |
KR101147728B1 (ko) | 2010-08-02 | 2012-05-25 | 주식회사 유진테크 | 사이클릭 박막 증착 방법 |
US8394466B2 (en) | 2010-09-03 | 2013-03-12 | Asm Japan K.K. | Method of forming conformal film having si-N bonds on high-aspect ratio pattern |
US8101531B1 (en) | 2010-09-23 | 2012-01-24 | Novellus Systems, Inc. | Plasma-activated deposition of conformal films |
US8524612B2 (en) | 2010-09-23 | 2013-09-03 | Novellus Systems, Inc. | Plasma-activated deposition of conformal films |
US20120213940A1 (en) | 2010-10-04 | 2012-08-23 | Applied Materials, Inc. | Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma |
TW201224190A (en) | 2010-10-06 | 2012-06-16 | Applied Materials Inc | Atomic layer deposition of photoresist materials and hard mask precursors |
WO2012057889A1 (en) | 2010-10-29 | 2012-05-03 | Applied Materials, Inc. | Atomic layer deposition film with tunable refractive index and absorption coefficient and methods of making |
CN103228983A (zh) | 2010-11-10 | 2013-07-31 | 纳米系统公司 | 量子点薄膜、照明器件及照明方法 |
US20120164834A1 (en) | 2010-12-22 | 2012-06-28 | Kevin Jennings | Variable-Density Plasma Processing of Semiconductor Substrates |
US8901016B2 (en) | 2010-12-28 | 2014-12-02 | Asm Japan K.K. | Method of forming metal oxide hardmask |
JP2012142386A (ja) * | 2010-12-28 | 2012-07-26 | Elpida Memory Inc | 窒化膜の形成方法 |
JP2012160671A (ja) | 2011-02-02 | 2012-08-23 | Toshiba Corp | 磁気ランダムアクセスメモリ及びその製造方法 |
US8647993B2 (en) | 2011-04-11 | 2014-02-11 | Novellus Systems, Inc. | Methods for UV-assisted conformal film deposition |
JP2013058521A (ja) | 2011-09-07 | 2013-03-28 | Toshiba Corp | 記憶装置及びその製造方法 |
JP5551129B2 (ja) | 2011-09-07 | 2014-07-16 | 株式会社東芝 | 記憶装置 |
TW201319299A (zh) | 2011-09-13 | 2013-05-16 | Applied Materials Inc | 用於低溫電漿輔助沉積的活化矽前驅物 |
WO2013043330A1 (en) | 2011-09-23 | 2013-03-28 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US9303318B2 (en) * | 2011-10-20 | 2016-04-05 | Applied Materials, Inc. | Multiple complementary gas distribution assemblies |
TWI606136B (zh) * | 2011-11-04 | 2017-11-21 | Asm國際股份有限公司 | 沉積摻雜氧化矽的方法以及用於沉積摻雜氧化矽至基板上的原子層沉積製程 |
US8592328B2 (en) | 2012-01-20 | 2013-11-26 | Novellus Systems, Inc. | Method for depositing a chlorine-free conformal sin film |
US8956704B2 (en) | 2012-05-21 | 2015-02-17 | Novellus Systems, Inc. | Methods for modulating step coverage during conformal film deposition |
US20140030444A1 (en) | 2012-07-30 | 2014-01-30 | Novellus Systems, Inc. | High pressure, high power plasma activated conformal film deposition |
TWI595112B (zh) * | 2012-10-23 | 2017-08-11 | 蘭姆研究公司 | 次飽和之原子層沉積及保形膜沉積 |
SG2013083241A (en) | 2012-11-08 | 2014-06-27 | Novellus Systems Inc | Conformal film deposition for gapfill |
JP6538300B2 (ja) | 2012-11-08 | 2019-07-03 | ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated | 感受性基材上にフィルムを蒸着するための方法 |
US9012336B2 (en) | 2013-04-08 | 2015-04-21 | Applied Materials, Inc. | Method for conformal treatment of dielectric films using inductively coupled plasma |
CN105765428A (zh) | 2013-10-17 | 2016-07-13 | 纳米系统公司 | 发光二极管(led)器件 |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
US20150159271A1 (en) | 2013-12-09 | 2015-06-11 | Veeco Ald Inc. | Deposition of non-isostructural layers for flexible substrate |
US9821099B2 (en) | 2013-12-27 | 2017-11-21 | Sun Medical Technology Research Corporation | Artificial-blood-vessel connector and artificial-blood-vessel unit |
-
2015
- 2015-07-30 US US14/814,372 patent/US10526701B2/en active Active
-
2016
- 2016-07-08 KR KR1020160086681A patent/KR102604354B1/ko active IP Right Grant
-
2019
- 2019-11-21 US US16/691,488 patent/US11479856B2/en active Active
Patent Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
EP1703552A2 (en) | 2005-03-15 | 2006-09-20 | ASM America, Inc. | Method of forming non-conformal layers |
US20140113457A1 (en) | 2010-04-15 | 2014-04-24 | Lam Research Corporation | Plasma enhanced atomic layer deposition with pulsed plasma exposure |
Also Published As
Publication number | Publication date |
---|---|
US10526701B2 (en) | 2020-01-07 |
US20170009346A1 (en) | 2017-01-12 |
US11479856B2 (en) | 2022-10-25 |
KR20170007177A (ko) | 2017-01-18 |
US20200087786A1 (en) | 2020-03-19 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR102604354B1 (ko) | 막 균일성 및 두께 프로파일 조절을 위한 멀티-사이클 ald 프로세스 | |
US10903071B2 (en) | Selective deposition of silicon oxide | |
KR102612832B1 (ko) | 플라즈마 보조 원자층 증착의 rf 보상을 위한 방법 및 장치 | |
US10832909B2 (en) | Atomic layer etch, reactive precursors and energetic sources for patterning applications | |
TWI695082B (zh) | 無氨無氯保形氮化矽膜的沉積方法 | |
US9997371B1 (en) | Atomic layer etch methods and hardware for patterning applications | |
KR102491771B1 (ko) | 실리콘 옥사이드의 존재시 실리콘 표면들 상에서 실리콘 옥사이드 또는 실리콘 나이트라이드의 선택적인 성장 | |
KR101701024B1 (ko) | 컨포멀한 알루미늄 질화물을 위한 고 성장 레이트 프로세스 | |
KR20160061885A (ko) | 실리콘-함유 막들의 원자층 증착에서의 선택적인 억제 | |
KR20220006663A (ko) | 원자 층 증착 동안 막 특성들의 인-시츄 (in-situ) 제어 | |
KR102701195B1 (ko) | 에칭 잔여물-기반 억제제들을 사용하는 선택적인 프로세싱 | |
US20220351940A1 (en) | Plasma-enhanced atomic layer deposition with radio-frequency power ramping | |
US20210395885A1 (en) | Throughput improvement with interval conditioning purging | |
KR102706039B1 (ko) | 반응기 프로세싱 배치 (batch) 사이즈를 증가시키기 위한 방법들 및 장치들 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
G170 | Re-publication after modification of scope of protection [patent] | ||
A201 | Request for examination | ||
E902 | Notification of reason for refusal | ||
E701 | Decision to grant or registration of patent right | ||
GRNT | Written decision to grant |