KR101697054B1 - 멀티플렉싱된 히터 어레이에 대한 폴트 검출 방법 - Google Patents

멀티플렉싱된 히터 어레이에 대한 폴트 검출 방법 Download PDF

Info

Publication number
KR101697054B1
KR101697054B1 KR1020167004874A KR20167004874A KR101697054B1 KR 101697054 B1 KR101697054 B1 KR 101697054B1 KR 1020167004874 A KR1020167004874 A KR 1020167004874A KR 20167004874 A KR20167004874 A KR 20167004874A KR 101697054 B1 KR101697054 B1 KR 101697054B1
Authority
KR
South Korea
Prior art keywords
power
power supply
heater zones
lines
line
Prior art date
Application number
KR1020167004874A
Other languages
English (en)
Other versions
KR20160025635A (ko
Inventor
하르미트 싱
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20160025635A publication Critical patent/KR20160025635A/ko
Application granted granted Critical
Publication of KR101697054B1 publication Critical patent/KR101697054B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B1/00Details of electric heating devices
    • H05B1/02Automatic switching arrangements specially adapted to apparatus ; Control of heating devices
    • H05B1/0227Applications
    • H05B1/023Industrial applications
    • H05B1/0233Industrial applications for semiconductors manufacturing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67288Monitoring of warpage, curvature, damage, defects or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Control Of Resistance Heating (AREA)
  • Plasma & Fusion (AREA)
  • Surface Heating Bodies (AREA)
  • Investigating Or Analyzing Materials Using Thermal Means (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

여기에서는, 반도체 프로세싱 장치에서 반도체 기판을 지지하는 데 사용되는 기판 지지 어셈블리에 대한 멀티플렉싱된 멀티-히터-존 가열 판에서의 폴트 상태를 검출하는 방법이 설명된다.

Description

멀티플렉싱된 히터 어레이에 대한 폴트 검출 방법{METHODS OF FAULT DETECTION FOR MULTIPLEXED HEATER ARRAY}
각각의 계속적인 반도체 기술의 발전에 따라, 기판 직경들은 증가하는 경향이 있고 트랜지스터 사이즈는 감소하여, 기판 프로세싱에서 정확도 및 반복성이 더 높은 수준으로 필요하게 된다. 실리콘 기판들과 같은 반도체 기판 재료들은, 진공 챔버들의 사용을 포함하는 기법들에 의해 프로세싱된다. 이들 기법들은 전자 빔 증착과 같은 비-플라즈마 응용들뿐 아니라 스퍼터링 증착, 플라즈마 인핸스드 화학 기상 증착 (PECVD), 레지스트 박리 (resist strip), 및 플라즈마 에칭과 같은 플라즈마 응용들을 포함한다.
현재 이용 가능한 플라즈마 프로세싱 시스템들은, 개선된 정확도 및 반복성에 대한 요구 증가를 반영한 이들 반도체 제조 툴들 중의 하나이다. 플라즈마 프로세싱 시스템들에 대한 한 가지 메트릭스는 개선된 균일성이며, 이것은 반도체 기판 표면 상의 프로세스 결과들의 균일성뿐 아니라 명목상 동일한 입력 파라미터들로 프로세싱되는 일련의 기판들에 대한 프로세스 결과들의 균일성을 포함한다. 온-기판 균일성 (on-substrate uniformity) 의 계속적인 개선이 바람직하다. 특히, 이것은, 개선된 균일성, 일관성 및 자가 진단법을 갖는 플라즈마 챔버들을 요구한다.
다수의 독립적으로 제어 가능한 평면 히터 존들 (planar heater zones) 을 구비한 반도체 프로세싱 장치에서의 기판 지지 어셈블리용 가열 판은, 본 발명의 양수인에게 공동으로 양도된 미국 특허 출원 제 12/582,991 호에 개시되어 있으며, 이 미국 특허 출원의 개시사항은 여기서 참조로서 포함된다. 이 가열 판은 평면형 히터 존들과 전력 공급 및 전력 회수 라인들의 확장 가능한 멀티플렉싱 레이아웃 방식을 포함한다. 평면형 히터 존들의 전력을 튜닝함으로써, 프로세싱 동안의 온도 프로파일은 방사상 및 방위각 양측 모두로 표현될 수 있다. 이 가열 판이 주로 플라즈마 프로세싱 장치용으로 설명되고 있지만, 이 가열판은 또한 플라즈마를 사용하지 않는 다른 프로세싱 장치들에서도 사용될 수 있다. 히팅 존들에서의 과열을 방지하기 위해서는, 폴트 검출 시스템이 바람직할 것이다.
여기서, 반도체 프로세싱 장치에서 반도체 기판을 지지하는 데 사용되는 기판 지지 어셈블리용 멀티 존 가열 판의 폴트 (fault) 검출 방법이 설명되고, 가열 판은 복수의 평면형 히터 존들, 복수의 전력 공급 라인들 및 복수의 전력 회수 라인들을 포함하고, 여기서 각각의 평면형 히터 존은 전력 공급 라인들 중 하나 및 전력 회수 라인들 중 하나에 접속되고, 2 개의 평면형 히터 존들은 동일한 전력 공급 라인 및 전력 회수 라인 쌍을 공유하지 않으며, 폴트 검출 방법은:
(a) 하나 이상의 평면형 히터 존들의 측정된 총 가열 전력을 획득하는 단계; (b) 측정된 총 가열 전력을, 하나 이상의 평면형 히터 존들의 사전 설정된 총 가열 전력과 비교하는 단계; 및 (c) 측정된 총 가열 전력이 사전 설정된 총 가열 전력으로부터 미리 정해진 마진만큼 벗어나 있다면, 알람 신호를 트리거하는 단계를 포함한다.
도 1 은, 평면형 히터 존 어레이를 구비한 가열 판이 포함된 기판 지지 어셈블리로서, 이 기판 지지 어셈블리는 또한 정전 척 (electrostatic chuck: ESC) 을 포함하는, 기판 지지 어셈블리의 단면 개략도이다.
도 2 는 전력 공급 라인들 및 전력 회수 라인들로부터 가열 판 내의 평면형 히터 존 어레이로의 전기 접속을 예시한다.
도 3 은, 도 1 의 기판 지지 어셈블리를 포함할 수 있는 예시적인 플라즈마 프로세싱 챔버의 개략도이다.
도 4 는, 일 실시형태에 따른, 전압계들 및 전류계들과 가열 판의 전기 접속들을 도시한다.
도 5 는, 다른 실시형태에 따른, 전압계들 및 전류계들과 가열 판의 전기 접속들을 도시한다.
도 6 은, 또 다른 실시형태에 따른, 전압계들 및 전류계들과 가열 판의 전기 접속들을 도시한다.
도 7 은 전압계, 전류계 및 2 개의 멀티플렉서들과 가열 판의 전기 접속을 도시한다.
기판에 대한 희망 임계 디멘전 (critical dimension: CD) 균일성을 성취하기 위해, 반도체 프로세싱 기판에서 방사상 및 방위각의 기판 온도 제어는 더 많이 요구되고 있다. 심지어 작은 온도 변화가, CD 에, 특히 CD 가 반도체 제조 프로세스들에서 100㎚ 이하 (sub-100㎚) 에 접근하고 있을 때, 허용 불가능한 정도의 영향을 미칠 수도 있다.
기판 지지 어셈블리는, 프로세싱 동안, 기판 지지, 기판 온도 튜닝, 및 무선 주파수 전력 공급과 같은 다양한 기능들을 위해 구성될 수도 있다. 기판 지지 어셈블리는 프로세싱 동안에 기판을 기판 지지 어셈블리 상에 정전기적으로 클램핑하는 데 유용한 정전 척 (ESC) 을 포함할 수 있다. ESC 는 튜닝 가능한 ESC (T-ESC) 일 수도 있다. T-ESC 는, 본 발명의 양수인에게 공동으로 양도된 미국 특허 제 6,847,014 호 및 제 6,921,724 호에서 설명되며, 이 특허들은 여기에 참조로서 포함된다. 기판 지지 어셈블리는 세라믹 기판 홀더, 유체 냉각식 히트 싱크 (이하, 냉각 판 (cooling plate) 이라고 지칭됨) 및 복수의 동심 평면형 히터 존들을 포함하여 단계적인 라디알 온도 제어를 실현할 수도 있다. 일반적으로, 냉각 판은 -20 ℃ 와 80 ℃ 사이에서 유지된다. 히터들은 단열재 층을 가지는 냉각 판 상에서 이들 사이에 위치한다. 히터들은 기판 지지 어셈블리의 지지 표면을 냉각 판 온도로부터 약 0 ℃ 내지 90 ℃ 이상 높은 온도로 유지시킬 수 있다. 복수의 평면형 히터 존들 내에서 히터 전력을 변경함으로써, 기판 지지 온도 프로파일은 중심부 고온 (center hot), 중심부 냉온 (center cold) 및 균일 (uniform) 사이에서 변경될 수 있다. 또한, 평균 기판 지지 온도는 냉각 판 온도러브타 0 ℃ 내지 90 ℃ 이상 높은 동작 범위 내에서 단계적으로 변경될 수 있다. 반도체 기술의 진보로 인해 CD 가 감소함에 따라, 작은 방위각의 온도 변화는 더욱 더 큰 도전과제를 부과한다.
온도 제어는 여러 가지 이유들로 인해 용이한 작업이 아니다. 첫째, 열원들 및 열 싱크들의 위치들, 매체들의 이동, 재료들 및 형상들과 같은 많은 팩터들이 열전달에 영향을 미칠 수 있다. 둘째, 열전달은 동적 프로세스이다. 당해 시스템이 열 평형상태에 있지 않다면, 열전달이 발생할 것이고, 시간에 따라서 온도 프로파일 및 열전달이 변화할 것이다. 셋째, 플라즈마와 같은, 플라즈마 프로세싱에 있어서 그 과정에서 항상 나타나는 비평형 현상들은 임의의 실제 플라즈마 프로세싱 장치의 열전달 거동에 대한 이론적 예측을 매우 어렵게 만든다.
플라즈마 프로세싱 장치에서의 기판 온도 프로파일은, 플라즈마 밀도 프로파일, RF 전력 프로파일, 및 척 내의 다양한 가열 및 냉각 엘리먼트들의 상세 구조와 같은 많은 팩터들에 의해 영향을 받으며, 이에 따라, 기판 온도 프로파일은 종종 균일하지 않고, 적은 수의 가열 또는 냉각 엘리먼트들을 사용하여 제어하기가 곤란하다. 이러한 결점은 전체 기판에서 프로세싱 레이트의 불균일성 및 기판 상에서 디바이스 다이들의 임계적 디멘전의 불균일성으로 변환된다.
온도 제어의 복잡한 특성을 고려하여, 다수의 독립적으로 제어 가능한 평면형 히터 존들을 기판 지지 어셈블리에 포함시켜, 장치가, 희망하는 공간적 및 시간적 온도 프로파일을 능동적으로 생성하고 유지하게 하고, CD 불균일성에 영향을 미치는 다른 불리한 팩터들을 보상하게 하는 것이 유리할 것이다.
다수의 독립적으로 제어 가능한 평면형 히터 존들을 구비한 반도체 프로세싱 장치에서의 기판 지지 어셈블리용 가열 판은, 본 발명의 양수인에게 공동으로 양도된 미국 특허 출원 공개 제 2011/0092072 호에 개시되어 있으며, 이 특허 공개의 개시사항은 여기서 참조로서 포함된다. 이 가열 판은 평면형 히터 존들과 전력 공급 및 전력 회수 라인들의 확장 가능한 멀티플렉싱 레이아웃 방식을 포함한다. 평면형 히터 존들의 전력을 튜닝함으로써, 프로세싱 동안의 온도 프로파일은, 방사상 및 방위각 양측 모두의 방식으로 표현될 수 있다. 이 가열 판은 주로 플라즈마 프로세싱 장치용으로 설명되고 있지만, 이 가열판은 또한 플라즈마를 사용하지 않는 다른 반도체 프로세싱 장치들에서도 사용될 수 있다.
이 가열 판의 평면형 히터 존들은, 바람직하게는, 정의된 패턴, 예를 들어 직사각형 그리드, 육각형 그리드, 폴라 어레이 (polar array), 동심환들 또는 임의의 희망 패턴으로 배열된다. 각각의 평면형 히터 존은 임의의 적합한 사이즈의 것일 수도 있으며, 하나 이상의 히터 엘리먼트들을 가질 수도 있다. 평면형 히터 존 내의 모든 히터 엘리먼트들은 함께 턴 온 또는 턴 오프된다. 전기 접속들의 수를 최소화하기 위해, 전력 공급 라인들 및 전력 회수 라인들은, 각각의 전력 공급 라인이 상이한 평면형 히터 존 그룹에 접속되고 각각의 전력 회수 라인이 상이한 평면형 히터 존 그룹에 접속되도록 배열되며, 여기서 각각의 평면형 히터 존은 특정 전력 공급 라인에 접속된 그룹들 중의 하나 및 특정 그룹 회수 라인에 접속된 그룹들 중의 하나에 있다. 2 개의 평면형 히터 존들이 동일한 쌍의 전력 공급 및 전력 회수 라인들에 접속되지는 않는다. 따라서, 평면형 히터 존은, 전류를 이 특정 평면형 히터 존이 접속되어 있는 전력 공급 및 전력 회수 라인 쌍을 향해 지향시킴으로써 기동될 수 있다. 히터 엘리먼트들의 전력은, 바람직하게는 20 W 보다 작고, 더 바람직하게는 5 W 내지 10 W 이다. 히터 엘리먼트들은, 폴리이미드 히터들, 실리콘 고무 히터들, 운모 히터들, 금속 히터들 (예컨대, W, Ni/Cr 합금, Mo 또는 Ta), 세라믹 히터들 (예컨대, WC), 반도체 히터들 또는 탄소 히터들과 같은 저항성 히터들일 수도 있다. 히터 엘리먼트들은 스크린 인쇄된, 와이어 와운드 (wire wound) 또는 에칭된 포일 히터들일 수도 있다. 일 실시형태에서, 각각의 평면형 히터 존은 반도체 기판 상에서 제조되는 4 개의 디바이스 다이들보다 크지 않거나, 또는 반도체 기판 상에서 제조되는 2 개의 디바이스 다이들보다 크지 않거나, 또는 반도체 기판 상에서 제조되는 하나의 디바이스 다이보다 크지 않거나, 또는 면적이 16 내지 100 ㎠ 이거나, 또는 면적이 1 내지 15 ㎠ 이거나, 또는 면적이 2 내지 3 ㎠ 이어서, 기판 상의 디바이스 다이들에 대응한다. 히터 엘리먼트들의 두께는 2 마이크로미터 내지 1 밀리미터의 범위에 있을 수도 있고, 바람직하게는 5-80 마이크로미터의 범위에 있을 수도 있다. 평면형 히터 존들 및/또는 전력 공급 및 전력 회수 라인들 사이에 공간을 허용하기 위해, 평면형 히터 존들의 총 면적은 기판 지지 어셈블리의 상측 표면 면적의 90 %, 예컨대 그 면적의 50-90 % 에 달할 수도 있다. 전력 공급 라인들 또는 전력 회수 라인들 (총괄하여, 전력 라인들) 은 평면형 히터 존들 사이에서 1 ㎜ 내지 10 ㎜ 의 범위에 있는 갭들에 배열될 수도 있고, 또는 전기 절연 층들에 의해 평면형 히터 존들로부터 이격된 별도의 평면들에 배열될 수도 있다. 전력 공급 라인들 및 전력 회수 라인들은, 바람직하게는, 큰 전류를 전달하고 줄열 가열 (Joule heating) 을 감소시키기 위해, 공간이 허용하는 너비로 제조된다. 전력 라인들이 평면형 히터 존들과 동일한 평면에 있는 일 실시형태에서, 전력 라인들의 폭은, 바람직하게는, 0.3 ㎜ 와 2 ㎜ 사이에 있다. 전력 라인들이 평면형 히터 존들과는 상이한 평면들 상에 있는 다른 실시형태에서, 전력 라인들의 폭은, 예컨대 300 ㎜ 척에 대해, 평면형 히터 존들만큼 넓을 수 있고, 그 폭은 1 내지 2 인치일 수 있다. 전력 라인들의 재료들은 히터 엘리먼트들의 재료들과 동일할 수도 있고 또는 상이할 수도 있다. 바람직하게는, 전력 라인들의 재료들은, Cu, Al, W, Inconel® 또는 Mo 과 같은, 저 저항성을 가지는 재료들이다.
도 1 은 2 개의 전기 절연 층들 (104A, 104B) 에 포함된 평면형 히터 존들 (101) 의 어레이를 구비한 가열 판의 일 실시형태를 포함하는 기판 지지 어셈블리를 도시한다. 전기 절연 층들은, 실리콘 산화물, 알루미늄 산화물, 이트륨 산화물, 알루미늄 질화물과 같은 세라믹, 폴리머 재료, 무기 재료, 또는 다른 적합한 재료일 수도 있다. 기판 지지 어셈블리는 (a) 세라믹 층 (103)(정전 클램핑 층) 을 가지되, 전극 (102)(예컨대, 모노폴라 또는 바이폴라) 이 세라믹 층 (103) 의 표면에 기판을 DC 전압으로 정전기적으로 클램핑하도록 임베딩된, 세라믹 층 (103) 을 가지는 ESC, (b) 열 배리어 층 (107), (c) 냉각제 흐름을 위한 채널들 (106) 을 포함하는 냉각 판 (105) 을 더 포함한다.
도 2 에 도시된 바와 같이, 각각의 평면형 히터 존들 (101) 은 전력 공급 라인들 (201) 중 하나 및 전력 회수 라인들 (202) 들 중 하나에 접속된다. 2 개의 평면형 히터 존들 (101) 이 동일한 전력 공급 라인 (201) 및 전력 회수 라인 (202) 쌍을 공유하지는 않는다. 적합한 전기적 스위칭 배열물들에 의해, 전력 공급 라인 (201) 및 전력 회수 라인 (202) 쌍을 전원 (비도시) 에 접속시키고, 이에 의해 이 라인 쌍에 접속된 평면형 히터 존만이 턴 온되는 것이 가능하다. 각각의 평면형 히터 존의 시간-평균 가열 전력은 시간-도메인 멀티플렉싱에 의해 개별적으로 튜닝될 수 있다. 상이한 평면형 히터 존들 사이의 크로스토크를 방지하기 위해, 다이오드 (250) 가 각각의 평면형 히터 존 (101) 과 그에 접속된 전력 공급 라인 (201)(도 2 에 도시됨) 사이에 또는 각각의 평면형 히터 존 (101) 과 그에 접속된 전력 회수 라인 (202)(미도시) 사이에 직렬로 접속되고, 그에 따라 다이오드 (250) 는 전력 회수 라인 (201) 으로부터 평면형 히터 존 (101) 을 통해 전력 공급 라인 (201) 으로 향하는 방향의 전류 흐름을 허용하지 않게 한다. 다이오드 (250) 는 물리적으로 평면형 히터 존에 또는 그 근처에 위치한다.
기판 지지 어셈블리는 가열 판의 일 실시형태를 포함할 수 있으며, 여기서 가열 판의 각각의 평면형 히터 존은 기판 상의 단일 디바이스 다이 또는 디바이스 다이 그룹과 유사한 사이즈 또는 그보다 작은 사이즈의 것이어서, 각각의 디바이스 다이 포지션에 대해 기판 온도 및 그에 따른 플라즈마의 에칭 프로세스가 기판으로부터의 디바이스 수율을 최대화시키도록 제어될 수 있게 한다. 가열 판은 10-100 개, 100-200 개, 200-300 개 또는 그 이상의 평면형 히터 존들을 포함할 수 있다. 가열 판의 확장 가능한 아키텍처는, 냉각 판에 최소 개수의 전력 공급 라인들, 전력 회수 라인들, 및 피드스루들 (feedthroughs) 을 가지는, 다이별 (die-by-die) 기판 온도 제어에 요구되는 수의 평면형 히터 존들 (일반적으로, 300-㎜ 직경의 기판 상의 100 개 초과의 다이들 및 그에 따른 100 개 이상의 히터 존들) 을 용이하게 수용할 수 있고, 그에 따라 기판 지지 어셈블리의 기판 온도에 대한 장애, 제조비용 및 복잡도를 감소시킬 수 있다. 도시되어 있지는 않지만, 기판 지지 어셈블리는 기판을 들어 올리는 리프트 핀들, 냉각용 헬륨 (helium back cooling), 온도 피드백 신호들을 제공하는 온도 센서들, 가열 전력 피드백 신호들을 제공하는 전압 및 전류 센서들, 히터들 및/또는 클램프 전극용 급전 및/또는 RF 필터들과 같은 피처들을 포함할 수 있다.
플라즈마 프로세싱 챔버의 동작 방법에 대한 개관으로서, 도 3 은 상측 샤워헤드 전극 (703) 및 기판 지지 어셈블리 (704) 가 배치되어 있는 챔버 (713) 를 포함하는 플라즈마 프로세싱 챔버의 개략도를 도시한다. 기판 (예컨대, 300 ㎜ 웨이퍼)(712) 이 로딩 포트 (711) 를 통해 기판 지지 어셈블리 (704) 위로 로딩된다. 가스 라인 (709) 이 프로세스 가스를 챔버 내로 전달하는 상측 샤워 헤드 전극 (703) 에 프로세스 가스를 공급한다. 가스 소스 (708)(예컨대, 적합한 가스 혼합물을 공급하는 매스 흐름 제어기 "MFC") 가 가스 라인 (709) 에 접속된다. RF 전원 (702) 이 상측 샤워 헤드 전극 (703) 에 접속된다. 동작 시, 챔버는 진공 펌프 (710) 에 의해 배기되고, RF 전력은 상측 샤워 헤드 전극 (703) 과 기판 지지 어셈블리 (704) 내의 하측 전극 사이에 용량성으로 커플링되어, 기판 (712) 과 상측 샤워 헤드 전극 (703) 사이의 공간에 있는 플라즈마 내로 프로세스 가스를 공급하게 한다. 플라즈마는 기판 (712) 상의 층들 내로 디바이스 다이 피처들을 에칭하는 데 사용될 수 있다. 기판 지지 어셈블리 (704) 는, 전술한 바와 같이, 내부에 포함되는 히터들을 가질 수도 있다. 플라즈마 프로세싱 챔버의 상세한 설계가 변경될 수도 있지만, RF 전력은 기판 지지 어셈블리 (704) 를 통해 플라즈마에 커플링된다는 것이 이해될 것이다.
각각의 평면형 히터 존 (101) 에 공급되는 전력은 희망하는 기판 지지 온도 프로파일을 달성하기 위해 그의 실제 온도에 기초하여 조절될 수 있다. 각각의 평면형 히터 존 (101) 에서의 실제 온도는 그에 접속된 다이오드 (250) 의 역 포화 전류를 측정함으로써 모니터링될 수 있다. 각각의 평면형 히터 존 (101) 에서의 실제 온도는 각각의 평면형 히터 존에서의 열전쌍들 (thermocouples) 또는 플루오르-광 (fluoro-optic) 온도 센서들에 의해서도 모니터링될 수 있다.
일 실시형태에 따른, 가열 판의 폴트 (fault) 검출 방법은: (a) 하나 이상의 평면형 히터 존들의 측정된 총 가열 전력을 획득하는 단계; (b) 측정된 총 가열 전력을 하나 이상의 평면형 히터 존들의 사전 설정된 총 가열 전력과 비교하는 단계; 및 (c) 측정된 총 가열 전력이 사전 설정된 총 가열 전력으로부터 미리 정해진 마진만큼 벗어나 있다면, 알람 신호를 트리거하는 단계를 포함한다. 미리 정해진 마진은, 예를 들어 사전 설정된 총 가열 전력의 ±20%, ±10%, ±5%, 또는 ±1% 일 수 있다. 반도체 기판의 프로세싱 동안, 알람 신호는 알람을 트리거하는 가열 존에 대해 재교정 테스트 또는 전력 조절을 트리거하는 데 사용될 수 있다.
단계 (a) 에서 하나 이상의 평면형 히터 존들 각각에 대해 측정된 가열 전력은, 그 평면형 히터 존에 걸리는 전압 V 를 측정하고, 그 평면형 히터 존을 흐르는 전류 I 를 측정하고, V 와 I 를 곱셈함으로써 획득될 수 있다. 단계 (a) 에서 측정된 총 가열 전력은 하나 이상의 평면형 히터 존들 각각에 대해 측정된 가열 전력의 합이다. 전압 측정은 전압계 또는 다른 적합한 전압 측정 디바이스로 실행될 수 있고, 전류 측정은 전류계 또는 다른 적합한 전류 측정 디바이스를 사용하여 획득될 수 있다.
도 4 에 도시된 바와 같이, 가열 판은 가열 존 (101) 에 접속되어 있는 전력 공급 라인 (201) 과 회수 라인 (202) 사이에 접속되는 전압계 (520)(또는 다른 적합한 전압 측정 디바이스) 를 가질 수 있고, 전류계 (530)(또는 다른 적합한 전류 측정 디바이스) 는 각각의 평면형 히터 존 (101) 과 그에 접속되는 전력 회수 라인 (202) 또는 전력 공급 라인 (201) 사이에 직렬로 접속된다. 프로세서 (5000)(예컨대, 컴퓨터, 마이크로제어기 등) 는, 각각의 전압계 (520) 로부터 전압 판독치를 취하고 각각의 전류계 (530) 로부터 전류 판독치를 취하도록 동작 가능하다. 히터 존들의 N×M 어레이, N 개의 전력 공급 라인들 및 M 개의 전력 회수 라인들을 구비한 가열 판에서는, N×M 개의 전류계들 및 N×M 개의 전압계들이 필요하다. 폴트 검출 방법은: (a) 평면형 히터 존이 전력을 공급받는 동안, 바람직하게는 그에 접속된 전압계 (520) 를 사용하여 그 평면형 히터 존에 걸리는 전압 V 를 측정하고, 바람직하게는 그에 접속된 전류계 (530) 를 사용하여 그 평면형 히터 존을 흐르는 전류 I 를 측정하고, V 와 I 로 곱셈함으로써, 평면형 히터 존의 측정된 가열 전력을 획득하는 단계; (b) 평면형 히터 존의 측정된 가열 전력을 평면형 히터 존의 사전 설정된 가열 전력과 비교하는 단계; 및 (c) 측정된 가열 전력이 사전 설정된 가열 전력으로부터 미리 정해진 마진만큼 벗어나 있다면, 알람 신호를 트리거하는 단계를 포함한다.
대안으로, 도 5 에 도시된 바와 같이, 전압계 (520) 는 각각의 전력 공급 라인 (201) 에 접속되고; 전류계 (530) 는, 임의의 전력 회수 라인 (202) 이 접지에 접속될 때, 전류계 (530) 가 전력 회수 라인 (202) 과 접지 사이에 있도록 각각의 전력 회수 라인 (202) 에 직렬로 접속된다. 프로세서 (5000) 는, 각각의 전압계 (520) 로부터 전압 판독치를 취하고 각각의 전류계 (530) 로부터 전류 판독치를 취하도록 동작 가능하다. 히터 존들의 N×M 어레이, N 개의 전력 공급 라인들 및 M 개의 전력 회수 라인들을 구비한 가열 판에서는, N 개의 전압계들 및 M 개의 전류계들이 필요하다. 폴트 검출 방법은: (a) 하나 이상의 전력 공급 라인들 (201) 이 전원에 접속되고, 적어도 하나의 전력 회수 라인 (202) 이 접지에 접속되는 동안, 바람직하게는 하나 이상의 전력 공급 라인들 (201) 에 접속된 전압계들 (520) 중 적어도 하나를 사용하여 그 하나 이상의 전력 공급 라인들 상의 전압 V 를 측정하고, 바람직하게는 적어도 하나의 전력 회수 라인들 (202) 에 접속된 전류계 (530) 를 사용하여 그 적어도 하나의 전력 회수 라인 (202) 에 의해 전달되는 총 전류 I 를 측정하고, V 와 I 를 곱셈함으로써, 하나 이상의 전력 공급 라인들 (201) 및 적어도 하나의 전력 회수 라인 (202) 에 접속된 평면형 히터 존들의 측정된 총 가열 전력을 획득하는 단계; (b) 측정된 총 가열 전력을, 하나 이상의 전력 공급 라인들 (201) 및 적어도 하나의 전력 회수 라인 (202) 에 접속된 각각의 평면형 히터 존들의 사전 설정된 가열 전력을 합산함으로써 계산된 사전 설정된 총 가열 전력과 비교하는 단계; 및 (c) 측정된 총 가열 전력이 사전 설정된 총 가열 전력으로부터 미리 정해진 마진만큼 벗어나 있다면, 알람 신호를 트리거하는 단계를 포함한다.
대안으로, 도 6 에 도시된 바와 같이, 전압계 (520) 는 각각의 전력 공급 라인 (201) 에 접속되고; 전류계 (530) 는, 임의의 전력 공급 라인 (201) 이 전원에 접속될 때, 그 전력 공급 라인 (201) 에 의해 전달되는 모든 전류가 임의의 평면형 히터 존들 (101) 내로 흐르기 전에 그 전력 공급 라인 (201) 에 접속된 전류계 (530) 를 흐르도록 각각의 전력 공급 라인 (201) 에 직렬로 접속된다. 프로세서 (5000) 는, 각각의 전압계 (520) 로부터 전압 판독치를 취하고 각각의 전류계 (530) 로부터 전류 판독치를 취하도록 동작 가능하다. 히터 존들의 N×M 어레이, N 개의 전력 공급 라인들 및 N 개의 전력 회수 라인들을 구비한 가열 판에서는, N 개의 전압계들 및 M 개의 전류계들이 필요하다. 폴트 검출 방법은: (a) 하나 이상의 전력 회수 라인들 (202) 이 접지에 접속되고, 적어도 하나의 전력 공급 라인 (201) 이 전원에 접속되는 동안, 바람직하게는 적어도 하나의 전력 공급 라인 (201) 에 접속된 전압계 (520) 를 사용하여 그 적어도 하나의 전력 공급 라인 (201) 상의 전압 V 를 측정하고, 바람직하게는 적어도 하나의 전력 공급 라인 (201) 에 접속된 전류계 (530) 를 사용하여 그 적어도 하나의 전력 공급 라인 (201) 에 걸리는 전류 I 를 측정하고, V 와 I 를 곱셈함으로써, 하나 이상의 전력 회수 라인들 (202) 및 적어도 하나의 전력 공급 라인 (201) 에 접속된 평면형 히터 존들의 측정된 총 가열 전력을 획득하는 단계; (b) 측정된 총 가열 전력을, 하나 이상의 전력 회수 라인들 (202) 및 적어도 하나의 전력 공급 라인 (201) 에 접속된 각각의 평면형 히터 존들의 사전 설정된 가열 전력을 합산함으로써 계산된 사전 설정된 총 가열 전력과 비교하는 단계; 및 (c) 측정된 총 가열 전력이 사전 설정된 총 가열 전력으로부터 미리 정해진 마진만큼 벗어나 있다면, 알람 신호를 트리거하는 단계를 포함한다.
대안으로, 도 7 에 도시된 바와 같이, 멀티플렉서 (1000) 는 각각의 전력 회수 라인 (202) 을, 다른 전력 회수 라인들과는 독립적으로, 전류계 (530) 를 통해 전기 절연 단자인 접지에 선택적으로 접속시키도록 구성되고; 멀티플렉서 (2000) 는 각각의 전력 공급 라인 (201) 을, 다른 전력 공급 라인들과는 독립적으로, 전기 절연 단자에 선택적으로 접속시키도록 구성된다. 프로세서 (5000) 는, 전압계 (520) 로부터 전압 판독치를 취하고 전류계 (530) 로부터 전류 판독치를 취하도록 동작 가능 가능하며, 멀티플렉서들 (1000, 2000) 을 제어한다. 히터 존들의 N×M 어레이, N 개의 전력 공급 라인들 및 M 개의 전력 회수 라인들을 구비한 가열 판에서, 단 하나의 전압계 및 하나의 전류계만이 필요하다. 폴트 검출 방법은: (a) 모든 전력 회수 라인들 (202) 이 전류계 (530) 를 통해 접지에 접속되고 i 번째 전력 공급 라인 (201) 만이 전원에 접속되는 동안, 바람직하게는 전압계 (520) 를 사용하여 i 번째 전력 공급 라인 (201) 상의 전압 V 를 측정하고, 바람직하게는 전류계 (530) 를 사용하여 모든 전력 회수 라인들 (202) 상의 총 전류 I 를 측정하고, V 와 I 를 곱셈함으로써, i 번째 전력 공급 라인 (201) 에 접속된 모든 평면형 히터 존들의 측정된 총 가열 전력을 획득하는 단계; (b) 총 가열 전력을, i 번째 전력 공급 라인 (201) 에 접속된 각각의 평면형 히터 존들의 사전 설정된 가열 전력을 합산함으로써 계산된 사전 설정된 총 가열 전력과 비교하는 단계; (c) 측정된 총 가열 전력이 사전 설정된 총 가열 전력으로부터 미리 정해진 마진만큼 벗어나 있다면, 알람 신호를 트리거하는 단계; (d) 모든 전력 공급 라인들 (201) 이 전원에 접속되고 j 번째 전력 회수 라인 (202) 만이 접지에 접속되는 동안, 바람직하게는 전압계 (520) 를 사용하여 모든 전력 공급 라인들 (201) 상의 전압 V 를 측정하고, 바람직하게는 전류계 (530) 를 사용하여 j 번째 전력 회수 라인 (202) 상의 전류 I 를 측정하고, V 와 I 를 곱셈함으로써, j 번째 전력 회수 라인 (202) 에 접속된 모든 평면형 히터 존들의 총 가열 전력을 획득하는 단계; (e) 측정된 총 가열 전력을, j 번째 전력 회수 라인에 접속된 각각의 평면형 히터 존들의 사전 설정된 가열 전력을 합산함으로써 계산된 사전 설정된 총 가열 전력과 비교하는 단계; 및 (f) 측정된 총 가열 전력이 사전 설정된 총 가열 전력으로부터 미리 정해진 마진만큼 벗어나 있다면, 알람 신호를 트리거하는 단계를 포함한다. 이 방법은, 폴트 상태에 있는 평면형 히터 존을 추가로 식별할 수 있다: i 번째 전력 공급 라인 (201) 만이 전원에 접속되고 모든 전력 회수 라인들 (202) 이 접지에 접속될 때, 그리고 j 번째 전력 회수 라인 (202) 만이 접지에 접속되고 모든 전력 공급 라인들 (201) 이 전원에 접속될 때, 알람 신호가 트리거된다면, i 번째 전력 공급 라인 (201) 및 j 번째 전력 회수 라인 (202) 양측 모두에 접속된 평면형 히터 존이 폴트 상태에 있다.
측정 에러는, 전력 공급 라인 (201) 상에서 측정된 전압 V 로부터, 전력 공급 라인들 (201), 전력 회수 라인들 (202) 및/또는 다이오드들 (250) 상에서의 전압 강하와 같은 평면형 히터 존 상에 있지 않은 전압 강하를 감산함으로써 교정될 수 있다.
다수의 독립적으로 제어 가능한 평면형 히터 존들을 가지는 반도체 프로세싱 장치에서 기판 지지 어셈블리용 가열 판에 대한 폴트 검출 방법들이 특정 실시형태들을 참조하여 상세히 설명되고 있지만, 첨부한 청구범위의 범주로부터 벗어나지 않는, 다양한 변경물 및 개량물이 제조될 수 있고 등가물이 채용될 수 있다는 것은 당업자에게 명백할 것이다.

Claims (10)

  1. 복수의 히터 존들을 포함하고, 반도체 프로세싱 장치에서 반도체 기판을 지지하기 위해 사용되는 기판 지지 어셈블리의 멀티-존 가열 판에 대한 폴트 (fault) 검출 방법으로서, 상기 기판 지지 어셈블리는 복수의 전력 공급 라인들 및 복수의 전력 회수 라인들을 포함하되, 상기 복수의 전력 공급 라인들 각각은 적어도 2 개의 히터 존들에 연결되고, 상기 복수의 전력 회수 라인들 각각은 적어도 2 개의 상기 히터 존들에 연결되며, 상기 폴트 검출 방법은,
    (a) 하나 이상의 상기 전력 공급 라인들을 통해 하나 이상의 상기 히터 존들에 전력을 공급하는 단계
    (b) 하나 이상의 상기 히터 존들의 측정된 총 가열 전력을 획득하는 단계;
    (c) 상기 측정된 총 가열 전력을 상기 하나 이상의 히터 존들의 사전 설정된 총 가열 전력과 비교하는 단계;
    (d) 상기 측정된 총 가열 전력이 상기 사전 설정된 총 가열 전력으로부터 미리 정해진 마진만큼 벗어나 있다면, 알람 신호를 트리거하는 단계를 포함하고,
    상기 측정된 총 가열 전력은, 상기 하나 이상의 히터 존들 각각의 양단에 걸리는 전압 V 를 측정하고, 상기 하나 이상의 히터 존들 각각을 통해 흐르는 전류 I 를 측정하고, V 와 I 를 곱하고, 상기 하나 이상의 히터 존들 각각의 V·I를 합산함으로써 획득되는, 폴트 검출 방법.
  2. 제1항에 있어서,
    상기 미리 정해진 마진은 상기 사전 설정된 총 가열 전력의 ±20%, ±10%, ±5%, 또는 ±1%인, 폴트 검출 방법.
  3. 제1항에 있어서,
    상기 전압 V는 전압계를 사용하여 측정되고, 상기 전류 I는 전류계를 사용하여 측정되는, 폴트 검출 방법.
  4. 제1항에 있어서,
    (a) 히터존 각각은 상기 전력 공급 라인들 중 하나 및 상기 전력 회수 라인들 중 하나와 접속되고, 2 개의 히터 존들이 동일한 전력 공급 라인 및 전력 회수 라인 쌍을 공유하지는 않으며, (b) 하나 이상의 전력 공급 라인들이 전력 공급원에 접속되고, 적어도 하나의 전력 회수 라인이 전기적 접지에 접속되는 동안, 상기 하나 이상의 전력 공급 라인들 및 상기 적어도 하나의 전력 회수 라인에 접속된 상기 히터 존들의 상기 측정된 총 가열 전력은, 상기 하나 이상의 전력 공급 라인들 상의 전압 V를 측정하고, 상기 적어도 하나의 전력 회수 라인에 의해 전달되는 총 전류 I를 측정하고, V와 I를 곱함으로써 획득되며, 그리고,
    상기 사전 설정된 총 가열 전력은 상기 하나 이상의 전력 공급 라인들 및 상기 적어도 하나의 전력 회수 라인에 접속된 상기 히터 존들 각각의 사전 설정된 가열 전력을 합산함으로써 계산되는, 폴트 검출 방법.
  5. 제1항에 있어서,
    (a) 히터존 각각은 상기 전력 공급 라인들 중 하나 및 상기 전력 회수 라인들 중 하나와 접속되고, 2 개의 히터 존들이 동일한 전력 공급 라인 및 전력 회수 라인 쌍을 공유하지는 않으며, (b) 하나 이상의 전력 회수 라인들이 전기적 접지에 접속되고, 적어도 하나의 전력 공급 라인이 전력 공급원에 접속되는 동안, 상기 하나 이상의 전력 회수 라인들 및 상기 적어도 하나의 전력 공급 라인에 접속된 상기 히터 존들의 상기 측정된 총 가열 전력은, 상기 적어도 하나의 전력 공급 라인 상의 전압 V를 측정하고, 상기 적어도 하나의 전력 공급 라인 상의 전류 I를 측정하고, V와 I를 곱함으로써 획득되며, 그리고,
    상기 사전 설정된 총 가열 전력은 상기 하나 이상의 전력 회수 라인들 및 상기 적어도 하나의 전력 공급 라인에 접속된 상기 히터 존들 각각의 사전 설정된 가열 전력을 합산함으로써 계산되는, 폴트 검출 방법.
  6. 제4항에 있어서,
    상기 하나 이상의 전력 공급 라인들 상의 전압 V는 히터 존 상에 있지 않은 전압 강하를 감산함으로써 교정되는, 폴트 검출 방법.
  7. 제5항에 있어서,
    상기 적어도 하나의 전력 공급 라인 상의 전압 V는 히터 존 상에 있지 않은 전압 강하를 감산함으로써 교정되는, 폴트 검출 방법.
  8. 제1항에 있어서,
    상기 히터 존들은 평면형 히터 존들인, 폴트 검출 방법.
  9. 제1항에 있어서,
    상기 기판 지지 어셈블리 상에 반도체 기판을 지지하는 단계;
    프로세스 가스를 플라즈마로 에너자이징하는 (energize) 단계; 및
    상기 반도체 기판의 온도를 제어하도록 상기 가열 판을 사용하는 동안 상기 반도체 기판을 플라즈마 프로세싱하는 단계를 더 포함하는, 폴트 검출 방법.
  10. 제9항에 있어서,
    상기 반도체 기판은 상기 플라즈마로 에칭되는, 폴트 검출 방법.
KR1020167004874A 2010-10-22 2011-09-28 멀티플렉싱된 히터 어레이에 대한 폴트 검출 방법 KR101697054B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/910,347 2010-10-22
US12/910,347 US8791392B2 (en) 2010-10-22 2010-10-22 Methods of fault detection for multiplexed heater array
PCT/US2011/053558 WO2012054198A2 (en) 2010-10-22 2011-09-28 Methods of fault detection for multiplexed heater array

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020137010294A Division KR101599339B1 (ko) 2010-10-22 2011-09-28 멀티플렉싱된 히터 어레이에 대한 폴트 검출 방법

Publications (2)

Publication Number Publication Date
KR20160025635A KR20160025635A (ko) 2016-03-08
KR101697054B1 true KR101697054B1 (ko) 2017-01-16

Family

ID=45972080

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020167004874A KR101697054B1 (ko) 2010-10-22 2011-09-28 멀티플렉싱된 히터 어레이에 대한 폴트 검출 방법
KR1020137010294A KR101599339B1 (ko) 2010-10-22 2011-09-28 멀티플렉싱된 히터 어레이에 대한 폴트 검출 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020137010294A KR101599339B1 (ko) 2010-10-22 2011-09-28 멀티플렉싱된 히터 어레이에 대한 폴트 검출 방법

Country Status (7)

Country Link
US (2) US8791392B2 (ko)
JP (2) JP5925789B2 (ko)
KR (2) KR101697054B1 (ko)
CN (2) CN103168345B (ko)
SG (2) SG10201508636RA (ko)
TW (1) TWI541517B (ko)
WO (1) WO2012054198A2 (ko)

Families Citing this family (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8637794B2 (en) 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
JP6066728B2 (ja) 2009-12-15 2017-01-25 ラム リサーチ コーポレーションLam Research Corporation Cdの均一性を向上させるための基板温度調整を行う方法及びプラズマエッチングシステム
US8791392B2 (en) * 2010-10-22 2014-07-29 Lam Research Corporation Methods of fault detection for multiplexed heater array
US8546732B2 (en) 2010-11-10 2013-10-01 Lam Research Corporation Heating plate with planar heater zones for semiconductor processing
US9307578B2 (en) 2011-08-17 2016-04-05 Lam Research Corporation System and method for monitoring temperatures of and controlling multiplexed heater array
CA2847437C (en) * 2011-08-30 2017-03-14 Watlow Electric Manufacturing Company Thermal array system
US10388493B2 (en) 2011-09-16 2019-08-20 Lam Research Corporation Component of a substrate support assembly producing localized magnetic fields
US9324589B2 (en) * 2012-02-28 2016-04-26 Lam Research Corporation Multiplexed heater array using AC drive for semiconductor processing
US8809747B2 (en) 2012-04-13 2014-08-19 Lam Research Corporation Current peak spreading schemes for multiplexed heated array
US9984866B2 (en) * 2012-06-12 2018-05-29 Component Re-Engineering Company, Inc. Multiple zone heater
US10049948B2 (en) 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
US9538583B2 (en) * 2013-01-16 2017-01-03 Applied Materials, Inc. Substrate support with switchable multizone heater
US10217615B2 (en) 2013-12-16 2019-02-26 Lam Research Corporation Plasma processing apparatus and component thereof including an optical fiber for determining a temperature thereof
US9435692B2 (en) 2014-02-05 2016-09-06 Lam Research Corporation Calculating power input to an array of thermal control elements to achieve a two-dimensional temperature output
US9589853B2 (en) 2014-02-28 2017-03-07 Lam Research Corporation Method of planarizing an upper surface of a semiconductor substrate in a plasma etch chamber
JP6219227B2 (ja) * 2014-05-12 2017-10-25 東京エレクトロン株式会社 ヒータ給電機構及びステージの温度制御方法
JP6219229B2 (ja) * 2014-05-19 2017-10-25 東京エレクトロン株式会社 ヒータ給電機構
US9543171B2 (en) * 2014-06-17 2017-01-10 Lam Research Corporation Auto-correction of malfunctioning thermal control element in a temperature control plate of a semiconductor substrate support assembly that includes deactivating the malfunctioning thermal control element and modifying a power level of at least one functioning thermal control element
JP6513938B2 (ja) * 2014-11-21 2019-05-15 日本特殊陶業株式会社 静電チャックの製造方法
US9872341B2 (en) * 2014-11-26 2018-01-16 Applied Materials, Inc. Consolidated filter arrangement for devices in an RF environment
US9779974B2 (en) 2015-06-22 2017-10-03 Lam Research Corporation System and method for reducing temperature transition in an electrostatic chuck
US9864361B2 (en) 2015-06-22 2018-01-09 Lam Research Corporation Flexible temperature compensation systems and methods for substrate processing systems
US10386821B2 (en) 2015-06-22 2019-08-20 Lam Research Corporation Systems and methods for calibrating scalar field contribution values for a limited number of sensors including a temperature value of an electrostatic chuck and estimating temperature distribution profiles based on calibrated values
US10381248B2 (en) 2015-06-22 2019-08-13 Lam Research Corporation Auto-correction of electrostatic chuck temperature non-uniformity
US10763142B2 (en) 2015-06-22 2020-09-01 Lam Research Corporation System and method for determining field non-uniformities of a wafer processing chamber using a wafer processing parameter
US9960009B2 (en) * 2015-07-17 2018-05-01 Lam Research Corporation Methods and systems for determining a fault in a gas heater channel
US10690414B2 (en) * 2015-12-11 2020-06-23 Lam Research Corporation Multi-plane heater for semiconductor substrate support
KR102423818B1 (ko) 2015-12-18 2022-07-21 삼성전자주식회사 정전척 어셈블리 및 그를 포함하는 반도체 제조장치, 그리고 정전척 온도 측정방법
JP6226092B2 (ja) * 2016-03-14 2017-11-08 Toto株式会社 静電チャック
DE102017002875B4 (de) 2016-03-30 2018-11-29 Ngk Insulators, Ltd. Übergangsmetalloxid-enthaltende Cerdioxidteilchen
KR102329513B1 (ko) * 2016-05-10 2021-11-23 램 리써치 코포레이션 적층된 히터와 히터 전압 입력부들 사이의 연결부들
US11069553B2 (en) * 2016-07-07 2021-07-20 Lam Research Corporation Electrostatic chuck with features for preventing electrical arcing and light-up and improving process uniformity
JP6238097B1 (ja) * 2016-07-20 2017-11-29 Toto株式会社 静電チャック
US20180053666A1 (en) * 2016-08-19 2018-02-22 Applied Materials, Inc. Substrate carrier with array of independently controllable heater elements
US10366867B2 (en) 2016-08-19 2019-07-30 Applied Materials, Inc. Temperature measurement for substrate carrier using a heater element array
JP2018063974A (ja) * 2016-10-11 2018-04-19 東京エレクトロン株式会社 温度制御装置、温度制御方法、および載置台
US10910195B2 (en) 2017-01-05 2021-02-02 Lam Research Corporation Substrate support with improved process uniformity
KR102435888B1 (ko) * 2017-07-04 2022-08-25 삼성전자주식회사 정전 척, 기판 처리 장치 및 그를 이용한 반도체 소자의 제조방법
DE102018101010A1 (de) * 2017-09-25 2019-03-28 X-Fab Semiconductor Foundries Ag Echtzeit Monitoring eines Mehrzonen-Vertikalofens mit frühzeitiger Erkennung eines Ausfalls eines Heizzonen-Elements
TWI688033B (zh) * 2017-11-13 2020-03-11 萬潤科技股份有限公司 載台
CN212365925U (zh) * 2017-11-21 2021-01-15 沃特洛电气制造公司 一种支撑基座
EP3492935B1 (en) * 2017-12-01 2021-08-11 Mitsubishi Electric R&D Centre Europe B.V. Health monitoring of power semiconductor device
CN110646684B (zh) * 2018-06-27 2022-06-14 北京北方华创微电子装备有限公司 加热器故障检测装置及方法、加热系统、半导体加工设备
CN110873609B (zh) * 2018-08-31 2021-04-30 德运创鑫(北京)科技有限公司 加热设备故障检测方法与具有故障检测功能的加热系统
US20210384053A1 (en) * 2018-10-31 2021-12-09 Lam Research Corporation Identification of and compensation for a failure in a heater array
KR102410816B1 (ko) * 2018-12-12 2022-06-21 주식회사 원익아이피에스 기판 처리 장치 및 이를 이용한 제어 방법
CN111383894B (zh) * 2018-12-29 2022-12-30 中微半导体设备(上海)股份有限公司 一种等离子处理器以及静电夹盘加热方法
CN111385917B (zh) * 2018-12-29 2022-07-15 中微半导体设备(上海)股份有限公司 一种用于组装esc的多平面多路可调节温度的加热器
KR102654890B1 (ko) * 2021-08-27 2024-04-05 세메스 주식회사 기판 처리 장치 및 발열체의 온도 제어 방법
US20230158573A1 (en) * 2021-11-19 2023-05-25 Xerox Corporation Metal drop ejecting three-dimensional (3d) object printer having an improved heated build platform

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004319953A (ja) 2003-03-31 2004-11-11 Kokusai Electric Semiconductor Service Inc ヒータ検査装置及びそれを搭載した半導体製造装置

Family Cites Families (161)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3440883A (en) 1966-12-01 1969-04-29 Monsanto Co Electronic semiconductor thermometer
US3752956A (en) * 1972-05-03 1973-08-14 Du Pont Electrical resistance heating control circuit
JPS601918A (ja) 1983-06-17 1985-01-08 Fuji Electric Co Ltd マトリツクス形選択回路
JPS621176A (ja) 1985-06-26 1987-01-07 Hitachi Ltd ヘツド支持装置
JPS6298610A (ja) 1985-10-25 1987-05-08 Hitachi Ltd 結晶成長用基板加熱機構
US5536918A (en) 1991-08-16 1996-07-16 Tokyo Electron Sagami Kabushiki Kaisha Heat treatment apparatus utilizing flat heating elements for treating semiconductor wafers
FR2682253A1 (fr) 1991-10-07 1993-04-09 Commissariat Energie Atomique Sole chauffante destinee a assurer le chauffage d'un objet dispose a sa surface et reacteur de traitement chimique muni de ladite sole.
US5255520A (en) 1991-12-20 1993-10-26 Refir Technologies Advanced thermoelectric heating and cooling system
JP3440475B2 (ja) 1992-06-29 2003-08-25 アイシン精機株式会社 人体局部洗浄装置
US5414245A (en) 1992-08-03 1995-05-09 Hewlett-Packard Corporation Thermal-ink heater array using rectifying material
DE4231702C2 (de) 1992-09-22 1995-05-24 Litef Gmbh Thermoelektrische, beheizbare Kühlkammer
KR100290748B1 (ko) 1993-01-29 2001-06-01 히가시 데쓰로 플라즈마 처리장치
US5591269A (en) * 1993-06-24 1997-01-07 Tokyo Electron Limited Vacuum processing apparatus
JPH0778668A (ja) * 1993-09-07 1995-03-20 Fanuc Ltd ヒータ装置の異常検出装置
US5504471A (en) 1993-09-16 1996-04-02 Hewlett-Packard Company Passively-multiplexed resistor array
JP2647799B2 (ja) * 1994-02-04 1997-08-27 日本碍子株式会社 セラミックスヒーター及びその製造方法
JPH08130184A (ja) * 1994-10-31 1996-05-21 Sony Corp 熱処理装置
JP3257328B2 (ja) 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US5667622A (en) 1995-08-25 1997-09-16 Siemens Aktiengesellschaft In-situ wafer temperature control apparatus for single wafer tools
JPH09213781A (ja) 1996-02-01 1997-08-15 Tokyo Electron Ltd 載置台構造及びそれを用いた処理装置
US6095084A (en) 1996-02-02 2000-08-01 Applied Materials, Inc. High density plasma process chamber
US5740016A (en) 1996-03-29 1998-04-14 Lam Research Corporation Solid state temperature controlled substrate holder
WO1998005060A1 (en) 1996-07-31 1998-02-05 The Board Of Trustees Of The Leland Stanford Junior University Multizone bake/chill thermal cycling module
US5702624A (en) * 1996-10-09 1997-12-30 Taiwan Semiconductors Manfuacturing Company, Ltd Compete hot plate temperature control system for hot treatment
KR200159921Y1 (ko) 1996-11-23 1999-11-01 이세원 리프터의 업/다운 제어회로
US5994675A (en) 1997-03-07 1999-11-30 Semitool, Inc. Semiconductor processing furnace heating control system
JP3526184B2 (ja) 1997-03-17 2004-05-10 大日本スクリーン製造株式会社 基板処理装置
JPH11126743A (ja) 1997-10-24 1999-05-11 Tokyo Electron Ltd 処理装置
ES2184336T3 (es) * 1997-11-07 2003-04-01 Shell Oil Co Control de elementos calentadores.
US6091060A (en) 1997-12-31 2000-07-18 Temptronic Corporation Power and control system for a workpiece chuck
US6222161B1 (en) 1998-01-12 2001-04-24 Tokyo Electron Limited Heat treatment apparatus
US6112697A (en) 1998-02-19 2000-09-05 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods
US5886866A (en) 1998-07-06 1999-03-23 Applied Materials, Inc. Electrostatic chuck having a combination electrode structure for substrate chucking, heating and biasing
JP3892609B2 (ja) 1999-02-16 2007-03-14 株式会社東芝 ホットプレートおよび半導体装置の製造方法
DE19907497C2 (de) 1999-02-22 2003-05-28 Steag Hamatech Ag Vorrichtung und Verfahren zur Wärmebehandlung von Substraten
US6469283B1 (en) * 1999-03-04 2002-10-22 Applied Materials, Inc. Method and apparatus for reducing thermal gradients within a substrate support
US6353209B1 (en) 1999-03-04 2002-03-05 Board Of Trustees Of The Leland Stanford Junior University Temperature processing module
US6523493B1 (en) 2000-08-01 2003-02-25 Tokyo Electron Limited Ring-shaped high-density plasma source and method
US6310755B1 (en) * 1999-05-07 2001-10-30 Applied Materials, Inc. Electrostatic chuck having gas cavity and method
JP2000332089A (ja) 1999-05-18 2000-11-30 Toshiba Ceramics Co Ltd ウエハ加熱保持用静電チャック
US6100506A (en) 1999-07-26 2000-08-08 International Business Machines Corporation Hot plate with in situ surface temperature adjustment
JP2004303736A (ja) 1999-08-09 2004-10-28 Ibiden Co Ltd セラミックヒータ
JP2001118662A (ja) 1999-08-09 2001-04-27 Ibiden Co Ltd セラミックヒータ
US6175175B1 (en) 1999-09-10 2001-01-16 The University Of Chicago Levitation pressure and friction losses in superconducting bearings
US6740853B1 (en) 1999-09-29 2004-05-25 Tokyo Electron Limited Multi-zone resistance heater
JP4505169B2 (ja) 1999-09-29 2010-07-21 東京エレクトロン株式会社 多重領域抵抗ヒータ
JP2001102157A (ja) 1999-10-01 2001-04-13 Ngk Insulators Ltd セラミックスヒータ
WO2001031978A1 (fr) 1999-10-22 2001-05-03 Ibiden Co., Ltd. Plaque chauffante en ceramique
JP2001126743A (ja) 1999-10-26 2001-05-11 Matsushita Electric Ind Co Ltd 高分子電解質型燃料電池
JP2001203257A (ja) 2000-01-20 2001-07-27 Sumitomo Electric Ind Ltd 半導体製造装置用ウェハ保持体
US6888106B2 (en) 2000-04-07 2005-05-03 Ibiden Co., Ltd. Ceramic heater
US6271459B1 (en) 2000-04-26 2001-08-07 Wafermasters, Inc. Heat management in wafer processing equipment using thermoelectric device
JP2002059579A (ja) 2000-08-15 2002-02-26 Casio Comput Co Ltd 駆動回路
US6403403B1 (en) 2000-09-12 2002-06-11 The Aerospace Corporation Diode isolated thin film fuel cell array addressing method
JP3764639B2 (ja) 2000-09-13 2006-04-12 株式会社日立製作所 プラズマ処理装置および半導体装置の製造方法
US6475336B1 (en) 2000-10-06 2002-11-05 Lam Research Corporation Electrostatically clamped edge ring for plasma processing
AU2002212963A1 (en) 2000-10-25 2002-05-06 Tokyo Electron Limited Method of and structure for controlling electrode temperature
DE10059665C1 (de) 2000-12-01 2002-07-11 Steag Hamatech Ag Verfahren zum thermischen Behandeln von Substraten
US6501052B2 (en) 2000-12-22 2002-12-31 Chrysalis Technologies Incorporated Aerosol generator having multiple heating zones and methods of use thereof
JP5000842B2 (ja) 2001-03-02 2012-08-15 東京エレクトロン株式会社 サセプタの駆動温度制御のための方法並びに装置
US6746616B1 (en) 2001-03-27 2004-06-08 Advanced Micro Devices, Inc. Method and apparatus for providing etch uniformity using zoned temperature control
US6741446B2 (en) 2001-03-30 2004-05-25 Lam Research Corporation Vacuum plasma processor and method of operating same
JP3582518B2 (ja) 2001-04-18 2004-10-27 住友電気工業株式会社 抵抗発熱体回路パターンとそれを用いた基板処理装置
KR100425445B1 (ko) * 2001-04-24 2004-03-30 삼성전자주식회사 플라즈마 에칭 챔버 및 이를 이용한 포토마스크 제조 방법
WO2002089531A1 (en) 2001-04-30 2002-11-07 Lam Research, Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
US6847014B1 (en) 2001-04-30 2005-01-25 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
US20050211385A1 (en) 2001-04-30 2005-09-29 Lam Research Corporation, A Delaware Corporation Method and apparatus for controlling spatial temperature distribution
US7161121B1 (en) 2001-04-30 2007-01-09 Lam Research Corporation Electrostatic chuck having radial temperature control capability
US6795292B2 (en) 2001-05-15 2004-09-21 Dennis Grimard Apparatus for regulating temperature of a process kit in a semiconductor wafer-processing chamber
ITMI20011253A1 (it) * 2001-06-14 2002-12-14 Whirlpool Co Sistema di gestione della potenza in apparecchi di cottura elettrici
US20060191637A1 (en) 2001-06-21 2006-08-31 John Zajac Etching Apparatus and Process with Thickness and Uniformity Control
US6483690B1 (en) 2001-06-28 2002-11-19 Lam Research Corporation Ceramic electrostatic chuck assembly and method of making
US6693262B2 (en) * 2001-10-17 2004-02-17 Whirlpool Corporation Cooking hob with discrete distributed heating elements
JP3897563B2 (ja) 2001-10-24 2007-03-28 日本碍子株式会社 加熱装置
US6739138B2 (en) 2001-11-26 2004-05-25 Innovations Inc. Thermoelectric modules and a heating and cooling apparatus incorporating same
JP3559549B2 (ja) 2002-01-29 2004-09-02 京セラ株式会社 ウエハ加熱装置
JP4030787B2 (ja) * 2002-03-04 2008-01-09 東京エレクトロン株式会社 基板加熱方法、基板加熱装置及び塗布、現像装置
US6921724B2 (en) 2002-04-02 2005-07-26 Lam Research Corporation Variable temperature processes for tunable electrostatic chuck
US6612673B1 (en) 2002-04-29 2003-09-02 Hewlett-Packard Development Company, L.P. System and method for predicting dynamic thermal conditions of an inkjet printing system
JP3808407B2 (ja) 2002-07-05 2006-08-09 住友大阪セメント株式会社 電極内蔵型サセプタ及びその製造方法
JP4403073B2 (ja) 2002-07-11 2010-01-20 テンプトロニック コーポレイション 熱電気モジュールのための隙間を作る層間スペーサを有する熱制御アセンブリを備えるワークピースチャック
US6825681B2 (en) 2002-07-19 2004-11-30 Delta Design, Inc. Thermal control of a DUT using a thermal control substrate
US7504006B2 (en) 2002-08-01 2009-03-17 Applied Materials, Inc. Self-ionized and capacitively-coupled plasma for sputtering and resputtering
JP3924524B2 (ja) 2002-10-29 2007-06-06 京セラ株式会社 ウエハ加熱装置およびその製造方法
US7347901B2 (en) 2002-11-29 2008-03-25 Tokyo Electron Limited Thermally zoned substrate holder assembly
US7372001B2 (en) 2002-12-17 2008-05-13 Nhk Spring Co., Ltd. Ceramics heater
US6979805B2 (en) 2003-01-08 2005-12-27 Hewlett-Packard Development Company, L.P. Fuel-cell resistors and methods
US6825617B2 (en) 2003-02-27 2004-11-30 Hitachi High-Technologies Corporation Semiconductor processing apparatus
WO2004095531A2 (en) 2003-03-28 2004-11-04 Tokyo Electron Ltd Method and system for temperature control of a substrate
US6989210B2 (en) 2003-04-23 2006-01-24 Hewlett-Packard Development Company, L.P. Fuel cartridge with thermo-degradable barrier system
US8974630B2 (en) 2003-05-07 2015-03-10 Sungkyunkwan University Inductively coupled plasma processing apparatus having internal linear antenna for large area processing
US20040222210A1 (en) 2003-05-08 2004-11-11 Hongy Lin Multi-zone ceramic heating system and method of manufacture thereof
JP2005026120A (ja) 2003-07-03 2005-01-27 Ibiden Co Ltd セラミックヒータ
US20050016465A1 (en) 2003-07-23 2005-01-27 Applied Materials, Inc. Electrostatic chuck having electrode with rounded edge
TWI247551B (en) 2003-08-12 2006-01-11 Ngk Insulators Ltd Method of manufacturing electrical resistance heating element
JP4686967B2 (ja) * 2003-10-14 2011-05-25 セイコーエプソン株式会社 光素子の製造方法
US6913571B2 (en) * 2003-10-14 2005-07-05 Datex-Ohmeda, Inc. Direct heater control for infant care apparatus
JP2005123286A (ja) 2003-10-15 2005-05-12 Hitachi Kokusai Electric Inc 基板処理装置
JP2005136025A (ja) 2003-10-29 2005-05-26 Trecenti Technologies Inc 半導体製造装置、半導体装置の製造方法及びウエハステージ
JP2005150370A (ja) 2003-11-14 2005-06-09 Kyocera Corp 静電チャック
KR20050053464A (ko) 2003-12-01 2005-06-08 정준호 직렬 연결된 2개의 다이오드를 이용한 반도체 기억소자
JP4602662B2 (ja) 2003-12-01 2010-12-22 株式会社ブリヂストン セラミックヒータユニット
US20100257871A1 (en) 2003-12-11 2010-10-14 Rama Venkatasubramanian Thin film thermoelectric devices for power conversion and cooling
US7163722B2 (en) 2003-12-19 2007-01-16 Lcd Lighting, Inc. Device and method for coating serpentine fluorescent lamps
US7250309B2 (en) 2004-01-09 2007-07-31 Applied Materials, Inc. Integrated phase angle and optical critical dimension measurement metrology for feed forward and feedback process control
JP4349952B2 (ja) 2004-03-24 2009-10-21 京セラ株式会社 ウェハ支持部材とその製造方法
US7141763B2 (en) 2004-03-26 2006-11-28 Tokyo Electron Limited Method and apparatus for rapid temperature change and control
US7697260B2 (en) 2004-03-31 2010-04-13 Applied Materials, Inc. Detachable electrostatic chuck
JP2005294237A (ja) 2004-04-05 2005-10-20 Aun:Kk 面状ヒーター
JP4281605B2 (ja) 2004-04-08 2009-06-17 住友電気工業株式会社 半導体加熱装置
US20050229854A1 (en) 2004-04-15 2005-10-20 Tokyo Electron Limited Method and apparatus for temperature change and control
US7415312B2 (en) 2004-05-25 2008-08-19 Barnett Jr James R Process module tuning
KR20050121913A (ko) 2004-06-23 2005-12-28 삼성전자주식회사 베이크 장치
US7143222B2 (en) 2004-09-21 2006-11-28 International Business Machines Corporation Adaptive message delivery system
US7396431B2 (en) 2004-09-30 2008-07-08 Tokyo Electron Limited Plasma processing system for treating a substrate
KR100632544B1 (ko) 2004-12-15 2006-10-09 현대자동차주식회사 직류변환기의 게이트 드라이버 회로
US7475551B2 (en) 2004-12-23 2009-01-13 Nanocoolers, Inc. System employing temporal integration of thermoelectric action
US20060226123A1 (en) 2005-04-07 2006-10-12 Applied Materials, Inc. Profile control using selective heating
JP4593381B2 (ja) * 2005-06-20 2010-12-08 東京エレクトロン株式会社 上部電極、プラズマ処理装置およびプラズマ処理方法
JP4964238B2 (ja) * 2005-06-29 2012-06-27 ワトロウ エレクトリック マニュファクチュアリング カンパニー スマート積層ヒーター面
JP4667158B2 (ja) 2005-08-09 2011-04-06 パナソニック株式会社 ウェーハレベルバーンイン方法
JP3933174B2 (ja) 2005-08-24 2007-06-20 住友電気工業株式会社 ヒータユニットおよびそれを備えた装置
JP3972944B2 (ja) 2005-09-12 2007-09-05 住友電気工業株式会社 セラミックスヒータ及びそれを備えた半導体製造装置
JP2007081160A (ja) 2005-09-14 2007-03-29 Fujitsu Ltd 半導体装置の製造方法
JP4483751B2 (ja) 2005-09-16 2010-06-16 株式会社デンソー 電源逆接続保護回路
US20070125762A1 (en) * 2005-12-01 2007-06-07 Applied Materials, Inc. Multi-zone resistive heater
JP2007242913A (ja) 2006-03-09 2007-09-20 Hitachi High-Technologies Corp 試料載置電極及びそれを用いたプラズマ処理装置
JP4699283B2 (ja) * 2006-05-23 2011-06-08 東京エレクトロン株式会社 熱処理板の温度制御方法、プログラム及び熱処理板の温度制御装置
US8168050B2 (en) 2006-07-05 2012-05-01 Momentive Performance Materials Inc. Electrode pattern for resistance heating element and wafer processing apparatus
JP4394667B2 (ja) 2006-08-22 2010-01-06 日本碍子株式会社 ヒータ付き静電チャックの製造方法
US7501605B2 (en) 2006-08-29 2009-03-10 Lam Research Corporation Method of tuning thermal conductivity of electrostatic chuck support assembly
US7297894B1 (en) 2006-09-25 2007-11-20 Tokyo Electron Limited Method for multi-step temperature control of a substrate
US7723648B2 (en) 2006-09-25 2010-05-25 Tokyo Electron Limited Temperature controlled substrate holder with non-uniform insulation layer for a substrate processing system
US7557328B2 (en) 2006-09-25 2009-07-07 Tokyo Electron Limited High rate method for stable temperature control of a substrate
JP4850664B2 (ja) 2006-11-02 2012-01-11 東京エレクトロン株式会社 熱処理板の温度設定方法、プログラム、プログラムを記録したコンピュータ読み取り可能な記録媒体及び熱処理板の温度設定装置
KR20080058109A (ko) 2006-12-21 2008-06-25 동부일렉트로닉스 주식회사 웨이퍼 가열장치 및 가열방법
US8222574B2 (en) 2007-01-15 2012-07-17 Applied Materials, Inc. Temperature measurement and control of wafer support in thermal processing chamber
US20080197015A1 (en) 2007-02-16 2008-08-21 Terry Bluck Multiple-magnetron sputtering source with plasma confinement
JP2010521820A (ja) 2007-03-12 2010-06-24 東京エレクトロン株式会社 基板内での処理の均一性を改善するための動的な温度背面ガス制御
KR100849069B1 (ko) 2007-04-20 2008-07-30 주식회사 하이닉스반도체 정전기 방전 보호 장치
JP2008306176A (ja) 2007-05-08 2008-12-18 Tokyo Electron Ltd 化合物半導体の熱処理方法及びその装置
US8057602B2 (en) 2007-05-09 2011-11-15 Applied Materials, Inc. Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber
US20090000738A1 (en) 2007-06-29 2009-01-01 Neil Benjamin Arrays of inductive elements for minimizing radial non-uniformity in plasma
JP5169046B2 (ja) 2007-07-20 2013-03-27 ウシオ電機株式会社 光照射式加熱処理装置
US20090031955A1 (en) 2007-07-30 2009-02-05 Applied Materials, Inc. Vacuum chucking heater of axisymmetrical and uniform thermal profile
JP4486135B2 (ja) 2008-01-22 2010-06-23 東京エレクトロン株式会社 温度制御機構およびそれを用いた処理装置
JP5351479B2 (ja) 2008-01-28 2013-11-27 東京エレクトロン株式会社 加熱源の冷却構造
JP5307445B2 (ja) 2008-04-28 2013-10-02 日本碍子株式会社 基板保持体及びその製造方法
US20100116788A1 (en) 2008-11-12 2010-05-13 Lam Research Corporation Substrate temperature control by using liquid controlled multizone substrate support
JP2010153730A (ja) 2008-12-26 2010-07-08 Omron Corp 配線構造、ヒータ駆動装置、計測装置および制御システム
GB2470063B (en) 2009-05-08 2011-09-28 Siemens Magnet Technology Ltd Quench propagation circuit for superconducting magnets
WO2011006018A2 (en) 2009-07-08 2011-01-13 Plasmasi, Inc. Apparatus and method for plasma processing
US8637794B2 (en) 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
JP6066728B2 (ja) 2009-12-15 2017-01-25 ラム リサーチ コーポレーションLam Research Corporation Cdの均一性を向上させるための基板温度調整を行う方法及びプラズマエッチングシステム
US8791392B2 (en) * 2010-10-22 2014-07-29 Lam Research Corporation Methods of fault detection for multiplexed heater array
US8546732B2 (en) * 2010-11-10 2013-10-01 Lam Research Corporation Heating plate with planar heater zones for semiconductor processing
US9307578B2 (en) 2011-08-17 2016-04-05 Lam Research Corporation System and method for monitoring temperatures of and controlling multiplexed heater array
CN103828031B (zh) * 2011-08-17 2016-10-26 朗姆研究公司 用于监测复用加热器阵列的温度并控制该阵列的系统和方法
US8624168B2 (en) 2011-09-20 2014-01-07 Lam Research Corporation Heating plate with diode planar heater zones for semiconductor processing
US9324589B2 (en) 2012-02-28 2016-04-26 Lam Research Corporation Multiplexed heater array using AC drive for semiconductor processing
US10217615B2 (en) 2013-12-16 2019-02-26 Lam Research Corporation Plasma processing apparatus and component thereof including an optical fiber for determining a temperature thereof

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004319953A (ja) 2003-03-31 2004-11-11 Kokusai Electric Semiconductor Service Inc ヒータ検査装置及びそれを搭載した半導体製造装置

Also Published As

Publication number Publication date
US10568163B2 (en) 2020-02-18
KR20140009152A (ko) 2014-01-22
KR20160025635A (ko) 2016-03-08
WO2012054198A2 (en) 2012-04-26
CN103168345B (zh) 2015-09-23
TWI541517B (zh) 2016-07-11
SG10201508636RA (en) 2015-11-27
CN103168345A (zh) 2013-06-19
KR101599339B1 (ko) 2016-03-03
JP6266034B2 (ja) 2018-01-24
WO2012054198A3 (en) 2012-06-21
JP5925789B2 (ja) 2016-05-25
JP2014502037A (ja) 2014-01-23
US20120097661A1 (en) 2012-04-26
SG189218A1 (en) 2013-05-31
US8791392B2 (en) 2014-07-29
CN105206552A (zh) 2015-12-30
JP2016165006A (ja) 2016-09-08
TW201229528A (en) 2012-07-16
CN105206552B (zh) 2018-06-26
US20140263274A1 (en) 2014-09-18

Similar Documents

Publication Publication Date Title
KR101697054B1 (ko) 멀티플렉싱된 히터 어레이에 대한 폴트 검출 방법
US9713200B2 (en) System and method for monitoring temperatures of and controlling multiplexed heater array
US8809747B2 (en) Current peak spreading schemes for multiplexed heated array
US10056225B2 (en) Adjusting substrate temperature to improve CD uniformity
JP6067705B2 (ja) 多重ヒータ配列の温度監視及び制御のためのシステムと方法
TWI608563B (zh) 半導體處理用之設有平坦加熱器區的加熱板
KR101915371B1 (ko) 반도체 처리를 위한 다이오드 평탄한 히터존들을 갖는 가열 플레이트
JP2019505092A5 (ko)
KR20230031571A (ko) 기판 처리 장치 및 발열체의 온도 제어 방법

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20191226

Year of fee payment: 4