JPWO2004095560A1 - 半導体製造装置および半導体装置の製造方法 - Google Patents

半導体製造装置および半導体装置の製造方法 Download PDF

Info

Publication number
JPWO2004095560A1
JPWO2004095560A1 JP2005505704A JP2005505704A JPWO2004095560A1 JP WO2004095560 A1 JPWO2004095560 A1 JP WO2004095560A1 JP 2005505704 A JP2005505704 A JP 2005505704A JP 2005505704 A JP2005505704 A JP 2005505704A JP WO2004095560 A1 JPWO2004095560 A1 JP WO2004095560A1
Authority
JP
Japan
Prior art keywords
substrate
susceptor
electrode
manufacturing apparatus
semiconductor manufacturing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2005505704A
Other languages
English (en)
Other versions
JP4347295B2 (ja
Inventor
笠次 克尚
克尚 笠次
宮田 敏光
敏光 宮田
石坂 光範
光範 石坂
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Kokusai Electric Inc
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Publication of JPWO2004095560A1 publication Critical patent/JPWO2004095560A1/ja
Application granted granted Critical
Publication of JP4347295B2 publication Critical patent/JP4347295B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T279/00Chucks or sockets
    • Y10T279/23Chucks or sockets with magnetic or electrostatic means

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)
  • Resistance Heating (AREA)

Abstract

MMT装置の処理炉(202)の外部には筒状電極(215)および筒状磁石(216)が設置され、処理炉の処理室(201)の内部にはウエハ(200)を保持するサセプタ(217)が設置され、処理炉にはウエハに処理ガスをシャワー状に吹き付けるシャワーヘッド(236)とウエハを処理室に搬入搬出するためのゲートバルブ(244)が設置されている。サセプタ(217)の内部には高周波電極(2)およびヒータ(3)が、空間を形成する壁との間に間隙をとって配置されている。高周波電極およびヒータとサセプタの空間を形成する壁との間に間隙を有するので、高周波電極およびヒータとサセプタとの間に熱膨張差が発生しても、高周波電極やヒータの破損を防止できる。

Description

本発明は、被処理基板に対してプラズマ処理を実施する半導体製造装置に関する。
従来のこの種の半導体製造装置としては、真空容器中に配置された基板保持手段に被処理基板を載置し、処理ガスを供給しつつ真空容器を排気し、各種のプラズマ発生源にて処理ガスをプラズマ放電して、このプラズマ放電によって活性化した処理ガスによって被処理基板にプラズマ処理を施すもの、がある。
基板保持手段にはプラズマ処理の必要性に応じて、ヒータおよび高周波電極が配置されている。ヒータは被処理基板を加熱するためのものである。高周波電圧が印加される高周波電極は被処理基板にバイアス電圧を印加するためのものである。また、高周波電極は真空容器中でプラズマを発生するための電極としても用いられる。
しかし、このような半導体製造装置にあっては、ヒータによる加熱効率が低いという問題点がある。
本発明の目的は、加熱効率の良好な半導体製造装置を提供することにある。
また、前記した半導体製造装置にあっては、ヒータによって被処理基板を加熱した際に、基板保持手段と高周波電極との熱膨張率の差により、高周波電極が破損するという問題点がある。
本発明の目的は、高周波電極の破損を防止することができる半導体製造装置を提供することにある。
本発明は、真空容器に処理ガスを供給しつつ排気して基板を処理する半導体製造装置において、前記基板を保持する基板保持手段が前記真空容器内に配置されており、前記基板保持手段の片側には前記基板を保持する基板保持部が設けられており、前記基板保持手段の内部には基板加熱手段が設けられており、前記基板保持手段の内部の前記基板加熱手段が設置された空間は大気に連通されていることを特徴とする。
このことにより、基板保持手段と基板加熱手段との間に熱膨張率の差があっても、基板加熱手段が破損するのを防止することができる。
また、本発明は、真空容器に処理ガスを供給しつつ排気して基板を処理する半導体製造装置において、前記基板を保持する基板保持手段が前記真空容器内に配置されており、前記基板保持手段内部には高周波電極を設置する空間が設けられ、前記高周波電極は前記空間を形成する壁と間隙を介して配置されており、前記空間は大気に連通されていることを特徴とする。
このことにより、基板保持手段と高周波電極との間に熱膨張率の差があっても、高周波電極が破損するのを防止することができる。
第1図は、本発明の第1の実施の形態であるMMT装置を示す概略構成図である。
第2図は、そのサセプタを示す正面断面図である。
第3図は、本発明の第2の実施の形態であるMMT装置のサセプタの一部を示す正面断面図である。
第4図は、第3図のIV−IV線に沿う平面図である。
第5図は、本発明の第3の実施の形態であるMMT装置のサセプタを示す一部切断正面図である。
以下、本発明の一実施の形態を図面に即して説明する。
本実施の形態において、本発明に係る半導体製造装置は、電界と磁界により高密度プラズマを生成できる変形マグネトロン型プラズマ源(Modified Magnetron Typed Plasma Source)を用いたプラズマ処理装置(以下、MMT装置と称する。)として構成されている。本実施の形態に係るMMT装置は半導体素子を含む集積回路装置が作り込まれる半導体ウエハ(以下、ウエハという。)にプラズマ処理するように構成されている。
MMT装置においては、気密性を確保した処理室にウエハが設置される。反応ガスは処理室にシャワープレートを介して導入される。処理室の圧力は所定の圧力に維持され、高周波電力が放電用電極に供給され、電界を形成されるとともに、磁界が形成されてマグネトロン放電が起こされる。放電用電極から放出された電子がドリフトしながらサイクロイド運動を続けて周回することにより、長寿命となって電離生成率を高めるので、高密度プラズマを生成できる。このように反応ガスを励起分解させて、MMT装置はウエハ表面を酸化または窒化等の拡散処理を施したり、ウエハ表面に薄膜を形成したり、ウエハ表面をエッチングする等、ウエハへ各種のプラズマ処理を施すことができる。
第1図はウエハにプラズマ処理を施すMMT装置の概略構成図を示している。
本実施の形態に係るMMT装置は処理室201を備えており、処理室201は第2の容器である下側容器211と、下側容器211の上に被せられる第1の容器である上側容器210とから形成されている。上側容器210はドーム型の酸化アルミニウムまたは石英で形成されており、下側容器211はアルミニウムで形成されている。ちなみに、後述するヒーター体型の基板保持手段であるサセプタ217を石英または窒化アルミニウムで構成することによって、処理の際に膜中に取り込まれる金属汚染を低減している。
上側容器210の上部にはガス分散空間であるバッファ室237を形成するシャワーヘッド236が設けられており、シャワーヘッド236の上壁にはガス導入用の導入口であるガス導入口234が設けられている。シャワーヘッド236の下壁はガスを噴出する噴出口であるガス噴出孔234aを有するシャワープレート240によって構成されている。ガス導入口234は反応ガス230のガスボンベ(図示せず)に、ガスを供給する供給管であるガス供給管232によって接続されている。ガス供給管232には、開閉弁であるバルブ243aおよび流量制御手段であるマスフローコントローラ241が介設されている。シャワーヘッド236から処理室201に供給された反応ガス230が、また、処理後のガスがサセプタ217の周囲から処理室201の底方向へ流れるように、下側容器211の側壁にはガスを排気する排気口であるガス排気口235が設けられている。ガス排気口235はガスを排気する排気管であるガス排気管231によって排気装置である真空ポンプ246に接続されており、ガス排気管231には圧力調整器(以下、APCという。)242および開閉弁であるバルブ243bが介設されている。
MMT装置は供給される反応ガス230を励起させる放電手段としての第1の電極215を備えている。第1の電極215は筒形状、好適には円筒形状に形成されている。第1の電極(以下、筒状電極という。)215は処理室201の外周に設置されて、処理室201内のプラズマ生成領域224を囲んでいる。筒状電極215には高周波電力を印加する高周波電源273が、インピーダンスの整合を行う整合器272を介して接続されている。
また、MMT装置は磁界形成手段である永久磁石216を上下で一対備えている。永久磁石216は筒形状、好適には円筒形状に形成されている。一対の永久磁石(以下、筒状磁石という。)216、216は筒状電極215の外表面の上下端近傍に配置されている。上下の筒状磁石216、216は処理室201の半径方向に沿った両端(内周端と外周端)に磁極をそれぞれ持っており、上下の筒状磁石216、216の磁極の向きが逆向きに設定されている。したがって、内周部の磁極同士が異極となっており、これにより、筒状電極215の内周面に沿って円筒軸方向に磁力線を形成するようになっている。
第1図に示されているように、処理室201の底側の中央には被処理基板を保持する基板保持手段としてのサセプタ217が配置されている。サセプタ217の詳細構造は第2図に示されている。サセプタ217は円筒形状のシャフト6によって支持されており、シャフト6の下端開口にはカバー7が被せられている。サセプタ217は石英によって構成されている。サセプタ217を石英によって構成すると、優れた耐熱性能を得ることができるとともに、ウエハ200の金属汚染を防止することができる。シャフト6も石英によって構成されている。シャフト6を石英によって構成することにより、優れた耐熱性能を得ることができるとともに、ウエハ200の金属汚染を防止することができ、かつまた、サセプタ217に溶接し易いという効果を得ることができる。
サセプタ217の内部には被処理基板を加熱する加熱手段としてのヒータ3が配置されている。すなわち、サセプタ217の内部にはヒータ設置空間11が形成されており、ヒータ3はヒータ設置空間11に所定の間隙をとって配置されている。ヒータ設置空間11はヒータ配線挿通孔12によって大気に連通されている。ヒータ設置空間11を大気に連通させることにより、サセプタ217の密封構造を簡略化することができるという効果が得られる。ヒータ3は炭化シリコン(SiC)によって形成されている。ヒータ3を炭化シリコンによって形成することにより、700〜750℃程度の高温領域であっても耐酸化性を維持することができる。その結果、ヒータ設置空間11を大気に連通させることができる。例えば、ヒータ3を炭素(C)やニッケル(Ni)によって形成すると、高温領域においては大気の酸素と反応して焼損するので、ヒータ設置空間11を大気に連通させることができない。ヒータ3を耐酸化性を有する白金(Pt)によって形成すると、高温領域でも使用することができる。しかし、白金の抵抗率は小さいので、ヒータ3を薄い膜形状に形成して抵抗を大きく設定する必要が生じたり、印加電力を大きく設定して大電流を流す必要が生じるために、ヒータ3の薄い部分が溶けてしまう不具合が生ずる場合がある。
ヒータ3には電源給電体としてのヒータ配線5がヒータ配線挿通孔12を挿通して接続されている。ヒータ3はヒータ配線5から電力を供給されることにより、ウエハ200を300〜900℃程度にまで加熱できるようになっている。ヒータ配線5はヒータ3の構成材料と同質材である炭化シリコンによって形成されている。ヒータ配線5をヒータ3の構成材料と同質材である炭化シリコンによって形成することにより、ヒータ配線5をヒータ3に溶接によって接続することができるとともに、ヒータ3と同様にヒータ配線5をも大気に晒すことができるという効果を得ることができる。ヒータ配線5はシャフト6の内部を通されてカバー7から外部に引き出されており、カバー7の外側において接続体(端子)によって外部配線(ワイヤハーネス等)に接続されている。ヒータ配線5をシャフト6の内部に挿通すると、シャフト6の内部を処理室201から隔離することにより、ヒータ配線5に処理室201の反応性ガスの影響が及ぶのを防止することができる。また、シャフト6の内部は大気と連通した構造になっており、シャフト6の内部を気密構造に構築する必要がないために、ヒータ配線5の外部配線との接続にはハーメチック端子のような気密構造端子を使用しないで済み、コストを低減することができる。炭化シリコンによって形成されたヒータ配線5を低温度領域であるカバー7の外側において外部配線に接続し、シャフト6の内部を挿通してサセプタ217においてヒータ3に接続することにより、冷却構造を設けなくともヒータの配線系全体としての焼損を防止することができるので、コストを低減することができる。
また、サセプタ217の内部にはインピーダンスを可変する電極(以下、第2の電極という。)2も装備されている。すなわち、サセプタ217の内部には電極設置空間13が形成されており、第2の電極2は電極設置空間13に所定の間隙をとって配置されている。電極設置空間13は電極配線挿通孔14によって大気に連通されている。電極設置空間13を大気に連通させることにより、サセプタ217の密封構造を簡略化することができるという効果が得られる。第2の電極2は白金によって形成されている。第2の電極2を白金によって形成することにより、700〜750℃程度の高温領域であっても耐酸化性を維持することができる。その結果、電極設置空間13を大気に連通させることができる。白金の抵抗率は小さいので、第2の電極2に対する高周波電力の制御量に変化があっても、発熱への悪影響を回避することができ、その結果、ウエハ200に対する加熱温度への影響を抑制することができる。
第2の電極2には電源給電体としての電極配線4が電極配線挿通孔14を挿通して接続されている。第2の電極2は電極配線4から高周波電力を供給されることにより、インピーダンスを制御するようになっている。電極配線4は第2の電極2の構成材料と同質材である白金によって形成されている。電極配線4を第2の電極2の構成材料と同質材である白金によって形成することにより、電極配線4を第2の電極2に溶接によって接続することができるとともに、第2の電極2と同様に電極配線4をも大気に晒すことができるという効果を得ることができる。電極配線4はシャフト6の内部を通されてカバー7から外部に引き出されており、カバー7の外側において接続体(端子)によって外部配線(ワイヤハーネス等)に接続されている。電極配線4をシャフト6の内部に挿通すると、シャフト6の内部を処理室201から隔離することにより、電極配線4に処理室201の反応性ガスの影響が及ぶのを防止することができる。また、シャフト6の内部は大気と連通した構造になっており、シャフト6の内部を気密構造に構築する必要がないために、電極配線4の外部配線との接続にはハーメチック端子のような気密構造端子を使用しないで済み、コストを低減することができる。白金によって形成された電極配線4を低温度領域であるカバー7の外側において外部配線に接続し、シャフト6の内部を挿通してサセプタ217において第2の電極2に接続することにより、冷却構造を設けなくとも第2の電極2の配線系全体としての焼損を防止することができるので、コストを低減することができる。
第2の電極2の電極配線4は第1図に示されたインピーダンス可変機構274を介して基準電位に接続されている。インピーダンス可変機構274はコイルや可変コンデンサから構成され、コイルのパターン数や可変コンデンサの容量値を制御することにより、第2の電極2およびサセプタ217を介してウエハ200の電位を制御できるようになっている。第2の電極2は高周波電源側に接続してもよいし、基準電位側に接続してもよく、必要に応じて選択することができることは勿論である。
ウエハ200をマグネトロン型プラズマ源でのマグネトロン放電により処理するための処理炉202は、少なくとも、処理室201、サセプタ217、筒状電極215、筒状磁石216、シャワーヘッド236および排気口235から構成されており、処理室201でウエハ200をプラズマ処理することが可能となっている。
筒状電極215および筒状磁石216の周囲には、電界や磁界を有効に遮蔽する遮蔽板223が設けられている。この遮蔽板223は筒状電極215および筒状磁石216によって形成される電界や磁界を外部環境や他の処理炉等の装置に悪影響を及ぼさないように構成されている。
サセプタ217は下側容器211と絶縁されている。サセプタ217にはサセプタ217を昇降させる昇降手段であるサセプタ昇降機構268が設けられている。サセプタ昇降機構268は第2図に示されたシャフト6と、シャフト6を上下に駆動する駆動機構(図示せず)とにより構成されている。サセプタ217には貫通孔217aが少なくとも3箇所に開設されており、下側容器211の底面の上には基板を突上げる基板突上げ手段であるウエハ突上げピン266が少なくとも3箇所に設けられている。サセプタ昇降機構268によりサセプタ217が下降させられた時には、ウエハ突上げピン266がサセプタ217と非接触な状態で貫通孔217aを突き抜けるような位置関係となるように、貫通孔217aおよびウエハ突上げピン266は構成されている。
下側容器211の側壁には仕切弁となるゲートバルブ244が設けられている。ゲートバルブ244は開いている時には搬送手段(図示せず)によって処理室201へウエハ200が搬入または搬出し得るように、閉まっている時には処理室201を気密に閉じることができるように構成されている。
MMT装置は制御手段であるコントローラ121を備えている。コントローラ121は高周波電源273、整合器272、バルブ243a、マスフローコントローラ241、APC242、バルブ243b、真空ポンプ246、サセプタ昇降機構268、ゲートバルブ244、サセプタ217に埋め込まれたヒータ3に高周波電力を印加する高周波電源(図示せず)と接続されており、それぞれを制御するように構成されている。
次に、前記構成に係るMMT装置を使用して、ウエハ200の表面またはウエハ200の上に形成された下地膜の表面に所定のプラズマ処理を施す方法について説明する。
ウエハ200は処理炉202を構成する処理室201の外部からウエハを搬送する搬送手段(図示せず)によって処理室201に搬入され、サセプタ217の上に搬送される。この搬送動作の詳細は、次の通りである。
まず、サセプタ217が下った状態になっており、ウエハ突上げピン266の先端がサセプタ217の貫通孔217aを通過してサセプタ217の表面よりも所定の高さ分だけ突き出されている。この状態で、下側容器211に設けられたゲートバルブ244が開き、ウエハ200がウエハ突上げピン266の上端に搬送手段によって載置される。搬送手段が処理室201の外へ退避すると、ゲートバルブ244が閉まる。サセプタ217がサセプタ昇降機構268により上昇すると、サセプタ217の上面にウエハ200が載置される。サセプタ217はウエハ200を処理する位置まで上昇する。
サセプタ217に埋め込まれたヒータ3は予め加熱されており、搬入されたウエハ200を300〜900℃の範囲内でウエハ処理温度に加熱する。真空ポンプ246およびAPC242を用いて処理室201の圧力は0.1〜100Paの範囲内に維持される。
ウエハ200が処理温度に加熱されたら、例えば、処理ガスとして酸素ガスまたは窒素ガスがガス導入口234から処理室201に導入され、サセプタ217に保持されたウエハ200の上面(処理面)に向けてシャワープレート240のガス噴出孔234aからシャワー状に吹き付けられる。同時に、高周波電力が筒状電極215に高周波電源273から整合器272を介して印加される。印加する電力の値は、150〜200W程度の範囲内の出力値である。このとき、インピーダンス可変機構274は所望のインピーダンス値に予め制御しておく。
一対の筒状磁石216、216の磁界の影響を受けてマグネトロン放電が発生し、ウエハ200の上方空間に電荷をトラップしてプラズマ生成領域224に高密度プラズマが生成される。そして、サセプタ217の上のウエハ200の表面にプラズマ処理が、生成された高密度プラズマによって施される。
例えば、ウエハ200の表面に界面酸化防止膜としてのプラズマ窒化膜をMMT装置によって形成する場合の処理条件は、次の通りである。
高周波電力は100〜500W、処理圧力は2〜100Pa、窒素ガス流量は100〜1000sccm(スタンダード・立方センチメートル)、処理温度は25〜600℃、処理時間は1秒以上、膜厚は1〜3nm、である。
また、酸化タンタル膜の膜質改善処理のためのプラズマ酸化処理をMMT装置によって実施する場合の処理条件は、次の通りである。
高周波電力は100〜500W、処理圧力は2〜100Pa、酸素ガス流量は100〜1000sccm、処理温度は25〜600℃、処理時間は1秒以上、である。
表面処理が終わったウエハ200は搬送手段(図示せず)が使用されて、前述したウエハ搬入と逆の手順によって処理室201の外へ搬送される。
なお、コントローラ121により、高周波電源273の電力のON・OFF、整合器272の調整、バルブ243aの開閉、マスフローコントローラ241の流量、APC242の弁開度、バルブ243bの開閉、真空ポンプ246の起動・停止、サセプタ昇降機構268の昇降動作、ゲートバルブ244の開閉、サセプタ217に埋め込まれたヒータ3に高周波電力を印加する高周波電源への電力ON・OFFがそれぞれ制御される。
第3図は本発明の第2の実施の形態であるMMT装置のサセプタの一部を示す正面断面図である。第4図は第3図のIV−IV線に沿う平面図である。
本実施の形態に係るサセプタ217は石英または窒化アルミニウムによって形成されている。サセプタ217は、例えば500℃以上の高温領域になる程サセプタ217内の温度差が大きくなり、強度を保つ必要が生じるので、石英が好適に用いられる。ちなみに、石英または窒化アルミニウムはいずれも、被処理基板であるウエハ200に対して金属汚染等の影響を与えない。
本実施の形態に係るサセプタ217は蓋体である第1サセプタ部材1aと本体である第2サセプタ部材1bとによって構成されている。第2サセプタ部材1bの上面には溝8が格子状に刻設されている。溝8には第2の電極であるメッシュ形状の高周波電極2aが配されており、高周波電極2aの上は第1サセプタ部材1aで覆われている。第1サセプタ部材1aと第2サセプタ部材1bとは、接着剤にて接着されるか、または熱溶着によって固定される。溝8と第1サセプタ部材1aとによって空間8aが形成されている。この空間8aを形成している溝8および第1サセプタ部材1aの壁が空間壁である。
本実施の形態においては、溝8は第2サセプタ部材1bの上面に4mmの間隔で刻設されている。溝8の幅は1.6mmであり、隣り合う溝8と8との間に相対的に形成された凸部9の幅は2.4mmである。高周波電極2aの外径は、溝8の幅寸法よりも小さく、1.2mmである。高周波電極2aが溝8内に配置されると、高周波電極2aの平面方向の両脇には0.2mmの間隙Sがそれぞれ形成される。
なお、溝8の間隔の値は適宜に選択されるべき値である。溝8は公知のローレット状加工やエンボス加工によって形成することができる。
電極配線4は第2サセプタ部材1bの電極配線挿通孔14を挿通して高周波電極2aに接続されており、サセプタ217の内部の空間8aは電極配線挿通孔14によって大気と連通されている。このように空間8aには大気雰囲気が連通されているために、高周波電極2aの材料は、導電性を持つ高融点材料であって耐酸化性を有する白金、パラジウムまたは白金ロジウムの合金から選択することが、好ましい。これらの金属は、300℃〜900℃の温度範囲で用いられても、大気による酸化作用の影響を受けず、断線などを引起さない。
なお、サセプタ217とシャフト6、シャフト6とシャフトカバー7とを気密に接合し、シャフトカバー7に対して電極配線4を気密に貫通させれば、サセプタ217の内部の空間8aが大気雰囲気と遮断されるので、高周波電極2aの材料は300℃〜900℃の温度範囲にて酸化作用の受け易い材料を用いてもよく、導電性があり溶解しない高融点材料を使用することができる。このような材料として、例えば、モリブデン、ニッケルまたはタングステンのいずれかを選択することができる。
本実施の形態によれば、高周波電極2aは第1サセプタ部材1aおよび第2サセプタ部材1b内の空間を形成している壁に対して間隙Sを介して配置されているので、高周波電極2aとサセプタ部材1a、1bとの熱膨張率の差が大きかったとしても、高周波電極2aの破損することを防止することができる。
また、空間8aを設けたことにより、大気雰囲気の進入の有無を考慮して、高周波電極2aの材質を選択すれば、酸化して強度が保てなくなることによる高周波電極2aの破損も防止することができる。
なお、第1サセプタ部材1aと第2サセプタ部材1bとを接着材または熱溶着によって密封して固定することにより、高周波電極2aを処理室201の雰囲気と遮断することができるので、被処理基板であるウエハ200が高周波電極2aから金属汚染の影響を受けるのを防止することができる。
第5図は本発明の第3の実施の形態であるMMT装置のサセプタを示す一部切断正面図である。
本実施の形態に係るMMT装置の全体構成およびサセプタの概略構成は、前述したMMT装置およびサセプタと同じである。
本実施の形態に係るサセプタ217は、上段サセプタ部材1cと中段サセプタ部材1dと下段サセプタ部材1eと載置用サセプタ部材1fとによって構成されており、材質は全体的に石英である。上段サセプタ部材1cの内部には第2の電極である高周波電極2aが設けられている。
載置用サセプタ部材1fは上段サセプタ部材1cと別に製作し、接着剤または熱溶着によって気密に固定する。但し、載置用サセプタ部材1fは上段サセプタ部材1cと一体成形してもよい。
中段サセプタ部材1dの上面には上側凹部10aが設けられ、上側凹部10aには被処理基板であるウエハ200の加熱手段であるヒータ3が配置されている。上側凹部10a側の中段サセプタ部材1dが上段サセプタ部材1cによって覆われ、上段サセプタ部材1cと中段サセプタ部材1dとが接着剤または熱溶着によって気密に固定されている。
下段サセプタ部材1eの上面には下側凹部10bが設けられ、下側凹部10bには被処理基板であるウエハ200の加熱手段であるヒータ3の下側面を覆うように反射部材20が配置されている。下側凹部10b側の下段サセプタ部材1eが中段サセプタ部材1dによって覆われ、下段サセプタ部材1eと中段サセプタ部材1dとが接着剤または熱溶着により気密に固定されている。このようにすると、ウエハ保持部となる載置用サセプタ部材1fと反射部材20とによってウエハ加熱手段であるヒータ3を挟む状態に配置するようになり、中段サセプタ部材1dが石英であって光を透過するので、ヒータ3から中段サセプタ部材1dを透過した輻射熱を反射部材20で反射することができる。
ヒータ3は炭化シリコン、カーボン、ガラス状カーボンのいずれかから選択される。反射部材20は高融点金属であるニッケル、モリブデン、タングステン、白金、パラジウム、白金ロジウム合金のいずれかを用いて製作し、少なくともヒータ3側を鏡面に形成し、ヒータ3側へ輻射熱を効果的に反射することができるように構成する。ヒータ3からの輻射熱を反射部材20によって反射することにより、ヒータ3の電力消費を効果的に低減することができる。
ヒータ3と上段サセプタ部材1cとの間には間隙S3が設けられており、また、反射部材20と中段サセプタ部材1dとの間隙S20が設けられている。この間隙S3および間隙S20とにより、ヒータ3と上段サセプタ部材1cとの熱膨張率の差によるヒータ3の破損や、反射部材20と中段サセプタ部材1dとの熱膨張率の差による反射部材20の破損が防止される。
反射部材20と中段サセプタ部材1dとの間に設けられた間隙S20は大気と連通させてもよい。この場合には、反射部材20には酸化作用の影響を受けない白金、パラジウム、白金ロジウム合金のいずれかを使用することが望ましい。
反射部材20と中段サセプタ部材1dとの間に設けられた間隙S20を密封して大気と遮断してもよい。この場合には、反射部材20には酸化作用の影響を受けて破損する材質、例えば、ニッケル、モリブデン、タングステンのいずれかを用いればよく、酸化作用の影響を受けない部材よりも安価に製作できる。
なお、間隙による強度の低下を補うために、上段サセプタ部材1cと中段サセプタ部材1dと下段サセプタ部材1eとに、ヒータ3の所要箇所や反射部材20の所要箇所に貫通孔を設け、ヒータ3の各貫通孔に石英棒を非接触に配置し、上段サセプタ部材1cと中段サセプタ部材1dとに接着剤または熱溶着により固定し、また、反射部材20の各貫通孔に石英棒を非接触に配置して、中段サセプタ部材1dと、下段サセプタ部材1eとに接着剤または熱溶着により固定してもよい。
また、上段サセプタ部材1cと中段サセプタ部材1dとの間、および、中段サセプタ部材1dと下段サセプタ部材1eとの間を接着または熱溶着によって密封して固定して、ヒータ3および反射部材20が処理室201の雰囲気と遮断されているので、被処理基板であるウエハ200はヒータ3と反射部材20からの金属汚染の影響を受けない。
なお、第3の実施の形態においては、高周波電極2aが上段サセプタ部材1cに設けられている例について説明したが、高周波電極2aは省略してもよい。

Claims (14)

  1. 真空容器に処理ガスを供給しつつ排気して基板を処理する半導体製造装置において、前記基板を保持する基板保持手段が前記真空容器内に配置されており、前記基板保持手段の片側には前記基板を保持する基板保持部が設けられており、前記基板保持手段の内部には基板加熱手段が設けられており、前記基板保持手段の内部の前記基板加熱手段が設置された空間は大気に連通されていることを特徴とする半導体製造装置。
  2. 前記基板保持手段は石英によって形成されていることを特徴とする請求の範囲第1項記載の半導体製造装置。
  3. 前記基板加熱手段に電力を供給する配線が、前記基板保持手段を支持するシャフトを挿通されていることを特徴とする請求の範囲第1項記載の半導体製造装置。
  4. 前記シャフトは石英によって形成されていることを特徴とする請求の範囲第3項記載の半導体製造装置。
  5. 前記基板加熱手段に電力を供給する配線が前記基板加熱手段と同質材であり、前記基板加熱手段から前記基板保持手段の外部に延びて設けられてから前記基板加熱手段の端子に接続されていることを特徴とする請求の範囲第1項記載の半導体製造装置。
  6. 前記基板加熱手段が炭化シリコンによって形成されていることを特徴とする請求の範囲第1項記載の半導体製造装置。
  7. 前記基板加熱手段に電力を供給する配線が、炭化シリコンによって形成されていることを特徴とする請求の範囲第6項記載の半導体製造装置。
  8. 真空容器に処理ガスを供給しつつ排気して基板を処理する半導体製造装置において、前記基板を保持する基板保持手段が前記真空容器内に配置されており、前記基板保持手段内部には高周波電極を設置する空間が設けられ、前記高周波電極は前記空間を形成する壁と間隙を介して配置されており、前記空間は大気に連通されていることを特徴とする半導体製造装置。
  9. 前記高周波電極に接続される電極配線が前記高周波電極と同質材であり、前記高周波電極から前記基板保持手段の外部に延びて設けられてから前記高周波電極の端子に接続されていることを特徴とする請求の範囲第8項記載の半導体製造装置。
  10. 前記高周波電極が白金から形成されていることを特徴とする請求の範囲第8項記載の半導体製造装置。
  11. 前記高周波電極の電極配線が白金から形成されていることを特徴とする請求の範囲第8項記載の半導体製造装置。
  12. 真空容器に処理ガスを供給しつつ排気して基板を処理する半導体製造装置において、前記基板を保持する基板保持手段が前記真空容器内に配置されており、前記基板保持手段の片側には前記基板を保持する基板保持部が設けられており、前記基板保持手段の内部には基板加熱手段が設けられており、前記基板保持手段の内部の前記基板加熱手段が設置された空間は大気に連通されており、
    前記基板保持手段の内部には高周波電極を設置する空間が設けられ、前記高周波電極は前記空間を形成する壁と間隙を介して配置されており、前記空間は大気に連通されていることを特徴とする半導体製造装置。
  13. 真空容器内に配置された基板保持手段の片側に設けられた基板保持部に基板を保持させるステップと、
    前記真空容器に処理ガスを供給しつつ排気するステップと、
    前記基板保持手段の内部には大気と連通する空間に基板加熱手段を設けて、前記基板加熱手段によって前記基板を加熱するステップと、
    を備えていることを特徴とする半導体装置の製造方法。
  14. 真空容器内に配置された基板保持手段の片側に設けられた基板保持部に基板を保持させるステップと、
    前記真空容器に処理ガスを供給しつつ排気するステップと、
    前記基板保持手段の内部に形成されて大気に連通された空間に、前記空間の壁と間隙を介して配置されている高周波電極によって前記基板へプラズマを供給するステップと、
    を備えていることを特徴とする半導体装置の製造方法。
JP2005505704A 2003-04-18 2004-03-30 半導体製造装置および半導体装置の製造方法 Expired - Lifetime JP4347295B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2003113738 2003-04-18
JP2003113738 2003-04-18
PCT/JP2004/004539 WO2004095560A1 (ja) 2003-04-18 2004-03-30 半導体製造装置および半導体装置の製造方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2008216308A Division JP4961407B2 (ja) 2003-04-18 2008-08-26 半導体製造装置および半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JPWO2004095560A1 true JPWO2004095560A1 (ja) 2006-07-13
JP4347295B2 JP4347295B2 (ja) 2009-10-21

Family

ID=33307924

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2005505704A Expired - Lifetime JP4347295B2 (ja) 2003-04-18 2004-03-30 半導体製造装置および半導体装置の製造方法
JP2008216308A Expired - Lifetime JP4961407B2 (ja) 2003-04-18 2008-08-26 半導体製造装置および半導体装置の製造方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2008216308A Expired - Lifetime JP4961407B2 (ja) 2003-04-18 2008-08-26 半導体製造装置および半導体装置の製造方法

Country Status (4)

Country Link
US (3) US20060151117A1 (ja)
JP (2) JP4347295B2 (ja)
KR (3) KR100890493B1 (ja)
WO (1) WO2004095560A1 (ja)

Families Citing this family (290)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005093806A1 (ja) * 2004-03-26 2005-10-06 Hitachi Kokusai Electric Inc. 半導体製造装置および半導体装置の製造方法
WO2006006391A1 (ja) * 2004-06-28 2006-01-19 Kyocera Corporation ウェハ加熱装置と半導体製造装置
JP4578232B2 (ja) * 2004-12-27 2010-11-10 株式会社日立国際電気 半導体製造装置及び半導体製造方法
CN100482584C (zh) * 2005-10-21 2009-04-29 鸿富锦精密工业(深圳)有限公司 碳纳米管制备设备
TWI386516B (zh) * 2005-10-28 2013-02-21 Hon Hai Prec Ind Co Ltd 奈米碳管製備設備
JP2007258585A (ja) * 2006-03-24 2007-10-04 Tokyo Electron Ltd 基板載置機構および基板処理装置
JP4884180B2 (ja) * 2006-11-21 2012-02-29 東京エレクトロン株式会社 基板処理装置および基板処理方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5453985B2 (ja) * 2009-07-29 2014-03-26 セイコーエプソン株式会社 支持台
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5570938B2 (ja) * 2009-12-11 2014-08-13 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
JP2011165891A (ja) * 2010-02-09 2011-08-25 Tokyo Electron Ltd 載置台構造及び処理装置
JP2010226148A (ja) * 2010-07-08 2010-10-07 Hitachi Kokusai Electric Inc 半導体製造装置および半導体製造方法
JP4676567B1 (ja) * 2010-07-20 2011-04-27 三井造船株式会社 半導体基板熱処理装置
JP5730521B2 (ja) * 2010-09-08 2015-06-10 株式会社日立ハイテクノロジーズ 熱処理装置
US9759487B2 (en) * 2011-03-02 2017-09-12 Ivoclar Vivadent Ag Dental firing or press furnace
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) * 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN104067691B (zh) * 2011-08-30 2017-04-19 沃特洛电气制造公司 高清晰度加热器和操作方法
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9046291B2 (en) * 2012-06-04 2015-06-02 Electrolux Home Products, Inc. User-selectable operating modes for refrigeration appliances
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
WO2014149369A1 (en) * 2013-03-22 2014-09-25 Applied Materials, Inc. Reflective liners
KR101466816B1 (ko) * 2013-09-23 2014-12-10 국제엘렉트릭코리아 주식회사 히터 부재 및 그것을 갖는 기판 처리 장치
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6219227B2 (ja) * 2014-05-12 2017-10-25 東京エレクトロン株式会社 ヒータ給電機構及びステージの温度制御方法
US9595464B2 (en) * 2014-07-19 2017-03-14 Applied Materials, Inc. Apparatus and method for reducing substrate sliding in process chambers
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
WO2017163409A1 (ja) * 2016-03-25 2017-09-28 株式会社日立国際電気 基板支持台、基板処理装置および半導体装置の製造方法
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
US11043401B2 (en) 2017-04-19 2021-06-22 Ngk Spark Plug Co., Ltd. Ceramic member
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
KR102655866B1 (ko) 2018-01-31 2024-04-05 램 리써치 코포레이션 정전 척 (electrostatic chuck, ESC) 페데스탈 전압 분리
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11086233B2 (en) 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2646582B2 (ja) 1987-10-16 1997-08-27 松下電器産業株式会社 プラズマcvd装置
JP2882765B2 (ja) 1988-01-11 1999-04-12 忠弘 大見 薄膜形成装置のゲートバルブ
JP2966025B2 (ja) * 1989-03-15 1999-10-25 株式会社東芝 気相成長装置
JPH0350051U (ja) * 1989-09-20 1991-05-15
DE69111493T2 (de) * 1990-03-12 1996-03-21 Ngk Insulators Ltd Wafer-Heizgeräte für Apparate, zur Halbleiterherstellung Heizanlage mit diesen Heizgeräten und Herstellung von Heizgeräten.
US5060354A (en) * 1990-07-02 1991-10-29 George Chizinsky Heated plate rapid thermal processor
DE69130205T2 (de) * 1990-12-25 1999-03-25 Ngk Insulators Ltd Heizungsapparat für eine Halbleiterscheibe und Verfahren zum Herstellen desselben
JP2939355B2 (ja) * 1991-04-22 1999-08-25 東京エレクトロン株式会社 プラズマ処理装置
JPH04324631A (ja) * 1991-04-24 1992-11-13 Toshiba Corp 表面処理装置
DE4301189C2 (de) * 1993-01-19 2000-12-14 Leybold Ag Vorrichtung zum Beschichten von Substraten
DE69432383D1 (de) * 1993-05-27 2003-05-08 Applied Materials Inc Verbesserungen betreffend Substrathalter geeignet für den Gebrauch in Vorrichtungen für die chemische Abscheidung aus der Dampfphase
JPH07147253A (ja) * 1993-06-15 1995-06-06 Kokusai Electric Co Ltd 加熱装置
JP3165938B2 (ja) * 1993-06-24 2001-05-14 東京エレクトロン株式会社 ガス処理装置
JP2813154B2 (ja) * 1994-06-30 1998-10-22 日本碍子株式会社 プラズマ発生電極装置およびプラズマ発生装置
US5886863A (en) * 1995-05-09 1999-03-23 Kyocera Corporation Wafer support member
JPH08330395A (ja) 1995-05-29 1996-12-13 Hitachi Ltd 基板マニプレータ
JPH09153485A (ja) 1995-11-30 1997-06-10 Hitachi Electron Eng Co Ltd 気相成長装置
KR100280634B1 (ko) * 1996-05-05 2001-02-01 세이이치로 미야타 전기 발열체 및 이를 이용한 정전 척
JPH10189227A (ja) * 1996-12-27 1998-07-21 Shin Etsu Chem Co Ltd 加熱ユニットおよびその接続方法
US6082297A (en) * 1997-09-12 2000-07-04 Novellus Sytems, Inc. Encapsulated thermofoil heater apparatus and associated methods
JP3566046B2 (ja) * 1997-10-02 2004-09-15 アルプス電気株式会社 プラズマ処理装置およびスパッタ装置
WO1999049101A1 (en) * 1998-03-23 1999-09-30 Mattson Technology, Inc. Apparatus and method for cvd and thermal processing of semiconductor substrates
US6221221B1 (en) * 1998-11-16 2001-04-24 Applied Materials, Inc. Apparatus for providing RF return current path control in a semiconductor wafer processing system
US6740853B1 (en) * 1999-09-29 2004-05-25 Tokyo Electron Limited Multi-zone resistance heater
JP2000348853A (ja) * 2000-01-01 2000-12-15 Sumitomo Osaka Cement Co Ltd セラミックスヒータ
US6478924B1 (en) * 2000-03-07 2002-11-12 Applied Materials, Inc. Plasma chamber support having dual electrodes
US6494958B1 (en) * 2000-06-29 2002-12-17 Applied Materials Inc. Plasma chamber support with coupled electrode
JP4592924B2 (ja) 2000-09-26 2010-12-08 株式会社イノアックコーポレーション セラミックヒータ
JP3853587B2 (ja) 2000-10-19 2006-12-06 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
JP4331427B2 (ja) * 2001-10-03 2009-09-16 住友電気工業株式会社 半導体製造装置に使用される給電用電極部材
WO2003073489A1 (fr) * 2002-02-28 2003-09-04 Tokyo Electron Limited Dispositif de traitement a plasma et unite d'alimentation

Also Published As

Publication number Publication date
US7842160B2 (en) 2010-11-30
WO2004095560A1 (ja) 2004-11-04
US20090277588A1 (en) 2009-11-12
JP4347295B2 (ja) 2009-10-21
US20080223524A1 (en) 2008-09-18
KR100890493B1 (ko) 2009-03-26
US8906161B2 (en) 2014-12-09
JP2009010413A (ja) 2009-01-15
KR100747957B1 (ko) 2007-08-08
KR20080050532A (ko) 2008-06-05
KR20050115940A (ko) 2005-12-08
US20060151117A1 (en) 2006-07-13
JP4961407B2 (ja) 2012-06-27
KR20070057242A (ko) 2007-06-04

Similar Documents

Publication Publication Date Title
JP4347295B2 (ja) 半導体製造装置および半導体装置の製造方法
JP4146905B2 (ja) 処理装置
US10755962B2 (en) Substrate processing apparatus and method of manufacturing semiconductor device
JP4180637B2 (ja) 半導体製造装置および半導体装置の製造方法
JP2001102435A (ja) 載置台構造及び処理装置
JP4861208B2 (ja) 基板載置台および基板処理装置
JP2008053489A (ja) 基板処理装置
JP2006086230A (ja) 半導体製造装置
CN113921444A (zh) 载置台装置和基片处理装置
JP2006278631A (ja) 半導体製造装置
JP2007103697A (ja) 基板処理装置
JP4578232B2 (ja) 半導体製造装置及び半導体製造方法
JP2008147420A (ja) 基板処理装置
KR101435866B1 (ko) 기판 처리 장치 및 반도체 장치의 제조 방법
JP2005093886A (ja) 半導体製造装置
JP2010097993A (ja) プラズマ処理方法
JP2005072028A (ja) 半導体製造装置
JP2010226148A (ja) 半導体製造装置および半導体製造方法
JP4436098B2 (ja) 半導体製造装置
JP2005235432A (ja) プラズマ処理装置
JP2010118489A (ja) 半導体装置の製造方法
JP2009059845A (ja) 基板処理装置
JP2007208169A (ja) 基板処理方法
JP2010114357A (ja) 基板処理装置
JP2005276998A (ja) 半導体製造装置

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080701

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080827

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090317

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090515

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090609

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090707

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090715

R150 Certificate of patent or registration of utility model

Ref document number: 4347295

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120724

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130724

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140724

Year of fee payment: 5

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350