JPH1092916A - 処理チャンバのリフトピン及びサポートピン装置 - Google Patents

処理チャンバのリフトピン及びサポートピン装置

Info

Publication number
JPH1092916A
JPH1092916A JP23018997A JP23018997A JPH1092916A JP H1092916 A JPH1092916 A JP H1092916A JP 23018997 A JP23018997 A JP 23018997A JP 23018997 A JP23018997 A JP 23018997A JP H1092916 A JPH1092916 A JP H1092916A
Authority
JP
Japan
Prior art keywords
substrate
pin
magnet assembly
housing
pins
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP23018997A
Other languages
English (en)
Inventor
James V Tietz
ヴイ. タイツ ジェイムズ
Benjamin Bierman
バイアマン ベンジャミン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH1092916A publication Critical patent/JPH1092916A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

(57)【要約】 透磁性の材料で作られたハウジングと、ハウジング内に
基本的に位置しており、前記チャンバ底部を通って上方
に延びている通路内に上方に延び且つ処理中に基板を含
む空洞内に上方に延びる上端部を有するピンと、ハウジ
ングの内部に設けられ、ピンに取り付けられている第1
磁石アセンブリと、ハウジングの外部に位置しているキ
ャリッジ構造体と、ハウジングに取り付けられている第
2磁石アセンブリとを備えており、第2磁石アセンブリ
がピン及び第1磁石アセンブリをハウジング内に磁気的
に浮揚するように、第1アセンブリ及び第2磁石アセン
ブリが互いに相対的に配置されている、基板サポート装
置。

Description

【発明の詳細な説明】
【0001】
【発明の背景】本発明は、例えば、急速熱処理(RT
P)チャンバといった半導体処理チャンバ等に使用され
る基板サポート装置に関するものである。
【0002】集積回路製造プロセスにおいて、基板処理
装置は、処理チャンバ内に基板を保持し、エッチング、
堆積又はアニール(例として3つ挙げただけである)と
いった化学的又は物理的処理を基板に対して行う。処理
チャンバ内で、基板は、サセプタ、サポートリング、プ
ラテン又は他の基板サポート上に載っている。スループ
ットを増加するため、汚染を防止するため、及び人為的
エラーを回避するために、基板は、自動化ロボットハン
ドラによってチャンバの中へ又はチャンバの外へと移動
される。ロボットハンドラと基板サポートとの間の基板
の穏やかな受け渡しは、通常リフトピン装置によって達
成されている。
【0003】リフトピン装置は数個のリフトピンを備え
ており、そのリフトピンは垂直に移動可能で基板を上下
動する。基板をロボットハンドラからサポートに移すた
めに、リフトピンは垂直に上がってロボットハンドラか
ら基板を取り去る。ロボットハンドラが収縮した後、リ
フトピンは基板をサポートの上に下ろす。プロセスが完
了すると、リフトピンはウエハを持ち上げてサポートか
ら外し、ロボットハンドラが基板の下にくる。そしてリ
フトピンは基板をロボットハンドラに下ろす。
【0004】
【発明の概要】一般的に、1つの見地から見ると、本発
明は内部空洞を包囲し且つチャンバ底部を有している処
理チャンバについて使用する基板サポート装置である。
本装置は、透磁性の材料で作られているハウジングと、
ハウジング内に基本的に配置されており、チャンバ底部
を通って上方に延びている通路内に上方に延び且つ処理
中に基板を含む空洞内に上方に延びる上端部を有するピ
ンと、ハウジングの内部に設けられ、ピンに取り付けら
れている第1磁石アセンブリと、ハウジングの外部に配
置されているキャリッジ構造体と、ハウジングに取り付
けられている第2磁石アセンブリとを備えている。第1
アセンブリと前記第2磁石アセンブリとは、第2磁石ア
センブリがピン及び第1磁石アセンブリをハウジング内
に磁気的に浮揚させるように、互いに相対的に配置され
ている。
【0005】好ましい実施態様は以下の特徴を有してい
る。すなわち、本装置が、キャリッジ構造体を上下動
し、もってピンを上下動するリフティング装置を更に含
んでいる。またリフティング装置は上昇位置及び下降位
置をとることができる。リフティング装置が上昇位置に
あるとき、ピンは通路を通り抜けて内部空洞内に上方に
延びており、ピンの頂部は、処理中に基板が保持される
面より上に位置する。リフティング装置が下降位置にあ
るとき、ピンは穴の中に収縮されており、ピンの頂部
は、処理中に基板が保持される面より下に位置するレベ
ルとなる。処理チャンバが、基板をチャンバ底部の上方
にその底部と所定の間隔を開けてその基板の外周部で支
持するための基板サポートリングを更に備え、基板がサ
ポートリング内に保持されているときにピンが基板の裏
面と接触するようにピンの頂部が基板サポートリングに
対して相対的に配置されている。一実施態様では、使用
中にサポートリングが回転軸線を中心として回転し、ピ
ンが回転軸線に沿って整列され、ピンの頂部は基板の裏
側の中央部と接触し、またその中央部に対して偏倚して
いる。ピンの頂部は、ピンの頂部と基板の裏側とが接触
する領域が最小になるように、例えば丸く形成されてい
る。加えて、第1磁石アセンブリ及び第2磁石アセンブ
リは、前記ピンが使用中に自由に回転することができる
ように構成されている。
【0006】一般的に、他の見地によると、本発明は、
基板を処理する装置である。本装置は、内部空洞を包囲
し且つチャンバ底部を有している真空チャンバと、処理
中に基板を支持するチャンバ内のサポート装置と、透磁
性の材料で作られ且つチャンバの下で取り付けられてい
るハウジングと、ハウジング内に位置して、チャンバ底
部を通って上方に延びている通路内に上方に延び且つ処
理中に基板を含む空洞内に上方に延びる上端部を有する
ピンと、ハウジングの内部に設けられ、ピンに取り付け
られている第1磁石アセンブリと、ハウジングの外部に
配置されているキャリッジ構造体と、キャリッジ構造体
に取り付けられている第2磁石アセンブリとを含んでお
り、第2磁石アセンブリがピン及び第1アセンブリをハ
ウジング内に磁気的に浮揚させるように、第1磁石アセ
ンブリと第2磁石アセンブリとは互いに相対的に配置さ
れている。
【0007】
【発明の実施の形態】図1に示すように、急速熱処理
(RTP)システムでは、回転基板サポート構造体30
が円板形のシリコン基板10(例えば直径150〜30
0mm)を処理チャンバ20内に保持している。処理チ
ャンバ20は、頂部25、円筒状に形成された側壁22
及び底壁26を備えており、密封空洞を画している。チ
ャンバの頂部に位置する所定配列のタングステンハロゲ
ンランプ等の、水冷式加熱部材40からの放射エネルギ
は、石英ウインド42を通り抜けて、処理チャンバ20
内の空洞に入り、急速且つ均一に基板10を処理が行わ
れる高温に加熱する。このようなチャンバで行われるこ
とのできる処理としては、例えば、アニール、洗浄、化
学気相堆積、ドーピング、エッチング、酸化、及び窒化
等がある。行われるべき処理のタイプによって、反応性
ガス及び/又は不活性ガスが、処理中にチャンバ内に流
入される。
【0008】チャンバの底部であって基板10の下で
は、ステンレス鋼製のベース55が反射板50を支持し
ており、その反射板50は、例えば金などの薄い高反射
性の層で被覆されたアルミニウムで作られている。冷却
流体は、ベース55内の流路56を通って循環し、ベー
ス55を処理中に冷却する。
【0009】基板サポート30は、リップ34を有する
エッジリング32を有している。リップ34は基板10
を中心に置いて、基板サポート構造体が回転するときに
エッジリング32から横に基板10が滑り落ちるのを防
止している。基板10を、反射板50の上方の、例えば
約1cm以内で支持しているエッジリング32は、円筒
状サポート管36に載せられ且つ支持され、そのサポー
ト管36は、そのサポート管36を回転できるようにし
ているベアリングアセンブリ38上に載っている。ベア
リングアセンブリ38は、サポート管36を包囲してい
るシールド58によって堆積材料及び熱から保護されて
いる。サポート管36を回転する方位駆動装置は、チャ
ンバ20の壁22の外部に位置している駆動リング64
を回転するモータ66を備えている。駆動リング64に
取り付けられている磁石62は、サポート管36に取り
付けられている一組の磁石60に、側壁22を介して磁
気的に連結されている。モータが駆動リング64を回転
すると、磁石60と磁石62との磁気的な連結によっ
て、サポート管36と基板10とが回転する。基本的
に、駆動メカニズムはサポート管を約90回毎分で回転
するが、適合するならば、より高速もまた使用可能であ
る。
【0010】本システムは、処理中の基板の温度を測定
するセンサシステムも備えている。センサシステムは複
数の高温計76を備えており、その高温計76は光導体
72に連結されている関連した光ファイバ74を使用し
て基板10の底部と反射板50の頂部との間に形成され
ている空洞内の放射をサンプリングする。光導体72は
導管70内に保持されており、その導管70はベース5
5を上に通り抜けて反射板50の頂部まで延びている。
高温計76はサンプリングされた放射を示度に変換す
る。
【0011】処理チャンバ20の下にはリフト装置89
があり、そのリフト装置89は、処理前には、基板10
を処理チャンバ20内に受け取ってエッジリング32に
下ろすのに使用され、処理後には、基板10をエッジリ
ング32から持ち上げて、基板10を処理チャンバ20
から移動可能にするのに用いられる。リフト装置89は
一組のリフトピン90(図1には1つのみ示してある)
を備えており、そのリフトピン90は、処理チャンバ2
0の底壁、ベース板55及び反射板50を通り抜けて上
に延びているリフトピンホール80を貫通している。各
々のリフトピン90(本実施形態では3つある)は、対
応している包囲形リフトピンハウジング100に入って
おり、その包囲形ハウジング100は、チャンバ底部に
取り付けられている。
【0012】図2(A)〜図2(C)を参照すると、リ
フトピン90はリフトピンハウジング100内に磁気的
に支持されており、そのリフトピン90が、チャンバ内
に向って通り抜けるホールとハウジングとの両方と物理
的に接触しないようになっている。包囲形ハウジング1
00内の各々のリフトピン90を浮揚させるのに用いら
れる磁気リニアベアリング95は、ハウジング100内
のリフトピン90に取り付けられている上部磁石アセン
ブリ92a及び下部磁石アセンブリ92を備えており、
また、それら磁石アセンブリと対応している、リフトピ
ンハウジング100の外側に位置し且つそのハウジング
を取り囲んでいる可動キャリッジ110上に取り付けら
れた上部磁石アセンブリ112a及び下部磁石アセンブ
リ112bを備えている。以下の説明において、磁石ア
センブリ92a及び磁石アセンブリ92bを全体として
磁石アセンブリ92と呼ぶ。同様に磁石アセンブリ11
2a及び磁石アセンブリ112bもまた全体として磁石
アセンブリ112と呼ぶ。
【0013】リフトピンハウジング10は、例えば、ス
テンレス鋼等の透磁性の材料で作られている。従って、
磁石アセンブリ92及び磁石アセンブリ112からの磁
場は互いに作用し合う。磁石アセンブリは、互いに関し
て方向決めされて、互いに反発力を及ぼしている。ま
た、それら磁石アセンブリは、リフトピン90が、反発
力によって重力に抗して支持され、またハウジングの内
面又はチャンバへ通り抜けるホールの内面に接触するこ
とのない横方向に安定した位置に保持されるように置か
れている。これを達成するために、磁石は、当業者に知
られている様々な方法で互いに相対的に配置されること
ができる。本実施形態において、下部磁石アセンブリ9
2bは、ハウジング100の外部にある下部磁石アセン
ブリ112bの僅かに上方の平面内に位置しており、上
部磁石アセンブリ92aは、ハウジング100の外側の
上部磁石アセンブリ112aの平面の僅かに下方の平面
内に位置している。従って、下部の組の磁石(すなわち
磁石アセンブリ92b及び磁石アセンブリ112b)
は、重力に抗した上向きの力をピンに及ぼしてピンがハ
ウジングの底部から落下するのを防止しており、また上
部の組の磁石(すなわち磁石アセンブリ92a及び磁石
アセンブリ112a)は、下向きの力をピンに及ぼし、
もって下部磁石アセンブリによって発生した力に抗して
ピンを「クランプ」している。この構成はピンを安定し
て保持し易く、特に低くされたときのピンの移動をより
確実に制御する。
【0014】磁石アセンブリ92及び磁石アセンブリ1
12は、例えば単純な設計であることができ、また、本
明細書に参照することにより組込まれている米国特許第
5,319,272号明細書に設計されているような、
より複雑な設計であることができる。また、この特許出
願では、ピンが回転可能であることは必要ではない。
【0015】リフトピンホール80が、反射しない領域
を反射板上に形成することに注意すべきである。ウエハ
を均一に加熱するには、高い反射性と可能な限り均一な
反射性とを有する反射板が必要である。従って、リフト
ピンホール80及びリフトピン90の直径は、ホールの
直上の位置でウエハの温度が乱される量が最小になるよ
うに、現実的である限り小さいことが望ましい。無論、
ピンは過度に壊れやすいほど小さくてはならない。加え
て、リフトピンが壁に接触してチャンバ内で処理されて
いる素子を破壊する可能性のある粒子汚染を発生するこ
とのないように、リフトピンとリフトピンホールの壁と
の間には十分な隙間がなくてはならない。本実施形態で
は、リフトピンホール80は直径約4.75mmで、リ
フトピン90は直径約2mmであり、約2.75mmの
許容差がある。
【0016】リフトピン90は、キャリッジ110を上
下動することによって上下動される。図2(A)〜図2
(C)に示すように、キャリッジ110が垂直に上昇す
ると、磁気的に浮揚されたピンはリフトピンホール80
を通って押し上げられ、ウエハに接触して、エッジサポ
ートリング34からウエハを持ち上げる。
【0017】図3(A)及び図3(B)を参照すると、
リフトピン90はロッド120を備えており、本実施形
態において、そのロッド120は石英で作られている。
ロッド120は、基板10と接触するための平坦な上面
121を有している。磁石アセンブリ92は、ロッド1
20の下部部分に取り付けられており、リフトピンハウ
ジング100内に留る。図3(A)に示す一実施形態で
は、2つの成形磁石92a及び成形磁石92bが、ロッ
ド120の突起部122を取り囲んでスナップばめされ
ている。各々の磁石は、鉄−シリコンの円環面(torus)
123であり、ニッケル、又は例えば処理中にチャンバ
20で使用されるフッ素及び塩素等の反応性ガスに耐性
を有しているプラスチック又は他の材料で作られている
保護層124で被覆されている。
【0018】図3(B)に示す他の実施形態では、リフ
トピン90の下部部分126は射出成形された、高温、
腐食に耐性を有しているライトン(Ryton)等のプラスチ
ックで作られている。下部部分126は2つの磁石92
a及び磁石92bを内部に閉じ込めており、これらの磁
石はそれぞれ鉄−シリコンの円板127である。下部部
分126は円筒形の穴128を有しており、その穴12
8の内部に石英ロッド120が底部がしっかりと嵌め込
まれている。
【0019】図2(A)〜図2(C)に示されているよ
うに、ステンレス鋼のリフトピンハウジング100は円
筒状の管102を備えており、その円筒状の管102は
一端が閉じられており、他端には外側に突出しているフ
ランジ104を有している。他の、耐食性及び透磁性を
有する、非誘電的な材料もステンレス鋼の代わりに用い
ることができる。ねじ106がリフトピンハウジング1
00を底壁26に固定しており、フランジ104と底壁
26との間のOリング108がその間にシールを形成し
てガスバリアを形成している。コネクタ130を通して
リフトピンハウジング100の底部に連結されている供
給ライン132は、処理中にパージガスを供給する。パ
ージガスは、基本的に無反応性すなわち不活性ガス(例
えばアルゴン又は窒素)であって、チャンバからの処理
ガスが処理中にリフトピンハウジングに入らないように
リフトピンハウジング100内にチャンバに関して僅か
に正圧を作り出すためと、ハウジングに入る可能性のあ
る反応性ガスを全て流し出すためとに用いられている。
【0020】尚、リフトピン90の上下動は、リフトピ
ンが収容されている空洞の内部の体積を少しも変化する
ことなく行われる。これは、リフトピンを上下動するの
にベローズアセンブリを用いていた他の従来のリフトピ
ンメカニズムに、全く別の利点を提供するものである。
第1に、ピンが下がっているとき、反応性ガスがピンハ
ウジングに吸引されることがない。第2に、リフトピン
ハウジングの底床は、ベローズ装置の場合には移動した
が、移動しないので、パージガス供給源をハウジングに
機械的に連結するのが容易である。連結部は、破損をも
たらす可能性のある繰り返される屈曲に晒されることは
ない。
【0021】図4に示すように、キャリッジ110はリ
フトピンハウジング100の回りに設けられており、磁
石アセンブリ112a及び磁石アセンブリ112bは、
キャリッジ110の、リフトピンハウジング100の長
手方向軸線に垂直な面に、機械的に取り付けられてい
る。パージガスライン130は、キャリッジ110の底
部の穴を上に通っている。キャリッジ110は、アーム
135に緩く連結されており、このアームは駆動装置
(図示せず)によって上下動される。
【0022】図5に示す一実施形態で、3本のリフトピ
ン90a,90b及び90cは、等辺三角形の頂点に置
かれており、3つのリフトピンホール80a,リフトピ
ンホール80b及びリフトピンホール80cを通ってそ
れぞれ突出し、基板(図示せず)の下側と接触してい
る。スパイダ状アセンブリ170及び垂直駆動装置17
5が物理的に処理チャンバ20に取り付けられ、リフト
ピン90a〜90cの上下動を制御している。スパイダ
状アセンブリ170は、3つのアーム135a〜135
cを有しており、このアームは3つのキャリッジ110
a〜110cのそれぞれに緩く取り付けられている。垂
直駆動装置175としては例えば空気式駆動装置が可能
であり、スパイダは同時に3つのリフトピンを上下動さ
せる。
【0023】図1も参照すると、基本的な操作におい
て、基板10は、コンピュータコントロールされている
ロボットアーム16のブレード14によって、ポート1
2を通してチャンバ20に運び入れられる。リフトピン
90a〜90cは約1インチ(2.54cm)上がっ
て、ブレード14から基板10を持ち上げる。ブレード
14が収縮した後、リフトピン90a〜90cはリフト
ピンホール80a〜80c内に収縮し、基板10をエッ
ジリング32上に下ろす。そして、基板10には1又は
複数の処理が行われる。一旦、1又は複数の処理ステッ
プが完了すると、基板10は処理チャンバ20に置かれ
たのと同様の方法で移動される。その方法とは、リフト
ピン90a〜90cが上がって基板10がエッジリング
32から持ち上げられ、基板10の下にブレード14が
移動し、リフトピン90a〜90cが収縮して基板10
がブレードに下ろされ、最後にブレード14が基板をポ
ート12を通してチャンバ20の外に運び出す方法であ
る。
【0024】図6に示すように、処理チャンバはまた、
処理中に基板の中心を支持するための装置230を有し
ている。基板10は外周部をエッジリング32によって
支持されているので、中央部サポート装置230なしで
は、大きな基板、例えば直径300mm以上の基板の中
央部は、重力の下及び特に高い処理温度では下に反り又
はたわみやすい。実際、たわみによりもたらされる基板
上の応力は、滑り、すなわち基板の電気的な有効性を破
壊する基板の結晶構造のせん断転位を引き起こす可能性
が十分にある。サポート装置230はサポートピン21
0を有しており、そのサポートピンはサポートピンホー
ル220を上に貫通して、基板の底面の中央部と接触
し、たわみを防止する。
【0025】尚、本発明者らは、リフトピン装置及びサ
ポートピン装置を互いに個別に示した。これは説明を明
確にする目的であった。しかし、両装置を同じ処理チャ
ンバで使用できることは理解されるであろう。
【0026】1つのサポートピンハウジング200は、
サポートピン210を有しており、処理チャンバ20の
床部26の下側中央部に取り付けられている。サポート
部の機構上の設計は、上述したリフトピン装置の設計に
非常に類似している。しかし、この場合ピンは、基板の
中央部を支持する一方で自由に回転できることが重要で
ある。加えて、リフトピンの平坦な頂縁部と比較する
と、サポートピン210は丸い頂端部214を有してい
る。これはサポートピンとウエハの裏面との間の接触領
域を最小にするためであり、ひいては接触点の熱的負
荷、及び裏面に対する摩擦によって粒子を発生する危険
性を最小にする。
【0027】サポートピン210は、サポートピンホー
ル220を上に通り抜けてサポートピンハウジング20
0の外に突出し、チャンバ20内に入って、基板がエッ
ジリングに載っているときに基板の裏面に接触する。サ
ポートピンホール220とサポートピン210との軸線
は整列されており、サポート装置30の回転軸線と同軸
である。従って、サポートピン210は、回転が起きる
点である中央部で基板と接触する。
【0028】図7(A)に示すように、サポートピン2
10はサポートピンハウジング200の内部で磁気的に
浮揚している。上部磁石アセンブリ212a及び下部磁
石アセンブリ212b(全体として磁石アセンブリ21
2と呼ぶ)を有するピン210を支持している磁気ベア
リング230が、ピン210に取り付けられており、上
部磁石アセンブリ242a及び下部磁石アセンブリ24
2b(全体として磁石アセンブリ242と呼ぶ)が、ハ
ウジング200の外部にハウジング200を取り囲むよ
うに配置されているフレーム240に取り付けられてい
る。
【0029】サポートピンハウジング200は、透磁性
の材料、例えばステンレス鋼で作られている。磁石アセ
ンブリ212及び240は互いに関して方向決めされて
おり、磁気力が重力に抗してサポートピン210に及ぼ
されるようになっており、また、安定位置にサポートピ
ン210を横方向に保持し、チャンバ内へと貫通してい
るホール又はハウジングの内面に接触しないようになっ
ている。この目的を達成するために、磁石は、当業者に
周知の様々な方法で互いに相対的に配置されることがで
きる。記載された実施形態では、下部磁石アセンブリ2
12bは、下部磁石アセンブリ242の作る平面の僅か
に上方の平面に置かれており、上部磁石アセンブリ21
2aは上部磁石アセンブリ242aの作る僅かに下方の
平面に置かれている。上述したように、この構成は、ピ
ンをフレーム240上の上部磁石アセンブリ242aと
下部磁石アセンブリ242bとの間に磁気的に固定して
いる。
【0030】磁石アセンブリ212及び242は単純な
設計のものでもよく、又は本明細書に参照することで援
用されている米国特許第5,319,272号明細書に
記載されているような複雑な設計のものでもよい。しか
し、リフトピンと違い、サポートピンの頂部と基板の裏
面との間のいかなる摩擦動作をも低減するようにサポー
トピンが基板の回転に伴って容易に回転可能なことが重
要である。
【0031】図7(B)〜図7(C)を参照すると、フ
レーム240はチャンバ20に定位置で取り付けられて
おり、サポートピンの頂部が、基板をエッジリング34
に下ろしたとき基板の裏面の載る面の上方に位置するよ
うにされている。基板10がエッジサポートリング34
に下ろされると、裏面はサポートピン210と接触して
磁気スプリングを僅かに圧縮し、ピンは一方に偏倚して
基板の裏面を支持する。サポートピン210が基板10
と接触している状態で基板が回転すると、ピンと基板と
の間の摩擦はサポートピンも回転させるのに役立つ。
【0032】或いは、サポートピン210は、リフトピ
ンに関して上述した方法で所定の位置に上げられること
も可能である。すなわち、サポートピンは、ウエハがエ
ッジリングに置かれる前又はサポートリングに置かれた
後のどちらに上昇されてもよい。また、サポートピンは
ウエハリフト装置の一部であってもよい。
【0033】本発明者らは、ピンを完全に磁気的に浮揚
されているものとして説明してきた。すなわち、ピン
は、垂直(すなわちz座標)及び水平(すなわちx及び
y座標)の両方向において、磁気力で所定の位置に保持
されている。或いは、センタリング機能(すなわち、ピ
ンを特にx及びy位置に保持すること)を、図8に示す
ように2つのベアリング300によって行うことも可能
である。この独特な実施形態において、ベアリング30
0は自己潤滑ベアリングであり、ポリイミドプラスチッ
ク材料又はポリアミドプラスチック材料(例えば、トル
ロン(Torlon(商標))又はベスペル(Vespel(商標))
等の粒子を発生しない材料で作られている。従って、本
実施形態において磁気力は、主としてピンを特にz位置
に保持して上下に移動させるのに用いられる。ベアリン
グは、ピンの長さ方向に沿った適当などの位置にも、ピ
ンに要求される垂直運動を許容するような方法で、配置
されることが可能である。ベアリング300は数々の異
なった方法のどれでも実行できる。例えば、ベアリング
は、ハウジングの中に固定されてピンが自由に上下動す
るのに十分に大きい中央の穴を有していてもよく、或い
は、ベアリングはピンに取り付けられてハウジングの中
をピンと共に上下動してもよい。
【0034】本発明者らは、リフトピン装置をエッジサ
ポートリングを用いたRTPチャンバの状況で説明した
が、リフトピンが基板を、例えば一体型ペデスタル等の
サポート装置から取り外すように持ち上げ、或いは載せ
るように下ろすのに用いられ又は用いられることが可能
な、いかなる基板処理チャンバにおいても本リフトピン
装置は使用できる。
【図面の簡単な説明】
【図1】基板処理チャンバの概略断面図である。
【図2】(A)〜(C)は、リフトピン装置の概略断面
図である。
【図3】(A)及び(B)は、リフトピンの実施形態を
部分的に切断した概略斜視図である。
【図4】磁石サポート装置の概略斜視図である。
【図5】サポートリング上で基板を支持するリフトピン
サポート装置の概略斜視図である。
【図6】処理チャンバに連結されているサポートピンハ
ウジングの概略断面図である。
【図7】(A)〜(C)はサポートピン装置の概略断面
図である。
【図8】他のリフトピン装置の概略断面図である。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ベンジャミン バイアマン アメリカ合衆国, カリフォルニア州, ミルピタス, チューポン アヴェニュー 1321

Claims (30)

    【特許請求の範囲】
  1. 【請求項1】 内部空洞を包囲し且つチャンバ底部を有
    している処理チャンバについて使用する基板サポート装
    置であって、 透磁性の材料で作られたハウジングと、 前記ハウジング内に基本的に配置されており、前記チャ
    ンバ底部を通って上方に延びている通路内と処理中に基
    板を含んでいる前記空洞内とに上方に延びる上端部を有
    するピンと、 前記ハウジングの内部に設けられ、前記ピンに取り付け
    られている第1磁石アセンブリと、 前記ハウジングの外部に配置されているキャリッジ構造
    体と、 前記ハウジングに取り付けられている第2磁石アセンブ
    リと、を備えており、 前記第2磁石アセンブリが前記ピン及び前記第1磁石ア
    センブリを前記ハウジング内に磁気的に浮揚させるよう
    に、前記第1アセンブリ及び前記第2磁石アセンブリが
    互いに相対的に配置されている装置。
  2. 【請求項2】 前記キャリッジ構造体を上下動し、もっ
    て前記ピンを上下動するリフティング装置を更に備える
    請求項1に記載の装置。
  3. 【請求項3】 前記リフティング装置は上昇位置及び下
    降位置をとることができ、前記リフティング装置が前記
    上昇位置にあるとき、前記ピンは前記通路を上に通り抜
    けて前記内部空洞に上方に延びており、前記ピンの頂部
    は、処理中に基板が保持される面より上方に配置されて
    いる請求項2に記載の装置。
  4. 【請求項4】 前記リフティング装置が前記下降位置に
    あるとき、前記ピンの前記頂部が、処理中に前記基板が
    保持される面より下方に位置するレベルにくるように、
    前記ピンが穴の中に収縮される請求項3に記載の装置。
  5. 【請求項5】 前記処理チャンバが、前記基板を前記チ
    ャンバ底部の上方に所定の間隔を開けて前記基板の外周
    部で支持するための基板サポートリングを含んでおり、
    前記基板が前記サポートリング内に保持されるときに前
    記ピンが前記基板の裏側と接触するように、前記ピンの
    頂部が基板サポートリングに対して相対的に配置されて
    いる請求項1に記載の装置。
  6. 【請求項6】 使用中に前記サポートリングが回転軸線
    を中心として回転し、前記ピンが前記回転軸線に沿って
    整列されている請求項5に記載の装置。
  7. 【請求項7】 使用中に、前記ピンの前記頂部が前記基
    板の裏側の中央部と接触している請求項6に記載の装
    置。
  8. 【請求項8】 前記ピンの前記頂部が、前記ピンの前記
    頂部と前記基板の裏側との接触領域を最小にするように
    形成されている請求項5に記載の装置。
  9. 【請求項9】 前記ピンの前記頂部が丸くされている請
    求項5に記載の装置。
  10. 【請求項10】 処理中に前記基板が前記サポートリン
    グ内に保持されると、前記ピンが前記基板の裏側に接触
    して偏倚する請求項5に記載の装置。
  11. 【請求項11】 前記第1磁石アセンブリ及び前記第2
    磁石アセンブリは、前記ピンが使用中に自由に回転する
    ことができるように構成されている請求項5に記載の装
    置。
  12. 【請求項12】 内部空洞を画し且つチャンバ底部を有
    している処理チャンバについて使用するための基板サポ
    ート装置であって、 複数のリフトピン装置を備えており、前記複数のリフト
    ピン装置がそれぞれ、 透磁性の材料で作られたリフトピンハウジングと、 前記リフトピンハウジング内に基本的に配置されてお
    り、前記チャンバ底部を通って上方に延びている通路内
    と前記チャンバの前記空洞内とに上方に延びる上端部を
    有するリフトピンと、 前記リフトピンハウジングの内部にあって前記ピンに取
    り付けられている第1磁石アセンブリと、 前記リフトピンハウジングの外部に配置されているキャ
    リッジ構造体と、 前記キャリッジ構造体に取り付けられている第2磁石ア
    センブリであって、前記リフトピン及び前記第1磁石ア
    センブリを前記ハウジング内に磁気的に浮揚させるよう
    に、前記第1磁石アセンブリに対して相対的に配置され
    ている前記第2磁石アセンブリと、を備えている装置。
  13. 【請求項13】 前記複数のキャリッジ構造体を上下動
    し、もって前記複数のリフトピンを上下動するリフティ
    ング装置を更に備えている請求項12に記載の装置。
  14. 【請求項14】 前記リフティング装置は上昇位置及び
    下降位置をとることができ、前記リフティング装置が前
    記上昇位置にあるとき、前記複数のリフトピンはそれぞ
    れ対応の前記通路を上に通り抜けて前記内部空洞内に上
    方に延びており、前記ピンの頂部は、処理中に基板が保
    持される面より上方に配置されている請求項13に記載
    の装置。
  15. 【請求項15】 前記リフティング装置が前記下降位置
    にある場合、前記リフトピンの前記頂部が、処理中に基
    板が保持されるときに前記基板によって画される面より
    下方に位置するレベルにくるように、前記リフトピンが
    それぞれ対応の通路の中に収縮される請求項14に記載
    の装置。
  16. 【請求項16】 前記リフティング装置が前記下降位置
    にあるとき、前記ピンの頂部が前記チャンバ内の前記空
    洞内まで延びないように前記リフトピンがそれぞれ対応
    の通路の中に収縮されている請求項15に記載の装置。
  17. 【請求項17】 前記リフティング装置が、直線運動を
    生じる駆動装置と、前記駆動装置の前記直線運動を全て
    の前記キャリッジ構造体に伝えるスパイダ状アセンブリ
    とを備えている請求項13に記載の装置。
  18. 【請求項18】 前記リフトピンハウジングが、前記チ
    ャンバ底部の下側で前記チャンバに取り付けられている
    請求項17に記載の装置。
  19. 【請求項19】 前記駆動装置が空気式駆動装置である
    請求項13に記載の装置。
  20. 【請求項20】 基板を処理する装置であって、 内部空洞を包囲し且つチャンバ底部を有しているチャン
    バと、 処理中に前記基板を支持する、前記チャンバ内のサポー
    ト装置と、 透磁性の材料で作られており、前記チャンバの下側で取
    り付けられているハウジングと、 前記ハウジング内に配置されており、前記チャンバ底部
    を通って上方へ延びている通路内と処理中に基板を含む
    前記空洞内とに上方に延びる上端部を有するピンと、 前記ハウジングの内部に設けられ、前記ピンに取り付け
    られている第1磁石アセンブリと、 前記ハウジングの外部に配置されているキャリッジ構造
    体と、 前記キャリッジ構造体に取り付けられている第2磁石ア
    センブリと、を備え、 前記第2磁石アセンブリが前記ピン及び前記第1アセン
    ブリを前記ハウジング内に磁気的に浮揚させるように、
    前記第1磁石アセンブリ及び前記第2磁石アセンブリが
    互いに相対的に配置されている装置。
  21. 【請求項21】 前記キャリッジ構造体を上下動し、も
    って前記ピンを上下動するリフティング装置を更に備え
    ている請求項20に記載の装置。
  22. 【請求項22】 前記基板を、前記チャンバ底部の上方
    に所定の間隔を開けて前記基板の外周部で支持する基板
    サポートリングを更に備えており、前記基板が前記サポ
    ートリング内に保持されるときに前記ピンが前記基板の
    裏側と接触するように、前記ピンの頂部が基板サポート
    リングに対して相対的に配置されている請求項20に記
    載の装置。
  23. 【請求項23】 使用中に前記サポートリングが回転軸
    線を中心として回転し、前記ピンは前記回転軸線に沿っ
    て整列されている請求項22に記載の装置。
  24. 【請求項24】 使用中に、前記ピンの前記頂部が前記
    基板の裏面の中央部と接触している請求項23に記載の
    装置。
  25. 【請求項25】 前記ピンの前記頂部が、前記ピンの前
    記頂部と前記基板の裏側との接触領域を最小にするよう
    に形成されている請求項22に記載の装置。
  26. 【請求項26】 前記ピンの前記頂部が丸くされている
    請求項22に記載の装置。
  27. 【請求項27】 処理中に前記基板が前記サポートリン
    グ内に保持されると、前記ピンが前記基板の裏側に接触
    して偏倚する請求項22に記載の装置。
  28. 【請求項28】 前記基板を、前記チャンバ底部の上方
    に所定の間隔を開けて、前記基板の外周部で支持してい
    る基板サポートリングを更に備えている請求項21に記
    載の装置。
  29. 【請求項29】 前記リフティング装置が上昇位置及び
    下降位置をとることができ、前記リフティング装置が前
    記上昇位置にあるとき、前記リフトピンは前記通路を通
    り抜けて前記内部空洞内に上方に延びており、前記ピン
    の頂部は、処理中に基板が保持される面より上方に位置
    している請求項28に記載の装置。
  30. 【請求項30】 前記リフティング装置が前記下降位置
    にある場合、前記リフトピンの前記頂部が、処理中に基
    板が保持されるときに前記基板によって画される面より
    下方に位置するレベルにくるように、前記リフトピンが
    前記通路の中に収縮されている請求項29に記載の装
    置。
JP23018997A 1996-07-24 1997-07-23 処理チャンバのリフトピン及びサポートピン装置 Withdrawn JPH1092916A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/687,165 US5879128A (en) 1996-07-24 1996-07-24 Lift pin and support pin apparatus for a processing chamber
US08/687165 1996-07-24

Publications (1)

Publication Number Publication Date
JPH1092916A true JPH1092916A (ja) 1998-04-10

Family

ID=24759352

Family Applications (1)

Application Number Title Priority Date Filing Date
JP23018997A Withdrawn JPH1092916A (ja) 1996-07-24 1997-07-23 処理チャンバのリフトピン及びサポートピン装置

Country Status (4)

Country Link
US (1) US5879128A (ja)
EP (1) EP0821404A3 (ja)
JP (1) JPH1092916A (ja)
KR (1) KR980011770A (ja)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010016415A (ja) * 2004-06-01 2010-01-21 Applied Materials Inc 基板を支持するための方法及び装置
US7651583B2 (en) * 2004-06-04 2010-01-26 Tokyo Electron Limited Processing system and method for treating a substrate
JP4701496B2 (ja) * 2000-12-07 2011-06-15 東京エレクトロン株式会社 処理方法及びその装置
JP2011204784A (ja) * 2010-03-24 2011-10-13 Yaskawa Electric Corp 被処理体の支持機構、支持方法およびそれを備えた搬送システム
WO2012157638A1 (ja) * 2011-05-19 2012-11-22 シャープ株式会社 基板載置台および基板処理装置
JP2019153665A (ja) * 2018-03-02 2019-09-12 株式会社Screenホールディングス 基板処理装置及び基板処理システム
JP2021501474A (ja) * 2017-10-27 2021-01-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated リフトピンホルダー

Families Citing this family (384)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1179611B1 (de) * 1992-10-06 2004-09-15 Unaxis Balzers Aktiengesellschaft Kammer für den Transport von Werkstücken
AT411304B (de) * 1997-06-18 2003-11-25 Sez Ag Träger für scheibenförmige gegenstände, insbesondere silizium-wafer
US6309163B1 (en) 1997-10-30 2001-10-30 Applied Materials, Inc. Wafer positioning device with storage capability
JP3286240B2 (ja) * 1998-02-09 2002-05-27 日本エー・エス・エム株式会社 半導体処理用ロードロック装置及び方法
JPH11288995A (ja) * 1998-04-04 1999-10-19 Tokyo Electron Ltd 搬送システム及び処理装置
US6596086B1 (en) * 1998-04-28 2003-07-22 Shin-Etsu Handotai Co., Ltd. Apparatus for thin film growth
US6215897B1 (en) 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Automated substrate processing system
US6517303B1 (en) * 1998-05-20 2003-02-11 Applied Komatsu Technology, Inc. Substrate transfer shuttle
JP2002517900A (ja) * 1998-06-05 2002-06-18 アプライド マテリアルズ インコーポレイテッド ウェハに接触するための改良された方法および装置
JP2000021861A (ja) * 1998-07-03 2000-01-21 Sony Corp ドライエッチング装置
US6719516B2 (en) * 1998-09-28 2004-04-13 Applied Materials, Inc. Single wafer load lock with internal wafer transport
JP3770740B2 (ja) * 1998-11-19 2006-04-26 松下電器産業株式会社 基板剥離装置
US6178361B1 (en) * 1998-11-20 2001-01-23 Karl Suss America, Inc. Automatic modular wafer substrate handling device
JP4294791B2 (ja) * 1999-05-17 2009-07-15 アプライド マテリアルズ インコーポレイテッド 半導体製造装置
TW466576B (en) * 1999-06-15 2001-12-01 Ebara Corp Substrate processing apparatus
US6485603B1 (en) * 1999-07-01 2002-11-26 Applied Materials, Inc. Method and apparatus for conserving energy within a process chamber
US6151446A (en) * 1999-07-06 2000-11-21 Applied Materials, Inc. Apparatus and method for thermally processing substrates including a processor using multiple detection signals
US6298685B1 (en) 1999-11-03 2001-10-09 Applied Materials, Inc. Consecutive deposition system
US6500737B1 (en) * 2000-06-08 2002-12-31 Wafermasters, Inc. System and method for providing defect free rapid thermal processing
EP1174910A3 (en) * 2000-07-20 2010-01-06 Applied Materials, Inc. Method and apparatus for dechucking a substrate
JP2002093724A (ja) * 2000-09-18 2002-03-29 Tokyo Electron Ltd 熱処理装置
US6485248B1 (en) * 2000-10-10 2002-11-26 Applied Materials, Inc. Multiple wafer lift apparatus and associated method
US6413321B1 (en) * 2000-12-07 2002-07-02 Applied Materials, Inc. Method and apparatus for reducing particle contamination on wafer backside during CVD process
US6770146B2 (en) * 2001-02-02 2004-08-03 Mattson Technology, Inc. Method and system for rotating a semiconductor wafer in processing chambers
US6935466B2 (en) * 2001-03-01 2005-08-30 Applied Materials, Inc. Lift pin alignment and operation methods and apparatus
US6767176B2 (en) 2001-06-29 2004-07-27 Applied Materials, Inc. Lift pin actuating mechanism for semiconductor processing chamber
DE10134513A1 (de) * 2001-07-16 2003-01-30 Unaxis Balzers Ag Hebe-und Stützvorichtung
KR100777572B1 (ko) * 2001-12-22 2007-11-16 엘지.필립스 엘시디 주식회사 건식 식각 장비 및 그 운용방법
US6861321B2 (en) * 2002-04-05 2005-03-01 Asm America, Inc. Method of loading a wafer onto a wafer holder to reduce thermal shock
US6918965B2 (en) * 2002-08-28 2005-07-19 Micron Technology, Inc. Single substrate annealing of magnetoresistive structure
US6887317B2 (en) * 2002-09-10 2005-05-03 Applied Materials, Inc. Reduced friction lift pin
US20040096636A1 (en) * 2002-11-18 2004-05-20 Applied Materials, Inc. Lifting glass substrate without center lift pins
US20040177813A1 (en) * 2003-03-12 2004-09-16 Applied Materials, Inc. Substrate support lift mechanism
JP4121413B2 (ja) * 2003-03-31 2008-07-23 株式会社神戸製鋼所 板状被処理品の高圧処理装置
US20060005770A1 (en) * 2004-07-09 2006-01-12 Robin Tiner Independently moving substrate supports
CN100550286C (zh) * 2004-09-10 2009-10-14 Oc欧瑞康巴尔斯公司 基片处理系统
KR101147907B1 (ko) * 2005-04-11 2012-05-24 주성엔지니어링(주) 기판분리장치
JP4580327B2 (ja) * 2005-11-21 2010-11-10 東京エレクトロン株式会社 被処理体の取り出し方法及びプログラム記憶媒体並びに載置機構
US20080017117A1 (en) * 2006-07-18 2008-01-24 Jeffrey Campbell Substrate support with adjustable lift and rotation mount
US20080017116A1 (en) * 2006-07-18 2008-01-24 Jeffrey Campbell Substrate support with adjustable lift and rotation mount
US7967930B2 (en) * 2006-10-30 2011-06-28 Applied Materials, Inc. Plasma reactor for processing a workpiece and having a tunable cathode
US9218944B2 (en) 2006-10-30 2015-12-22 Applied Materials, Inc. Mask etch plasma reactor having an array of optical sensors viewing the workpiece backside and a tunable element controlled in response to the optical sensors
US8002946B2 (en) * 2006-10-30 2011-08-23 Applied Materials, Inc. Mask etch plasma reactor with cathode providing a uniform distribution of etch rate
US8012366B2 (en) * 2006-10-30 2011-09-06 Applied Materials, Inc. Process for etching a transparent workpiece including backside endpoint detection steps
US8017029B2 (en) * 2006-10-30 2011-09-13 Applied Materials, Inc. Plasma mask etch method of controlling a reactor tunable element in accordance with the output of an array of optical sensors viewing the mask backside
US20080099450A1 (en) * 2006-10-30 2008-05-01 Applied Materials, Inc. Mask etch plasma reactor with backside optical sensors and multiple frequency control of etch distribution
US7976671B2 (en) 2006-10-30 2011-07-12 Applied Materials, Inc. Mask etch plasma reactor with variable process gas distribution
CN101584034B (zh) 2007-06-19 2011-05-18 株式会社爱发科 基板输送方法
US8256754B2 (en) * 2007-12-12 2012-09-04 Applied Materials, Inc. Lift pin for substrate processing
US20090314211A1 (en) * 2008-06-24 2009-12-24 Applied Materials, Inc. Big foot lift pin
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP2011035199A (ja) * 2009-08-03 2011-02-17 Tokyo Electron Ltd 基板載置機構およびそれを用いた基板処理装置
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101146149B1 (ko) * 2009-12-28 2012-05-16 엘아이지에이디피 주식회사 리프트 핀 모듈
KR101146150B1 (ko) * 2009-12-28 2012-05-16 엘아이지에이디피 주식회사 기판처리장치
US9759487B2 (en) * 2011-03-02 2017-09-12 Ivoclar Vivadent Ag Dental firing or press furnace
US8946058B2 (en) * 2011-03-14 2015-02-03 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
USRE46339E1 (en) * 2011-03-14 2017-03-14 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8777685B2 (en) * 2011-08-15 2014-07-15 Shenzhen China Optoelectronics Technology Co., Ltd. Apparatus and method for assembling backlight module
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8980767B2 (en) * 2012-01-13 2015-03-17 Applied Materials, Inc. Methods and apparatus for processing a substrate
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US8865602B2 (en) 2012-09-28 2014-10-21 Applied Materials, Inc. Edge ring lip
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN104704626B (zh) 2012-10-24 2017-12-05 应用材料公司 用于快速热处理的最小接触边缘环
US10020187B2 (en) * 2012-11-26 2018-07-10 Applied Materials, Inc. Apparatus and methods for backside passivation
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10857655B2 (en) * 2013-03-13 2020-12-08 Applied Materials, Inc. Substrate support plate with improved lift pin sealing
US9991153B2 (en) * 2013-03-14 2018-06-05 Applied Materials, Inc. Substrate support bushing
TWI624903B (zh) 2013-03-15 2018-05-21 應用材料股份有限公司 在雜訊環境中之現場溫度測量
US9490149B2 (en) * 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
CN106133873B (zh) * 2014-03-12 2019-07-05 应用材料公司 在半导体腔室中的晶片旋转
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10711348B2 (en) * 2015-03-07 2020-07-14 Applied Materials, Inc. Apparatus to improve substrate temperature uniformity
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6479525B2 (ja) * 2015-03-27 2019-03-06 株式会社ニューフレアテクノロジー 成膜装置及び温度測定方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) * 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10449641B2 (en) * 2016-02-18 2019-10-22 Panasonic Intellectual Property Management Co., Ltd. System for manufacturing assembly board and method for installing undersupporting device of the system
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
KR102205540B1 (ko) * 2016-03-25 2021-01-20 주식회사 원익아이피에스 기판처리장치
KR102173657B1 (ko) * 2016-03-25 2020-11-03 주식회사 원익아이피에스 기판처리장치
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10460977B2 (en) * 2016-09-29 2019-10-29 Lam Research Corporation Lift pin holder with spring retention for substrate processing systems
US10573549B2 (en) 2016-12-01 2020-02-25 Lam Research Corporation Pad raising mechanism in wafer positioning pedestal for semiconductor processing
US9892956B1 (en) * 2016-10-12 2018-02-13 Lam Research Corporation Wafer positioning pedestal for semiconductor processing
US9960068B1 (en) 2016-12-02 2018-05-01 Lam Research Corporation Moment cancelling pad raising mechanism in wafer positioning pedestal for semiconductor processing
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10262887B2 (en) * 2016-10-20 2019-04-16 Lam Research Corporation Pin lifter assembly with small gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20190083375A (ko) * 2016-12-02 2019-07-11 어플라이드 머티어리얼스, 인코포레이티드 기판 이송 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
CN110506326B (zh) * 2017-07-24 2024-03-19 朗姆研究公司 可移动的边缘环设计
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
EP3450809A1 (de) * 2017-08-31 2019-03-06 VAT Holding AG Verstellvorrichtung mit spannzangenkupplung für den vakuumbereich
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
KR102053593B1 (ko) * 2017-11-29 2019-12-09 주식회사 테스 리프트핀유닛의 이동방법 및 기판처리장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN114026675A (zh) * 2019-07-25 2022-02-08 爱必克股份有限公司 外延生长装置的工艺腔室
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
CN112750750B (zh) * 2019-10-31 2022-12-02 夏泰鑫半导体(青岛)有限公司 升降机构
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
USD1031676S1 (en) * 2020-12-04 2024-06-18 Asm Ip Holding B.V. Combined susceptor, support, and lift system
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN113084501B (zh) * 2021-03-25 2022-04-15 中国航发南方工业有限公司 一种电磁反重力装配装置
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4790258A (en) * 1987-04-03 1988-12-13 Tegal Corporation Magnetically coupled wafer lift pins
JPH0671041B2 (ja) * 1987-10-16 1994-09-07 セイコー精機株式会社 真空室内搬送装置
DE3803411A1 (de) * 1988-02-05 1989-08-17 Leybold Ag Vorrichtung zur halterung von werkstuecken
US4961352A (en) * 1988-02-24 1990-10-09 Satcon Technology Corporation Magnetic bearing and suspension system
US4900962A (en) * 1989-01-18 1990-02-13 Satcon Technology Corporation Magnetic translator bearings
US5155336A (en) * 1990-01-19 1992-10-13 Applied Materials, Inc. Rapid thermal heating apparatus and method
JP2704309B2 (ja) * 1990-06-12 1998-01-26 大日本スクリーン製造株式会社 基板処理装置及び基板の熱処理方法
US5353656A (en) * 1992-08-18 1994-10-11 Satcon Technology Corporation Electrostatically controlled micromechanical gyroscope
US5319273A (en) * 1992-10-26 1994-06-07 Satcon Technology Corporation Fixed gain electromagnetic actuator and electromagnetic bearing incorporating same
US5291975A (en) * 1992-10-27 1994-03-08 Satcon Technology Corporation System and method for damping narrow band axial vibrations of a rotating device
US5442288A (en) * 1993-04-22 1995-08-15 Satcon Technology Corporation Magnetoelastic magnetometer
US5396140A (en) * 1993-05-28 1995-03-07 Satcon Technology, Corp. Parallel air gap serial flux A.C. electrical machine
JP3264076B2 (ja) * 1994-01-31 2002-03-11 松下電器産業株式会社 真空処理装置
US5730801A (en) * 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
JP3773561B2 (ja) * 1995-05-19 2006-05-10 富士通株式会社 ウェハ処理装置

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4701496B2 (ja) * 2000-12-07 2011-06-15 東京エレクトロン株式会社 処理方法及びその装置
JP2010016415A (ja) * 2004-06-01 2010-01-21 Applied Materials Inc 基板を支持するための方法及び装置
US8365682B2 (en) 2004-06-01 2013-02-05 Applied Materials, Inc. Methods and apparatus for supporting substrates
US7651583B2 (en) * 2004-06-04 2010-01-26 Tokyo Electron Limited Processing system and method for treating a substrate
JP2011204784A (ja) * 2010-03-24 2011-10-13 Yaskawa Electric Corp 被処理体の支持機構、支持方法およびそれを備えた搬送システム
WO2012157638A1 (ja) * 2011-05-19 2012-11-22 シャープ株式会社 基板載置台および基板処理装置
JP2021501474A (ja) * 2017-10-27 2021-01-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated リフトピンホルダー
JP2019153665A (ja) * 2018-03-02 2019-09-12 株式会社Screenホールディングス 基板処理装置及び基板処理システム

Also Published As

Publication number Publication date
EP0821404A3 (en) 2004-03-10
EP0821404A2 (en) 1998-01-28
US5879128A (en) 1999-03-09
KR980011770A (ko) 1998-04-30

Similar Documents

Publication Publication Date Title
JPH1092916A (ja) 処理チャンバのリフトピン及びサポートピン装置
KR102615853B1 (ko) 기판 캐리어 시스템
KR100280575B1 (ko) 반도체웨이퍼의처리작동을위한열반응로
KR100444756B1 (ko) 저질량서스셉터
US6106148A (en) Apparatus including integral actuator with control for automated calibration of temperature sensors in rapid thermal processing equipment
US6464825B1 (en) Substrate processing apparatus including a magnetically levitated and rotated substrate holder
US4886412A (en) Method and system for loading wafers
US5854468A (en) Substrate heating apparatus with cantilevered lifting arm
US20130224962A1 (en) Non-contact substrate processing
US20030178145A1 (en) Closed hole edge lift pin and susceptor for wafer process chambers
JPH01252770A (ja) 工作物を保持する装置
JPH11111626A (ja) 熱処理装置のシャワーヘッド構造
US20070110895A1 (en) Single side workpiece processing
JP2022122958A (ja) 裏側の基板接触を減少させる基板移送機構
EP1098830A2 (en) Wafer carrier and method for handling of wafers with minimal contact
JP2020053606A (ja) 基板処理装置
US6861321B2 (en) Method of loading a wafer onto a wafer holder to reduce thermal shock
KR20200036761A (ko) 기판 처리 장치
JP4867074B2 (ja) 枚葉式の処理装置
CN115948720B (zh) 薄膜沉积设备
CN218385137U (zh) 一种半导体反应设备
JP4342765B2 (ja) 基板処理装置
JP6233712B2 (ja) 気相成長装置及び被処理基板の支持構造
JP2639435B2 (ja) 熱処理装置
JP3355240B2 (ja) 半導体製造装置

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20041005