KR980011770A - 처리 챔버용 리프트 핀 및 지지 핀 장치 - Google Patents

처리 챔버용 리프트 핀 및 지지 핀 장치 Download PDF

Info

Publication number
KR980011770A
KR980011770A KR1019970034603A KR19970034603A KR980011770A KR 980011770 A KR980011770 A KR 980011770A KR 1019970034603 A KR1019970034603 A KR 1019970034603A KR 19970034603 A KR19970034603 A KR 19970034603A KR 980011770 A KR980011770 A KR 980011770A
Authority
KR
South Korea
Prior art keywords
substrate
pin
chamber
lift
housing
Prior art date
Application number
KR1019970034603A
Other languages
English (en)
Inventor
제임스 브이. 티에츠
벤자민 비어맨
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR980011770A publication Critical patent/KR980011770A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

기판 지지용 장치는 자성적으로 투과 가능한 재료로 형성된 하우징; 상기 하우징내에 배치되고, 상기 챔버 하부를 통해 연장하는 통로를 연장하며 처리 동안 기판을 포함하는 상기 공동으로 연장하는 상단부를 가지는 핀; 상기 하우징의 내부와 상기 핀 위에 장착된 제 1 자석 어셀블리; 상기 하우징의 외부에 배치된 캐리지 구조체; 및 상기 캐리지 구조체상에 장착된 제 2 자석 어셈블리를 포함하며, 상기 제 1 및 제 2 자석 어셈블리는 서로에 관련하여 배치되어 상기 제 2 자석 어셈블리는 상기 핀과 상기 제 1 자석 어셈블리가 자성적으로 상기 하우징내에 부상되도록 하는 것을 특징으로 한다.

Description

처리 챔버용 리프트 핀 및 지지 핀 장치
본 발명은 반도체 처리 챔버, 예를 들면 급속 열처리(RTP) 챔버에 사용되는 기판지지 메커니즘에 관한 것이다.
집적회로 제조공정에 있어서, 기판 처리 장치는 처리 챔버내에 기판을 유지시켜서 에칭, 증착 또는 어닐링과 같은 화학적 또는 물리적 처리를 행한다. 처리 챔버 내부에서, 기판은 서셉터, 지지 링, 플래튼, 또는 다른 기판 지지체 위에 놓인다. 처리량을 증가시키고 오염을 방지하고 사람들의 실수를 피하기 위하여, 자동화된 로봇 핸들러는 챔버 내부 및 외부로 기판을 이동시킨다. 로봇과 기판 지지체 사이의 부드러운 "핸드-오프"는 일반적으로 리프트 핀 장치에 의해 달성된다.
리피트 핀 장치는 기판을 상승 및 하강시키기 위하여 수직으로 이동될 수 있는 여러개의 리프트 핀을 포함한다. 로봇 핸들러로부터 지지체로 기판을 전달하기 위하여, 리프트 핀은 수직으로 상승하여 로봇 핸들러로부터 기판을 픽업한다. 로봇 핸들러가 후퇴한 후에, 리프트 핀은 기판을 지지체상으로 하강시킨다. 공정이 완료될 때, 리프트 핀은 웨이퍼를 지지체로부터 상승시키고 로봇 핸들러는 기판 아래에 위치된다. 이어서 리프트 핀은 기판을 로봇 핸들러상으로 하강시킨다.
본 발명은 반도체 처리 챔버에 사용되는 기판지지 메커니즘을 제공하는 것을 목적으로 한다.
제1도는 기판 처리 챔버의 개략 단면도.
제2a-2c도는 리프트 핀 장치의 개략 단면도.
제3a도 및 제3b도는 리프트 핀의 실시예의 부분 절단 사시도.
제4도는 자석 지지 구조의 개략 사시도.
제5도는 지지 링 위에 기판을 지지하는 리프트 핀의 개략 사시도.
제6도는 처리 챔버에 연결된 지지 핀 하우징의 개략 단면도.
제7a-7c도는 지지 핀 장치의 개략 단면도.
제8도는 다른 리프트 핀 메커니즘의 개략 단면도.
* 도면의 주요부분에 대한 부호의 설명
10 : 기판 20 : 처리 챔버
22 : 원통형 측벽 26 : 하부 벽
30 : 기판 지지체 32 : 에지 링
34 : 립 36 : 지지관
40 : 냉각수 가열 엘리먼트 42 : 석영 윈도우
50 : 반사판 90 : 리프트 핀
100 : 하우징 112 : 자석 어셈블리
일반적으로, 본 발명의 일 특징은 내부 공동을 에워싸며 챔버 하부를 갖는 처리 챔버에 사용되는 기판지지 메커니즘이다. 상기 장치는 자성 영구 재료로 만들어진 하우징; 상기 하우징 내에 위치되고 챔버의 하부를 통하여 연장하는 통로내로 그리고 처리 동안에 기판을 포함하는 공동 내로 연장하는 상단부를 갖는 핀; 하우징의 내부에 있으며 핀 상에 설치된 제 1 자석 어셈블리; 상기 하우징의 외부에 위치된 캐리지 구조체; 및 상기 캐리지 구조체상에 설치된 제 2 자석 어셈블리를 포함한다. 제 1 및 제 2 자석 어셈블리는 제 2 자석 어셈블리가 핀과 제 1 자석 어셈블리를 하우징내에 자성적으로 부상시키도록 서로 위치된다.
바람직한 실시예는 다음과 같은 특징을 갖는다. 상기 장치는 캐리지 구조체를 상승 및 하강시킴으로써 핀이 상승 및 하강되는 리프팅 메커니즘을 포함한다. 리프팅 메커니즘은 상승된 위치 및 하강된 위치를 갖는다. 리프팅 메커니즘이 상승된 위치에 있을 때, 핀은 처리동안에 기판이 유지되는 평면 위에 핀의 상부가 위치되도록 통로를 통하여 내부 공동으로 뻗어있다. 리프팅 메커니즘이 하강된 위치에 있을 때, 핀은 처리동안에 기판이 유지되는 평면 아래로 유지되는 레벨로 핀의 상부가 놓이도록 구멍내로 후퇴된다. 처리 챔버는 챔버의 하부 위에 간격져 있으며 그 주변에서 기판을 지지하기 위한 기판지지 링을 포함하며 핀의 상부는 기판이 지지 링내에 유지될 때 핀이 기판의 후방측과 접촉하도록 기판지지 링에 대하여 위치되어 있다. 일 실시예에서, 지지 링은 사용동안 회전축 주위로 회전하며 핀은 회전축을 따라 정렬되고 핀의 상부는 기판의 후방측의 중심과 접촉하여 바이어스되어 있다. 핀의 상부는 핀의 상부와 기판의 후방측 사이의 접촉 영역을 최소화하기 위하여 형성되어 있으며, 예를 들면 둥글다. 이외에도, 제 1 및 제 2자석 어셈블리는 서용동안 핀이 자유롭게 회전되도록 구성된다.
본 발명의 다른 특징은 기판을 처리하기 위한 장치이다. 상기 장치는 내부 공동을 에워싸며 챔버 하부를 갖는 진공 챔버; 처리동안에 기판을 지지하는 챔버 내부의 지지 메커니즘; 챔버 하부에 설치되고 자성적으로 투과 가능한 재료로 만들어진 하우징; 하우징 내부에 위치되며 챔버의 하부를 통하여 연장하는 통로와 처리동안에 기판을 포함하는 공동으로 연장하는 상단부를 갖는 핀; 핀 상에 설치되고 하우징의 내부에 있는 제 1 자석 어셈블리; 하우징의 외부에 설치된 캐리지 구조체; 및 캐리지 구조체상에 설치된 제 2 자석 어셈블리를 포함하며, 상기 제 1 및 제 2 자석 어셈블리는 제 2 자석 어셈블리가 핀과 제 1 자석 어셈블리를 하우징내에서 자성적으로 부상시키도록 서로 위치되어 있다.
제1도에 도시된 바와 같이, 급속 열처리 시스템에서, 회전 기판지지 구조체(30)는 처리 챔버(20) 내부의 원반형 실리콘 기판(10)(예를 들면, 직경이 150-300mm)을 유지한다. 상부(25), 원통형 측벽(22), 및 하부 벽(26)을 포함하는 챔버(20)는 시일된 공동을 한정한다. 챔버의 상부에 위치된 텅스텐-할로겐 램프의 어레이와 같은 냉각수 가열 엘리먼트(40)로부터의 방사 에너지는 챔버내의 공동으로 석영 윈도우(42)를 통과하며 처리가 이루어지는 상승된 온도로 기판을 빠르고 균일하게 가열한다. 이러한 챔버내에서 수행되는 챔버는 예를 들면 어닐링, 클리닝, 화학 진공 증착, 도핑, 에칭, 산화, 및 질화를 포함한다. 수행되는 처리의 형태에 따라서, 반응 및/또는 불활성 가스는 처리동안 챔버내로 흘러들어간다.
챔버의 하부 및 기판(10)의 아래에서, 스텐레스강 베이스(55)는 예를 들면 금과 같은 얇고 아주 반사적인 층으로 코팅된 알루미늄으로 만들어진 반사판(50)을 지지한다. 냉각액은 처리동안에 베이스를 냉각시키기 위하여 베이스(55)내의 통로(56)를 통해 순환한다.
기판 지지체(30)는 립(34)을 갖는 에지 링(32)을 포함한다. 립(34)은 기판지지 구조체(10)가 회전할 때 에지 링으로부터 가로로 기판(10)이 슬립되는 것을 방지하며 기판(10)과 동심원을 이룬다. 반사판(50) 위, 예를 들면 약 1cm내로 기판(10)을 지지하는 에지 링(32)은 지지관(36)을 회전시키는 베어링 어셈블리(38)상에 차례로 놓여있는 우너통향 지지에 놓여 그것에 지지된다. 베어링 어셈블리(38)는 지지관(36)을 에워싸는 실드(58)에 의해 증착 재료 및 열로부터 보호된다. 지지관(36)을 회전시키는 방위각 구동 메커니즘은 챔버(20)의 외벽(22)에 위치된 구동 링(24)을 회전시키는 모터(66)를 포함한다. 구동 링(24)상에 설치된 자석(62)은 지지관(36)상에 설치된 일 세트의 자석(60)에 측벽(22)을 통하여 자성적으로 결합된다. 모터가 구동 링(64)을 회전시킬 때, 자석(60) 및 (62) 사이의 자성 결합은 지지관(36)과 기판(10)이 회전되는 것을 초래하게 된다. 전형적으로, 구동 메커니즘은 약 90 rpm으로 지지관을 회전시키나, 적정할 경우 고속으로 사용될 수도 있다.
시스템은 처리동안에 기판의 온도를 측정하기 위하여 센서 시스템을 포함한다. 센서 시스템은 기판(10)의 하부와 반사판(50)의 상부 사이에 형성된 공동내의 방사를 샘플링하기 위하여 광 파이프(72)에 연결된 광섬유(74)를 사용하는 다중 고온계를 포함한다. 광 파이프(72)는 베이스(55)를 통해 반사판(50)의 상부로 연장하는 도관(70)내에 유지된다. 고온계(76)는 샘플링된 방사를 온도 리딩으로 전환한다.
하부 챔버(20)에는 처리후에 기판이 챔버(20)로부터 제거될 수 있도록 챔버(20)내에 기판(10)을 수용하고 처리전에 기판을 에지 링(32)상으로 하강시킨후 이후에 에지 링(32)으로부터 기판을 상승시키는데 사용되는 리프트 메커니즘(89)이 있다. 리프트 메커니즘(89)은 챔버(20)의 하부 벽, 기저판(55), 및 반사판(50)을 통하여 연장하는 리프트 핀 구멍(80)을 통과하는 일 세트의 리프트 핀(90)을 포함한다. 각 리피트 핀(90)은 챔버의 하부에 설치된 대응 밀봉된 리프트 핀 하우징(100)내에 포함된다.
제2A-2C도에서, 리프트 핀(90)은 챔버내로 핀을 통과시키는 구멍이나 하우징과 물리적으로 접촉하지 않도록 리피트 핀 하우징(100)내에 자성적으로 지지된다. 밀봉된 하우징(100)내에 각 리프트 핀(90)을 부상시키는데 사용되는 자성 선형 베어링(95)은 하우징(100)내에 리프트 핀(90)상에 설치된 상부 자석 어셈블리(92a)와 하부 자석 어셈블리(92)를 포함하며 리프트 핀 하우징(100) 외부에 위치되어 그것을 에워싸는 이동가능한 캐리지(110) 상에 설치된 대응하는 상부 자석 어셈블리(112a) 및 하부 자석 어셈블리(112)를 포함한다. 이하, 자석 어셈블리(92a, 92b)는 일반적으로 자석 어셈블리(92)로 인용될 것이다. 유사하게, 자석 어셈블리(112a) 및 (112b)는 자석 어셈블리(112)로 인용될 것이다.
각 리프트 핀 하우징(100)은 자성적으로 투과가능한 재료, 예를 들면 스텐레스강으로 만들어진다. 그러므로, 자석 어셈블리(92) 및 (112)로부터의 자계는 서로 상호작용한다. 자석 어셈블리는 서로에 대하여 추진력이 인가되도록 서로에 대하여 방향설정된다. 또한, 자석 어셈블리는 추진력이 중력에 대항하여 리프트 핀(90)을 지지하고 핀을 챔버내로 통과시키는 구멍이나 하우징의 내면과 접촉하지 않고 안정한 위치로 가로로 리프트 핀(90)을 유지하도록 위치설정된다. 이것을 달성하기 위하여, 자석은 당업자에게 공지된 다양한 방법으로 서로에 대하여 배열되어 있다. 도시한 실시예에서, 하부 자석 어셈블리(92b)는 하우징(100) 외부의 하부 자석 어셈블리(112b)의 평면의 약간 위에 있는 평면에 위치되어 있으며, 상부 자석 어셈블리(92a)는 하우징(100) 외부의 하부 자석 어셈블리(112a)의 평면의 약간 아래에 있는 평면에 위치된다. 그러므로, 하부 세트의 자석(예를 들면, 자석 어셈블리(92b) 및 (112b))은 중력에 대항하여 핀상에 하방력을 인가하여 하부 자석 어셈블리에 의해 발생된 힘에 대하여 핀이 클램핑된다. 이 배열은 핀을 안정하게 유지시키고 특히 핀이 하강될 때 핀의 이동의 보다 양적인 제어를 하려는 경향이 있다.
자석 어셈블리(92) 및 (112)는 간단하게 설계될 수 있으며, 미합중국 특허 제 5,319,272호에 개시된 바와 같은 보다 복잡한 구성일 수도 있다. 이 출원에서는 회전을 위하여 리프트 핀이 필요한 것은 아니다.
리프트 핀 구멍(80)은 비반사성인 반사판상에 영역을 형성한다는 것에 주지한다. 물을 균일하게 가열하기 위하여, 높은 반사성과 가능한 한 균일한 반사성을 모두 갖는 반사판을 가질 필요는 없다. 그러므로, 리프트 핀 구멍(80) 및 리프트 핀(90)은 구멍 바로 위의 위치에서 웨이퍼의 온도를 교란시키는 양을 최소화하기 위하여 실제와 같이 작은 직경을 갖는 것이 바람직하다. 물론, 핀은 노무 작아서 불합리하게 깨져서는 안된다. 이외에도, 리프트 핀이 벽과 접촉하여 챔버내에서 처리되는 장치를 파괴시킬 수 있는 미립자 오염물이 생성되지 않도록 리프트 핀과 리프트 핀 구멍의 벽 사이의 갭이 충분히 커야 한다. 도시한 실시예에서, 리프트 핀 구멍(80)은 직경이 약 4.75mm이고 리피트 핀(90)은 직경이 2mm이며 허용오차는 약 2.75mm이다.
제3a도 및 제3b도에서, 리프트 핀(90)은 도시한 실시예에서 석영으로 만들어진 로드(120)를 포함한다. 로드(120)는 기판(10)과 접촉하기 위한 편평한 상부면(121)을 갖는다. 자석 어셈블리(92)는 리프트 핀 하우징(100)내에 남아 있는 로드(120)의 하부 위치상에 설치된다. 일 실시예에서, 두 개의 몰딩된 자석(92a) 및 (92b)은 로드(120)상의 상승된 위치(122)위로 에워싸서 스냅고정된다. 각 자석은 닉켈이나 플라스틱이나 또는 처리동안에 챔버(20)내에 사용되는 플루오린 및 클로라인과 같은 반응 가스에 대해 저항성을 갖는 어떤 재료로 만들어진 보호층(124)으로 코팅된 철-실리콘 원환체(torus)이다.
다른 실시예에서, 리프트 핀(90)의 하부 위치는 리톤(ryton)과 같은 사출성형되고 고온의 부식 저항 플라스틱으로 만들어진다. 하부 위치(126)는 두 개의 자석(92a) 및 (92b)으로 밀봉되며, 상기 두 개의 자석은 철-실리콘 디스크(127)로 만들어진다. 하부 위치(126)는 석영 로드(120)의 하부가 타이트하게 고정되는 원통형 구멍(128)을 갖는다.
제2a-2c도에 도시된 바와 같이, 스텐레스강 리프트 핀 하우징(100)은 일단이 폐쇄되어 있고 타단에는 외측으로 돌출한 플랜지(104)가 제공되어 있는 원통형 관(102)을 포함한다. 다른 부식 저항성의, 자성적으로 투과가능한 비도전성 재료가 스텐레스강 대신에 사용될 수도 있다. 나사(106)는 리프트 핀 하우징(100)을 하부 벽(26)에 고정시키며 플랜지(104)와 하부 벽(26) 사이의 O 링은 가스 장벽을 형성하기 위하여 그들 사이에 시일을 형성한다. 커넥터(130)를 통하여 리프트 핀 하우징(100)의 하부에 연결된 공급 라인(132)은 처리동안에 퍼지 가스를 공급한다. 퍼지 가스는 챔버로부터의 처리 가스가 처리동안에 리프트 핀 하우징에 들어가지 않도록 챔버에 대하여 리프트 핀 하우징(100)내의 약간 포지티브한 압력을 생성하고 하우징에 들어갈 수 있는 어떤 반응가스를 채우는데 사용되는 비반응 가스 또는 불활성 가스(예를 들면, 아르곤이나 질소)이다.
상승 및 하강하는 리프트 핀(90)은 리프트 핀이 하우징되는 공동의 내부 체적에서 어떤 변화를 일으키지 않고 달성된다. 이것은 리프트 핀을 상승 및 하강시키기 위하여 벨로우즈 어셈블리를 사용하는 다른 종래의 리프트 핀 메커니즘을 통하여 특정한 이점을 제공한다. 먼저, 어떠한 반응가스도 핀이 하강될 때 핀 하우징내로 흡수되지 않는다. 둘째로, 리프트 핀 하우징의 하부 바닥이 이동되지 않기 때문에, 벨로우즈 메커니즘의 경우에서와 같이, 퍼지 가스 공급장치를 하우징에 기계적으로 결합시키는 것이 보다 용이하다. 연결은 실패를 초래할 수 있는 반복된 가요성에 노출되지 않을 것이다.
제4도에 도시된 바와 같이, 캐리지(110)는 리프트 핀 하우징(100) 주위에 고정되며 자석 어셈블리(112a) 및 (112b)는 리프트 핀 하우징(100)의 길이방향 축에 수직한 평면에서 캐리지(110)에 기계적으로 부착된다. 퍼지 가스 라인(130)은 캐리지의 하부의 구멍(114)을 통해 제공되어 있다. 캐리지(110)는 화학적 구동에 의해 상승 및 하강되는 암(135)에 느슨하게 연결된다.
제5도에 도시된 일 실시예에서, 등변 삼각형의 수직선에 위치설정된 세 개의 리프트 핀(90a, 90b, 및 90c)은 기판의 하측(도시되지 않음)과 접촉하기 위하여 각각 세 개의 리피트 핀 구멍(80a, 80b, 및 80c)을 통해 돌출한다. 챔버(20)상에 물리적으로 설치된 스파이더 어셈블리(170)와 수직 구동 메커니즘(도시하지 않음)은 리프트 핀(90a-90c)의 상승 및 하강을 제어한다. 스파이더 어셈블리는 세 개의 캐리지(110a-110c)에 각각 느슨하게 연결된 세 개의 암(135a-135c)을 갖는다. 예를 들면 압축공기 구동일 수 있는 수직 구동 메커니즘(175) 및 스파이더는 동시에 세 개의 핀을 상승 및 하강 이동시킨다.
제1도에서, 일반적인 동작시에, 컴퓨터-제어된 로봇 암(16)상의 블레이드(14)는 포트(120)를 통하여 챔버(20)내로 기판(10)을 운반한다. 리프트 핀(90a-90c)은 블레이드(14)로부터 기판(10)을 리프트하고 약 일 인치정도 상승한다. 블레이드(14)가 후퇴한 후에, 리프트 핀(90a-90c)은 리프트 핀 구멍(80a-80c)으로 다시 후퇴하고, 그로인해 기판(10)이 에지 링(32)상으로 하강된다. 이어서 기판(10)은 처리단계로 들어간다. 처리단계가 완료되면, 기판(10)은 챔버(20)내에서 행해진 것과 동일한 방법으로 제거된다: 리프트 핀(90a-90c)은 상승하여 에지 링(32)으로부터 기판(10)을 리프트하고; 블레이드(14)는 기판(10)아래로 이동하고; 리프트 핀(90a-90c)은 기판(10)을 블레이드상으로 후퇴 및 하강시키고; 블레이드(14)는 포트(12)를 통하여 챔버(20) 외부로 기판(10)을 운반한다.
제6도에 도시된 바와 같이, 가공 챔버는 또한 가공 중에 기판 중심을 지지하는 기구(230)를 포함한다. 기판(10)은 모서리 링(32)에 의하여 그 주변에서 지지되기 때문에, 상기 중심 지지 기구(230)가 없다면, 예를 들어 직경이 300mm또는 그이상인 큰 기판의 중심은 중력에 의하여 특히 높은 처리 온도에서 하방향으로 편향되거나 기울어진다. 실제로, 기울어짐으로 인한 기판상의 스트레스는 "어긋남", 즉 기판의 전기적 유용성을 파괴시키는 기판 결정 구조의 전단 변형을 발생시키기에 충분할 수 있다. 기판 하부면 중심과 접촉하도록 지지 핀구멍(220)을 통하여 상부로 통과하는 지지핀(210)을 포함하는 지지 기구(230)는 상기와 같은 기울어짐을 방지한다.
리프트된 기구 및 서로 분리되어 있는 지지핀 기구만을 도시하였다. 이는 간략하기 위한 것이다. 그러나, 두 기구는 동일한 가공 챔버에 이용될 수 있다.
지지핀(210)을 포함하는 단일지지핀 하우징(200)은 챔버(20)의 바닥(210) 하부의 중심에 장착된다. 기구내의 지지부 설계는 이전에 설명한 리프트핀의 설계와 매우 유사하다. 그러나, 이 경우, 핀이 자유롭게 회전할 수 있고 기판의 중심을 지지하고 있는 것이 중요하다. 또한, 지지핀(210)은 리프트핀의 평평한 상부단부에 비하여 둥근 상부단부(214)를 가졌다. 이는 지지핀과 웨이퍼의 후면 사이의 접촉 영역을 최소화하고, 이는 다시 접촉점의 열부하와 상기 후면에 대한 마찰을 통한 입자의 발생 위험을 최소화한다.
지지핀(210)은 지지핀 구멍(220) 위를 통하여 기판이 모서리 링에서 휴지상태에 있을 때 기판의 후면을 접촉하는 챔버(20)쪽으로 지지핀 하우징(200)의 회부로 돌출한다. 지지핀 구멍(220)과 지지핀(210) 모두의 영역은 지지구조체(30)의 회전축과 정렬되고 상기 회전축과 공동 축을 가진다. 따라서, 지지핀(210)은 그의 중심에서 기판과 접촉하며, 상기 지지핀의 중심은 회전이 발생하는 포인트이다.
제7A도에 도시된 바와 같이, 지지핀(210)은 지지핀 하우징(200) 내부를 자성적으로 부양한다. 지지핀(210)이 상부 및 하부 자석 어셈블리(212a, 212b)(일반적으로 자석 어셈블리(212)라고 한다)를 포함하는 자성 베어링(230)은 상부 및 하부 자석 어셈블리(242a, 242b)(일반적으로 자석 어셈블리(242)라고 한다) 위에 장착되고 하우징(200)을 감싸고 그 외부에 배치된 프레임(240) 위에 장착된다.
지지핀 하우징(200)은 예를 들어 스테인레스 강철과 같은 강자성 재료로 구성된다. 자석 어셈블리(212, 240)는 서로 향하고 있어, 자력이 중력에 대하여 지지핀(210) 위에 작용하고 적당한 위치에서 지지핀을 측방향으로 유지하여, 챔버로 통하는 하우징 또는 구멍의 내부면과 접촉하지 않도록 한다. 이를 완성하기 위하여, 자석은 당업자에게 공지된 여러 가지 방법으로 서로에 대하여 배열될 수 있다. 전술한 실시예에서, 하부 자석 어셈블리(212b)는 하부 자석 어셈블리(242b)의 평면 보다 약간 높은 평면에 배치되며, 상부 자석 어셈블리(212a)는 상부 자석 어셈블리(242a)의 평면 보다 약간 낮은 평면에 배치된다. 전술한 바와 같이, 이러한 구조는 프레임(240)상의 상부 및 하부 자석 어셈블리(242a, 242b) 사이의 핀을 자성적으로 클램핑한다.
자석 어셈블리(212, 242)는 단순하게 설계될 수 있거나 또는 이들은 여기에 참고로 인용된 미국특허 제 5,319,272호에 기재된 바와 같이 보다 복잡한 설계로 될 수 있다. 그러나, 리프트 핀과 다르게, 지지핀은 기판이 회전할 때 기판과 함께 용이하게 회전하여 지지핀 상부와 기판 배면 사이의 마찰을 감소시킬 수 있어야 한다.
제7b-7c도에 따르면, 프레임(240)은 고정 위치에서 기판이 모서리 링(34)으로 하강할 때 기판의 배면이 휴지 상태가 되는 평면 위에 지지핀 상부가 놓이도록 챔버(20)에 배치된다. 기판(10)이 모서리지지 링(34)으로 하강할 때, 그의 배면은 지지핀(210)과 접촉하며, 상기 핀이 기판의 배면에 대하여 편향되고 기판의 배면을 지지하도록 자성 스프링을 약간 "압착"한다. 기판(10)과 지지핀(210)을 접촉하게 하여, 기판이 회전될 때, 핀과 기판 사이의 마찰이 지지핀을 회전시키도록 한다.
선택적으로, 지지핀(210)은 리프트핀에 대하여 설명한 방식으로 제위치로 상승될 수 있다. 즉, 지지핀은 웨이퍼가 모서리 링 위에 베치되기 전 또는 지지 링위에 배치된 후에 상승될 수 있다. 실제로, 지지핀은 웨이퍼 리프트 기구의 일부일 수 있다.
우리는 자성적으로 완전하게 부양되는 핀에 대하여 설명했다. 즉, 핀은 자력에 의하여 수직(즉, z좌표)과 수평(즉, x와 y좌표)으로 제위치에 유지된다. 선택적으로, 센터링 기능(즉, 핀을 특정 x와 y 위치에 유지시키는 것)은 제8도에 도시된 바와 같이 두 개의 베어링(300)에 의하여 수행될 수 있다. 이러한 특정 실시예에서, 베어링(300)은 폴리이미드 또는 폴리아미드 플라스틱 재료(예를 들어 상표명, 토론 또는 베셀)와 같은 자성 윤활, 비입자 발생 재료로 이루어진다. 따라서, 본 실시예에서, 자력은 일차적으로 특정 z방향으로 핀을 유지하고 이를 상방향 및 하방향으로 이동시키기 위하여 이용된다. 베어링은 핀에 대하여 요구되는 수직 이동을 허용하도록 핀 길이를 따라 용이한 위치에 배치될 수 있다. 베어링(300)은 다수의 상이한 방법중 하나에 따라 구현될 수 있다. 예를 들어, 베어링은 하우징 내에 고정될 수 있으며 핀을 자유롭게 상방향 및 하방향으로 이동시킬 수 있는 중분하게 큰 중심 구멍을 가지거나, 또는 핀에 부착되어 하우징의 내부에서 핀을 상방향 및 하방향으로 이동시킬 수 있다. 모서리 지지 링을 이용하는 RTP챔버를 근거로 리프트된 기구를 설명하였지만, 본 발명은 리프트핀이 이용되거나 예를 들어 지지 구조체로부터 기판을 상승시키고 지지 구조체로 기판을 하강시키기 위하여 이용될 수 있는 어떠한 기판 가공 챔버에 이용될 수 있다. 다른 실시예는 종속항에 기재되어 있다.
상승 및 하강하는 리프트 핀은 리프트 핀이 하우징되는 공동의 내부 체적에서 어떤 변화를 일으키지 않고 달성된다. 이것은 리프트 핀을 상승 및 하강시키기 위하여 벨로우즈 어셈블리를 사용하는 다른 종래의 리프트 핀 메커니즘을 통하여 특정한 이점을 제공한다. 먼저, 어떠한 반응가스도 핀이 하강될 때 핀 하우징내로 흡수되지 않는다. 둘째로, 리프트 핀 하우징의 하부 바닥이 이동되지 않기 때문에, 벨로우즈 메커니즘의 경우에서와 같이, 퍼지 가스 공급장치를 하우징에 기계적으로 결합시키는 것이 보다 용이하다.

Claims (30)

  1. 내부 공동을 밀봉하고 챔버 하부를 가지는 처리 챔버와 사용하기 위한 기판 지지용 장치에 있어서, 자성적으로 투과할 수 있는 재료로 형성된 하우징; 상기 하우징내에 배치되고, 상기 챔버 하부를 통해 연장하는 통로로 연장하며 처리 동안 기판을 포함하는 상기 공동으로 연장하는 상단부를 가지는 핀; 상기 하우징의 내부에 있고 상기 핀 위에 장착된 제 1 자석 어셈블리; 상기 하우징의 외부에 배치된 캐리지 구조체; 및 상기 캐리지 구조체상에 장착된 제 2 자석 어셈블리를 포함하며, 상기 제 1 및 제 2 자석 어셈블리는 서로에 관련하여 배치되어 상기 제 2 자석 어셈블리는 상기 핀과 상기 제 1 자석 어셈블리가 자성적으로 상기 하우징내에 부상되도록 하는 것을 특징으로 하는 기판 지지용 장치.
  2. 제1항에 있어서, 상기 캐리지 구조체를 상승시키고 하강시키는 리프팅 메커니즘을 더 포함하여 상기 핀을 상승 및 하강시키는 것을 특징으로 하는 기판 지지용 장치.
  3. 제2항에 있어서, 상기 리프팅 장치는 상승된 위치와 하강된 위치를 가지며, 상기 리프팅 메커니즘이 상승된 위치에 있을 때 상기 핀은 상기 통로를 통해 상기 내부 공동으로 연장하여 상기 핀의 상부는 상기 기판이 처리 동안 홀딩되는 평면상에 배치되는 것을 특징으로 하는 기판 지지용 장치.
  4. 제3항에 있어서, 상기 리프팅 메커니즘이 하강된 위치에 있을 때, 상기 핀은 상기 홀로 후퇴하여 상기 핀의 상부는 상기 기판이 처리동안 홀딩되는 상기 평면 아래에 배치되는 레벨에 놓이는 것을 특징으로 하는 기판 지지용 장치.
  5. 제1항에 있어서, 상기 처리 챔버는 상기 기판 주변에서 상기 기판을 지지하고 상기 챔버의 하부상에 배치되는 기판 지지용 링을 포함하며, 상기 핀의 상부는 상기 기판이 상기 지지용 링내에 홀딩될 때 상기 핀이 상기 기판의 후면에 접촉하도록 상기 기판 지지용 링과 관련하여 배치되는 것을 특징으로 하는 기판 지지용 장치.
  6. 제5항에 있어서, 상기 지지용 링은 사용중 회전축 둘레를 회전하며, 상기 핀은 상기 회전축을 따라 정렬되는 것을 특징으로 하는 기판 지지용 장치.
  7. 제6항에 있어서, 사용중 상기 핀의 상부는 상기 기판에 대한 상기 후면의 중앙에 접촉하는 것을 특징으로 하는 기판 지지용 장치.
  8. 제5항에 있어서, 상기 핀의 상부는 상기 핀의 상부와 상기 기판의 후면 사이의 접촉 면적을 최소화 하도록 형성되는 것을 특징으로 하는 기판 지지용 장치.
  9. 제5항에 있어서, 상기 핀의 상부는 둥글게 되는 것을 특징으로 하는 기판 지지용 장치.
  10. 제5항에 있어서, 상기 핀은 상기 기판이 처리동안 상기 지지용 링내에 홀딩될 때 상기 기판의 후면에 접촉하여 바이어싱되는 것을 특징으로 하는 기판 지지용 장치.
  11. 제5항에 있어서, 상기 제 1 및 상기 제 2 자석 어셈블리는 상기 핀이 사용중 자유롭게 회전하도록 구성되는 것을 특징으로 하는 기판 지지용 장치.
  12. 내부 공동을 한정하고 챔버 하부를 가지는 처리 챔버와 사용하기 위한 기판 지지용 장치에 있어서, 다수의 리프트 핀 메커니즘을 포함하고, 상기 다수의 리프트 핀 메커니즘의 각각은 자성적으로 투과할 수 있는 재료로 형성된 리프트 핀 하우징; 상기 리프트 핀 하우징내에 배치되고, 상기 챔버 하부를 통해 연장하는 통로로 연장하며 상기 챔버내의 상기 공동으로 연장하는 상단부를 가지는 리프트 핀; 상기 리프트 핀 하우징의 내부에 있고 상기 리프트 핀 위에 장착된 제 1 자석 어셈블리; 상기 리프트 핀 하우징의 외부에 배치된 캐리지 구조체; 및 상기 캐리지 구조체상에 장착된 제 2 자석 어셈블리를 포함하며, 상기 제 1 및 제 2 자석 어셈블리는 서로에 관련하여 배치되어 상기 제 2 자석 어셈블리는 상기 리프트 핀과 상기 제 1 자석 어셈블리가 자성적으로 상기 하우징내에서 부양되도록 하는 것을 특징으로 하는 기판 지지용 장치.
  13. 제12항에 있어서, 상기 다수의 캐리지 구조체를 상승시키고 하강시키는 리프팅 메커니즘을 더 포함하여 상기 다수의 리프트 핀을 상승 및 하강시키는 것을 특징으로 하는 기판 지지용 장치.
  14. 제13항에 있어서, 상기 리프팅 메커니즘은 상승된 위치와 하강된 위치를 가지며, 상기 리프팅 메커니즘이 상승된 위치에 있을 때 상기 다수의 리프트 핀은 상기 리프트 핀의 상부가 상기 기판이 처리 동안 홀딩되는 평면상에 배치되도록 이들의 개별 통로를 통해 상기 공동으로 연장하는 것을 특징으로 하는 기판 지지용 장치.
  15. 제14항에 있어서, 상기 리프팅 메커니즘이 그것의 하강된 위치에 있을 때, 상기 리프트 핀은 이들의 개별 통로로 후퇴하여 상기 리프트 핀의 상부는 상기 기판이 처리동안 홀딩될 때 상기 기판에 의해 한정된 상기 평면 아래에 배치되는 레벨에 놓이는 것을 특징으로 하는 기판 지지용 장치.
  16. 제15항에 있어서, 상기 리프팅 메커니즘이 그것의 하강된 위치에 있을 때, 상기 리프트 핀은 상기 리프트 핀의 상부가 상기 챔버내의 공동으로 연장하지 못하도록 이들의 개별 통로로 후퇴하는 것을 특징으로 하는 기판 지지용 장치.
  17. 제13항에 있어서, 상기 리프팅 메커니즘은 선형 이동을 형성하는 구동 메커니즘과 상기 모든 캐리지 구조체에 대해 상기 구동 메커니즘의 선형 이동을 결합하는 스파이더 어셈블리를 포함하는 것을 특징으로 하는 기판 지지용 장치.
  18. 제17항에 있어서, 상기 리프트 핀 하우징은 상기 챔버 하부의 바로 밑에 있는 상기 챔버에 부착되는 것을 특징으로 하는 기판 지지용 장치.
  19. 제13항에 있어서, 상기 구동 메커니즘은 공기 구동 메커니즘인 것을 특징으로 하는 기판 지지용 장치.
  20. 기판 처리용 장치에 있어서, 내부 공동을 밀봉하고 챔버 하부를 가지는 챔버; 처리동안 상기 기판을 지지하는 상기 챔버 내부의 지지용 메커니즘; 자성적으로 투과할 수 있는 재료로 형성되고, 상기 챔버의 바로 밑에 장착되는 하우징; 상기 하우징내에 배치되고, 상기 챔버 하부를 통해 연장하는 통로로 연장하며 처리 동안 기판을 포함하는 상기 공동으로 연장하는 상단부를 가지는 핀; 상기 하우징의 내부에 있고 상기 핀 위에 장착된 제 1 자석 어셈블리; 상기 하우징의 외부에 배치된 캐리지 구조체; 및 상기 캐리지 구조체상에 장착된 제 2 자석 어셈블리를 포함하며, 상기 제 1 및 제 2 자석 어셈블리는 서로에 관련하여 배치되어 상기 제 2 자석 어셈블리는 상기 핀과 상기 제 1 자석 어셈블리가 자성적으로 상기 하우징내에 부양되도록 하는 것을 특징으로 하는 기판 처리용 장치.
  21. 제20항에 있어서, 상기 캐리지 구조체를 상승시키고 하강시키는 리프팅 메커니즘을 더 포함하여 상기 핀을 상승 및 하강시키는 것을 특징으로 하는 기판 처리용 장치.
  22. 제20항에 있어서, 상기 기판 주변에서 상기 기판을 지지하고 상기 챔버의 하부상에 배치되는 기판 지지용 링을 더 포함하며, 상기 핀의 상부는 상기 기판이 상기 지지용 링내에 홀딩될 때 상기 핀이 상기 기판의 후면에 접촉하도록 상기 기판 지지용 링과 관련하여 배치되는 것을 특징으로 하는 기판 처리용 장치.
  23. 제22항에 있어서, 상기 지지용 링은 사용중 회전축 둘레를 회전하며, 상기 핀은 상기 회전축을 따라 정렬되는 것을 특징으로 하는 기판 처리용 장치.
  24. 제23항에 있어서, 사용중 상기 핀의 상부는 상기 기판에 대한 상기 후면의 중앙에 접촉하는 것을 특징으로 하는 기판 처리용 장치.
  25. 제22항에 있어서, 상기 핀의 상부는 상기 핀의 상부와 상기 기판의 후면 사이의 접촉 면적을 최소화 하도록 형성되는 것을 특징으로 하는 기판 처리용 장치.
  26. 제22항에 있어서, 상기 핀의 상부는 둥글게 되는 것을 특징으로 하는 기판 처리용 장치.
  27. 제22항에 있어서, 상기 핀은 상기 기판이 처리동안 상기 지지용 링내에 홀딩될 때 상기 기판의 후면에 접촉하여 바이어싱되는 것을 특징으로 하는 기판 처리용 장치.
  28. 제21항에 있어서, 상기 기판 주변에서 상기 기판을 지지하고 상기 챔버의 하부상에 배치되는 기판 지지용 링을 더 포함하는 것을 특징으로 하는 기판 처리용 장치.
  29. 제28항에 있어서, 상기 리프팅 메커니즘은 상승된 위치와 하강된 위치를 가지며, 상기 리프팅 메커니즘이 그것의 상승된 위치에 있을 때 상기 리프트 핀은 상기 리프트 핀의 상부가 상기 기판이 처리 동안 홀딩되는 평면상에 배치되도록 상기 통로를 통해 상기 공동으로 연장하는 것을 특징으로 하는 기판 처리용 장치.
  30. 제29항에 있어서, 상기 리프팅 메커니즘이 그것의 하강된 위치에 있을 때, 상기 리프트 핀은 상기 통로로 후퇴하여 상기 리프트 핀의 상부는 상기 기판이 처리동안 홀딩될 때 상기 기판에 의해 한정된 상기 평면 아래에 배치되는 레벨에 놓이는 것을 특징으로 하는 기판 처리용 장치.
    ※ 참고사항 : 최초출원 내용에 의하여 공개하는 것임.
KR1019970034603A 1996-07-24 1997-07-24 처리 챔버용 리프트 핀 및 지지 핀 장치 KR980011770A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/687,165 US5879128A (en) 1996-07-24 1996-07-24 Lift pin and support pin apparatus for a processing chamber
US08/687,165 1996-07-24

Publications (1)

Publication Number Publication Date
KR980011770A true KR980011770A (ko) 1998-04-30

Family

ID=24759352

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019970034603A KR980011770A (ko) 1996-07-24 1997-07-24 처리 챔버용 리프트 핀 및 지지 핀 장치

Country Status (4)

Country Link
US (1) US5879128A (ko)
EP (1) EP0821404A3 (ko)
JP (1) JPH1092916A (ko)
KR (1) KR980011770A (ko)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100777572B1 (ko) * 2001-12-22 2007-11-16 엘지.필립스 엘시디 주식회사 건식 식각 장비 및 그 운용방법
KR101146149B1 (ko) * 2009-12-28 2012-05-16 엘아이지에이디피 주식회사 리프트 핀 모듈
KR101146150B1 (ko) * 2009-12-28 2012-05-16 엘아이지에이디피 주식회사 기판처리장치
KR101147907B1 (ko) * 2005-04-11 2012-05-24 주성엔지니어링(주) 기판분리장치
KR20170111063A (ko) * 2016-03-25 2017-10-12 주식회사 원익아이피에스 기판처리장치
KR20170111061A (ko) * 2016-03-25 2017-10-12 주식회사 원익아이피에스 기판처리장치

Families Citing this family (380)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1179611B1 (de) * 1992-10-06 2004-09-15 Unaxis Balzers Aktiengesellschaft Kammer für den Transport von Werkstücken
AT411304B (de) * 1997-06-18 2003-11-25 Sez Ag Träger für scheibenförmige gegenstände, insbesondere silizium-wafer
US6309163B1 (en) 1997-10-30 2001-10-30 Applied Materials, Inc. Wafer positioning device with storage capability
JP3286240B2 (ja) * 1998-02-09 2002-05-27 日本エー・エス・エム株式会社 半導体処理用ロードロック装置及び方法
JPH11288995A (ja) * 1998-04-04 1999-10-19 Tokyo Electron Ltd 搬送システム及び処理装置
US6596086B1 (en) * 1998-04-28 2003-07-22 Shin-Etsu Handotai Co., Ltd. Apparatus for thin film growth
US6215897B1 (en) 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Automated substrate processing system
US6517303B1 (en) * 1998-05-20 2003-02-11 Applied Komatsu Technology, Inc. Substrate transfer shuttle
WO1999063583A2 (en) * 1998-06-05 1999-12-09 Applied Materials, Inc. Improved method and apparatus for contacting a wafer
JP2000021861A (ja) * 1998-07-03 2000-01-21 Sony Corp ドライエッチング装置
US6719516B2 (en) * 1998-09-28 2004-04-13 Applied Materials, Inc. Single wafer load lock with internal wafer transport
JP3770740B2 (ja) * 1998-11-19 2006-04-26 松下電器産業株式会社 基板剥離装置
US6178361B1 (en) * 1998-11-20 2001-01-23 Karl Suss America, Inc. Automatic modular wafer substrate handling device
JP4294791B2 (ja) * 1999-05-17 2009-07-15 アプライド マテリアルズ インコーポレイテッド 半導体製造装置
TW466576B (en) * 1999-06-15 2001-12-01 Ebara Corp Substrate processing apparatus
US6485603B1 (en) * 1999-07-01 2002-11-26 Applied Materials, Inc. Method and apparatus for conserving energy within a process chamber
US6151446A (en) * 1999-07-06 2000-11-21 Applied Materials, Inc. Apparatus and method for thermally processing substrates including a processor using multiple detection signals
US6298685B1 (en) 1999-11-03 2001-10-09 Applied Materials, Inc. Consecutive deposition system
US6500737B1 (en) * 2000-06-08 2002-12-31 Wafermasters, Inc. System and method for providing defect free rapid thermal processing
EP1174910A3 (en) * 2000-07-20 2010-01-06 Applied Materials, Inc. Method and apparatus for dechucking a substrate
JP2002093724A (ja) * 2000-09-18 2002-03-29 Tokyo Electron Ltd 熱処理装置
US6485248B1 (en) * 2000-10-10 2002-11-26 Applied Materials, Inc. Multiple wafer lift apparatus and associated method
US6413321B1 (en) * 2000-12-07 2002-07-02 Applied Materials, Inc. Method and apparatus for reducing particle contamination on wafer backside during CVD process
JP4701496B2 (ja) * 2000-12-07 2011-06-15 東京エレクトロン株式会社 処理方法及びその装置
US6770146B2 (en) * 2001-02-02 2004-08-03 Mattson Technology, Inc. Method and system for rotating a semiconductor wafer in processing chambers
US6935466B2 (en) * 2001-03-01 2005-08-30 Applied Materials, Inc. Lift pin alignment and operation methods and apparatus
US6767176B2 (en) 2001-06-29 2004-07-27 Applied Materials, Inc. Lift pin actuating mechanism for semiconductor processing chamber
DE10134513A1 (de) 2001-07-16 2003-01-30 Unaxis Balzers Ag Hebe-und Stützvorichtung
US6861321B2 (en) * 2002-04-05 2005-03-01 Asm America, Inc. Method of loading a wafer onto a wafer holder to reduce thermal shock
US6918965B2 (en) * 2002-08-28 2005-07-19 Micron Technology, Inc. Single substrate annealing of magnetoresistive structure
US6887317B2 (en) * 2002-09-10 2005-05-03 Applied Materials, Inc. Reduced friction lift pin
US20040096636A1 (en) * 2002-11-18 2004-05-20 Applied Materials, Inc. Lifting glass substrate without center lift pins
US20040177813A1 (en) * 2003-03-12 2004-09-16 Applied Materials, Inc. Substrate support lift mechanism
JP4121413B2 (ja) * 2003-03-31 2008-07-23 株式会社神戸製鋼所 板状被処理品の高圧処理装置
US8365682B2 (en) * 2004-06-01 2013-02-05 Applied Materials, Inc. Methods and apparatus for supporting substrates
US7651583B2 (en) * 2004-06-04 2010-01-26 Tokyo Electron Limited Processing system and method for treating a substrate
US20060005770A1 (en) * 2004-07-09 2006-01-12 Robin Tiner Independently moving substrate supports
KR20070101232A (ko) * 2004-09-10 2007-10-16 오씨 외를리콘 발처스 악티엔게젤샤프트 기판 공정 시스템
JP4580327B2 (ja) * 2005-11-21 2010-11-10 東京エレクトロン株式会社 被処理体の取り出し方法及びプログラム記憶媒体並びに載置機構
US20080017116A1 (en) * 2006-07-18 2008-01-24 Jeffrey Campbell Substrate support with adjustable lift and rotation mount
US20080017117A1 (en) * 2006-07-18 2008-01-24 Jeffrey Campbell Substrate support with adjustable lift and rotation mount
US8012366B2 (en) * 2006-10-30 2011-09-06 Applied Materials, Inc. Process for etching a transparent workpiece including backside endpoint detection steps
US9218944B2 (en) 2006-10-30 2015-12-22 Applied Materials, Inc. Mask etch plasma reactor having an array of optical sensors viewing the workpiece backside and a tunable element controlled in response to the optical sensors
US7967930B2 (en) * 2006-10-30 2011-06-28 Applied Materials, Inc. Plasma reactor for processing a workpiece and having a tunable cathode
US8017029B2 (en) * 2006-10-30 2011-09-13 Applied Materials, Inc. Plasma mask etch method of controlling a reactor tunable element in accordance with the output of an array of optical sensors viewing the mask backside
US7976671B2 (en) 2006-10-30 2011-07-12 Applied Materials, Inc. Mask etch plasma reactor with variable process gas distribution
US8002946B2 (en) * 2006-10-30 2011-08-23 Applied Materials, Inc. Mask etch plasma reactor with cathode providing a uniform distribution of etch rate
US20080099450A1 (en) * 2006-10-30 2008-05-01 Applied Materials, Inc. Mask etch plasma reactor with backside optical sensors and multiple frequency control of etch distribution
JP4809478B2 (ja) * 2007-06-19 2011-11-09 株式会社アルバック 基板搬送方法
US8256754B2 (en) * 2007-12-12 2012-09-04 Applied Materials, Inc. Lift pin for substrate processing
US20090314211A1 (en) * 2008-06-24 2009-12-24 Applied Materials, Inc. Big foot lift pin
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP2011035199A (ja) * 2009-08-03 2011-02-17 Tokyo Electron Ltd 基板載置機構およびそれを用いた基板処理装置
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5141707B2 (ja) * 2010-03-24 2013-02-13 株式会社安川電機 被処理体の支持機構、支持方法およびそれを備えた搬送システム
US9759487B2 (en) * 2011-03-02 2017-09-12 Ivoclar Vivadent Ag Dental firing or press furnace
USRE46339E1 (en) * 2011-03-14 2017-03-14 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US8946058B2 (en) * 2011-03-14 2015-02-03 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
WO2012157638A1 (ja) * 2011-05-19 2012-11-22 シャープ株式会社 基板載置台および基板処理装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8777685B2 (en) * 2011-08-15 2014-07-15 Shenzhen China Optoelectronics Technology Co., Ltd. Apparatus and method for assembling backlight module
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8980767B2 (en) * 2012-01-13 2015-03-17 Applied Materials, Inc. Methods and apparatus for processing a substrate
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US8865602B2 (en) 2012-09-28 2014-10-21 Applied Materials, Inc. Edge ring lip
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN104704626B (zh) 2012-10-24 2017-12-05 应用材料公司 用于快速热处理的最小接触边缘环
US10020187B2 (en) * 2012-11-26 2018-07-10 Applied Materials, Inc. Apparatus and methods for backside passivation
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10857655B2 (en) * 2013-03-13 2020-12-08 Applied Materials, Inc. Substrate support plate with improved lift pin sealing
US9991153B2 (en) * 2013-03-14 2018-06-05 Applied Materials, Inc. Substrate support bushing
TWI624903B (zh) 2013-03-15 2018-05-21 應用材料股份有限公司 在雜訊環境中之現場溫度測量
US9490149B2 (en) * 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
CN106133873B (zh) * 2014-03-12 2019-07-05 应用材料公司 在半导体腔室中的晶片旋转
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10711348B2 (en) * 2015-03-07 2020-07-14 Applied Materials, Inc. Apparatus to improve substrate temperature uniformity
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6479525B2 (ja) * 2015-03-27 2019-03-06 株式会社ニューフレアテクノロジー 成膜装置及び温度測定方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) * 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10449641B2 (en) * 2016-02-18 2019-10-22 Panasonic Intellectual Property Management Co., Ltd. System for manufacturing assembly board and method for installing undersupporting device of the system
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10460977B2 (en) * 2016-09-29 2019-10-29 Lam Research Corporation Lift pin holder with spring retention for substrate processing systems
US10573549B2 (en) 2016-12-01 2020-02-25 Lam Research Corporation Pad raising mechanism in wafer positioning pedestal for semiconductor processing
US9892956B1 (en) 2016-10-12 2018-02-13 Lam Research Corporation Wafer positioning pedestal for semiconductor processing
US9960068B1 (en) 2016-12-02 2018-05-01 Lam Research Corporation Moment cancelling pad raising mechanism in wafer positioning pedestal for semiconductor processing
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10262887B2 (en) * 2016-10-20 2019-04-16 Lam Research Corporation Pin lifter assembly with small gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20190083375A (ko) * 2016-12-02 2019-07-11 어플라이드 머티어리얼스, 인코포레이티드 기판 이송 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
KR102401704B1 (ko) * 2017-07-24 2022-05-24 램 리써치 코포레이션 이동가능한 에지 링 설계들
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
EP3450809A1 (de) * 2017-08-31 2019-03-06 VAT Holding AG Verstellvorrichtung mit spannzangenkupplung für den vakuumbereich
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10535549B2 (en) * 2017-10-27 2020-01-14 Applied Materials, Inc. Lift pin holder
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
KR102053593B1 (ko) * 2017-11-29 2019-12-09 주식회사 테스 리프트핀유닛의 이동방법 및 기판처리장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
JP7116558B2 (ja) * 2018-03-02 2022-08-10 株式会社Screenホールディングス 基板処理装置及び基板処理システム
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
WO2021014657A1 (ja) * 2019-07-25 2021-01-28 エピクルー株式会社 エピタキシャル成長装置のプロセスチャンバ
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
CN112750750B (zh) * 2019-10-31 2022-12-02 夏泰鑫半导体(青岛)有限公司 升降机构
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN113084501B (zh) * 2021-03-25 2022-04-15 中国航发南方工业有限公司 一种电磁反重力装配装置
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4790258A (en) * 1987-04-03 1988-12-13 Tegal Corporation Magnetically coupled wafer lift pins
JPH0671041B2 (ja) * 1987-10-16 1994-09-07 セイコー精機株式会社 真空室内搬送装置
DE3803411A1 (de) * 1988-02-05 1989-08-17 Leybold Ag Vorrichtung zur halterung von werkstuecken
US4961352A (en) * 1988-02-24 1990-10-09 Satcon Technology Corporation Magnetic bearing and suspension system
US4900962A (en) * 1989-01-18 1990-02-13 Satcon Technology Corporation Magnetic translator bearings
US5155336A (en) * 1990-01-19 1992-10-13 Applied Materials, Inc. Rapid thermal heating apparatus and method
JP2704309B2 (ja) * 1990-06-12 1998-01-26 大日本スクリーン製造株式会社 基板処理装置及び基板の熱処理方法
US5353656A (en) * 1992-08-18 1994-10-11 Satcon Technology Corporation Electrostatically controlled micromechanical gyroscope
US5319273A (en) * 1992-10-26 1994-06-07 Satcon Technology Corporation Fixed gain electromagnetic actuator and electromagnetic bearing incorporating same
US5291975A (en) * 1992-10-27 1994-03-08 Satcon Technology Corporation System and method for damping narrow band axial vibrations of a rotating device
US5442288A (en) * 1993-04-22 1995-08-15 Satcon Technology Corporation Magnetoelastic magnetometer
US5396140A (en) * 1993-05-28 1995-03-07 Satcon Technology, Corp. Parallel air gap serial flux A.C. electrical machine
JP3264076B2 (ja) * 1994-01-31 2002-03-11 松下電器産業株式会社 真空処理装置
US5730801A (en) * 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
JP3773561B2 (ja) * 1995-05-19 2006-05-10 富士通株式会社 ウェハ処理装置

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100777572B1 (ko) * 2001-12-22 2007-11-16 엘지.필립스 엘시디 주식회사 건식 식각 장비 및 그 운용방법
KR101147907B1 (ko) * 2005-04-11 2012-05-24 주성엔지니어링(주) 기판분리장치
KR101146149B1 (ko) * 2009-12-28 2012-05-16 엘아이지에이디피 주식회사 리프트 핀 모듈
KR101146150B1 (ko) * 2009-12-28 2012-05-16 엘아이지에이디피 주식회사 기판처리장치
KR20170111063A (ko) * 2016-03-25 2017-10-12 주식회사 원익아이피에스 기판처리장치
KR20170111061A (ko) * 2016-03-25 2017-10-12 주식회사 원익아이피에스 기판처리장치

Also Published As

Publication number Publication date
JPH1092916A (ja) 1998-04-10
EP0821404A2 (en) 1998-01-28
US5879128A (en) 1999-03-09
EP0821404A3 (en) 2004-03-10

Similar Documents

Publication Publication Date Title
KR980011770A (ko) 처리 챔버용 리프트 핀 및 지지 핀 장치
JP3480271B2 (ja) 熱処理装置のシャワーヘッド構造
US5854468A (en) Substrate heating apparatus with cantilevered lifting arm
US5474612A (en) Vapor-phase deposition apparatus and vapor-phase deposition method
US5567909A (en) Method for supporting a wafer in a combined wafer support and temperature monitoring device
US9240341B2 (en) Top wafer rotation and support
US5536918A (en) Heat treatment apparatus utilizing flat heating elements for treating semiconductor wafers
CN106663630B (zh) 用于校准基座的设备及方法
US6805466B1 (en) Lamphead for a rapid thermal processing chamber
WO1997003342A1 (en) Automated calibration of temperature sensors in rapid thermal processing
KR100423629B1 (ko) 저항 가열 단일 웨이퍼 노
JP2005240173A (ja) 基板支持用ブッシング
US20070215049A1 (en) Transfer of wafers with edge grip
US6345150B1 (en) Single wafer annealing oven
TWI813617B (zh) 減少背側基板接觸的基板傳送機制
US10727093B2 (en) Light pipe window structure for low pressure thermal processes
JP2006005177A (ja) 熱処理装置
KR20160022885A (ko) 열 챔버 어플리케이션들 및 프로세스들을 위한 광 파이프 윈도우 구조
EP1532660A2 (en) Method of loading a wafer onto a wafer holder to reduce thermal shock
JP4116449B2 (ja) 対象物の操作のための操作装置
JPH1050716A (ja) 基板の枚葉式熱処理装置
KR100273207B1 (ko) 웨이퍼의 로딩 및 균일열전달장치
KR20010090375A (ko) 웨이퍼 로딩장치
JP2000286206A (ja) 熱処理装置
KR20210020369A (ko) 리프트 핀 어셈블리 및 이를 갖는 진공 처리 장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application