JP2005240173A - 基板支持用ブッシング - Google Patents

基板支持用ブッシング Download PDF

Info

Publication number
JP2005240173A
JP2005240173A JP2005010725A JP2005010725A JP2005240173A JP 2005240173 A JP2005240173 A JP 2005240173A JP 2005010725 A JP2005010725 A JP 2005010725A JP 2005010725 A JP2005010725 A JP 2005010725A JP 2005240173 A JP2005240173 A JP 2005240173A
Authority
JP
Japan
Prior art keywords
disposed
housing
support
bore
support member
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2005010725A
Other languages
English (en)
Other versions
JP4473144B2 (ja
Inventor
Shinichi Kurita
クリタ シンイチ
Suhail Anwar
アンワー スヘイル
Toshio Kiyotake
敏雄 清武
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=34701410&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JP2005240173(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2005240173A publication Critical patent/JP2005240173A/ja
Application granted granted Critical
Publication of JP4473144B2 publication Critical patent/JP4473144B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16CSHAFTS; FLEXIBLE SHAFTS; ELEMENTS OR CRANKSHAFT MECHANISMS; ROTARY BODIES OTHER THAN GEARING ELEMENTS; BEARINGS
    • F16C29/00Bearings for parts moving only linearly
    • F16C29/04Ball or roller bearings
    • F16C29/045Ball or roller bearings having rolling elements journaled in one of the moving parts
    • F16C29/046Ball or roller bearings having rolling elements journaled in one of the moving parts with balls journaled in pockets
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G49/00Conveying systems characterised by their application for specified purposes not otherwise provided for
    • B65G49/05Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles
    • B65G49/06Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles for fragile sheets, e.g. glass
    • B65G49/061Lifting, gripping, or carrying means, for one or more sheets forming independent means of transport, e.g. suction cups, transport frames
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/001General methods for coating; Devices therefor
    • C03C17/002General methods for coating; Devices therefor for flat glass, e.g. float glass
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16CSHAFTS; FLEXIBLE SHAFTS; ELEMENTS OR CRANKSHAFT MECHANISMS; ROTARY BODIES OTHER THAN GEARING ELEMENTS; BEARINGS
    • F16C29/00Bearings for parts moving only linearly
    • F16C29/04Ball or roller bearings
    • F16C29/045Ball or roller bearings having rolling elements journaled in one of the moving parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G2249/00Aspects relating to conveying systems for the manufacture of fragile sheets
    • B65G2249/02Controlled or contamination-free environments or clean space conditions

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • General Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Geochemistry & Mineralogy (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【課題】大型ガラスパネルの処理の際に処理チャンバ内で基板を支持する為の装置が提供される。
【解決手段】一態様において、基板支持部材は、ハウジング、支持用ピン、複数のベアリング要素を備え、上記ハウジングは、それを貫通して形成されたボアを有し、上記支持用ピンは、そのボア内に少なくとも部分的に配置され、上記ベアリング要素は、ハウジングの周りに配置されている。一態様において、ベアリング要素は、ローラ、輪郭外部表面、シャフトを備え、上記ローラは、貫通して形成された中央ボアを有し、上記シャフトは、その中央ボアを通り少なくとも部分的に配置されている。他の態様において、ベアリング要素は、ボールアセンブリを備え、これは、大きな球状部材と、その大きな球状部材の周りに配置された4個の小さな球状部材を備える。
【選択図】なし

Description

発明の背景
発明の分野
[0001]本発明の実施形態は、一般的に、基板支持ピンに関する。より具体的には、本発明の実施形態は、大型ガラスパネル用支持ピンに関する。
関連技術の説明
[0002]モニタ、フラットパネルディスプレイ、太陽電池、パーソナル・デジタル・アシスタント(PDA)、携帯電話等に使用される大型ガラス基板又はプレート上に薄膜トランジスタが形成されている。これらのトランジスタは、アモルファスシリコン、ドープ又はドープされていない酸化シリコン、窒化シリコン等を含む様々な連続した堆積により、真空チャンバ内に形成される。膜堆積は、枚様式堆積チャンバ又はシステム内で行われ、処理される基板は、複数の堆積チャンバ間を移送される。各堆積チャンバ内で、処理される基板は、通常、チャンバ内部に配置された支持ペデスタル上に載置される。堆積チャンバ間の移送を容易にするため、支持部材(例えば、複数のピン)は、支持部材の上部表面に取り付けられ、基板は支持ペデスタルから離間される。これにより、ロボットブレードのような移送機構は、基板の裏側の下にスライドし、支持ペデスタル又は基板を損傷させることなく、支持ペデスタルから基板を持ち上げることができる。
[0003]支持ピンは、ほとんどが一定の高さを有する垂直柱であり、支持ペデスタルの上部表面に固定されている。支持ピンは、通常、硬く、上部に配置されるガラス基板に対し摩擦の為の復元許容性がない。この摩擦は、ほとんど、不要な粒子汚染を生じさせる。さらに、支持ピンは、繰り返される基板のローディング及びアンローディングにより、削れ、曲げ、破壊の傾向がある。これらの現象は、基板が処理チャンバに入るときや離れるとき、基板のミスアライメントを発生させる。ピンに対する損傷は、同様に、オペレータのエラーにより発生され、頻繁に、損傷は通常の摩耗や裂け傷による。したがって、支持ピンは、通常、長期間の使用後に交換され、損傷したピンの除去及び交換品の据付けの為に休止時間が生じる。
[0004]そのため、上部に配置される基板との摩擦を減少できる支持ピンであって、支持ピンの耐用寿命を増し、休止時間量を減じる、支持ピンが必要である。
発明の概要
[0005]本発明は、一般的に、処理チャンバ内部で基板を支持する為の基板支持部材を提供する。一態様において、基板支持部材は、ボアが貫通して形成されたハウジング、そのボア内部に少なくとも部分的に配置された支持ピン、そのハウジング付近に配置された複数のベアリング要素を備える。
[0006]他の態様において、基板支持部材は、ボアが貫通して形成されたハウジングと、ボア内部に配置された複数のベアリング要素を備え、そのベアリング要素の少なくとも一つは、貫通して形成された中央ボアと輪郭が付けられた外部表面とを有するローラ、その中央ボアを貫通して少なくとも部分的に配置されたシャフトを備える。
[0007]更なる態様において、基板支持部材は、ボアが貫通して形成されたハウジングと、ボア内部に配置された複数のベアリング要素を備え、そのベアリング要素の少なくとも一つは、大きな球状部材と、その大きな球状部材の周りに配列された小さな球状部材とを備える。
[0008]本発明は、また、基板支持アセンブリが内部に配置された処理チャンバを提供する。一態様において、チャンバは、内部に支持ペデスタルを有するチャンバ本体と、各々が支持ペデスタルの上部表面に配置される2以上の支持部材を含む。支持部材は、貫通してボアが形成されたハウジングと、そのボア内部に少なくとも部分的に配置された支持ピンと、ボア内に配置された複数のベアリング要素と、を備える。他の態様において、チャンバは、支持ペデスタル付近でチャンバ本体内部に配置されたリフトアセンブリを含む。リフトアセンブリは、支持アセンブリに基板をロードし、支持ペデスタルから基板をアンロードするように適合されている。
[0009]一態様において、ベアリング要素は、中央ボアが貫通して形成されたローラ、輪郭が付けられた外部表面、中央ボアを通って少なくとも部分的に配置されたシャフトを備える。他の態様において、ベアリング要素は、ボールアセンブリを備え、ボールアセンブリは、大きな球状部材と、その大きな球状部材の周りに配列された4つの小さな球状部材とを備える。
[0010]本発明の上記列挙された特徴が詳細に理解される方法、上記で簡単にまとめられた、本発明のより具体的な説明は、実施形態を参考にし、その一部が添付図面に例示される。しかし、注意すべき点は、添付図面は、本発明の単なる典型的な実施形態を例示するので、その範囲を限定すべきものと考えるべきではないことである。なぜなら、本発明は、他の等しく有効な実施形態を許容可能であるからである。
好適実施形態の詳細な説明
[0024]図1Aは、支持部材100の一実施形態の概略図である。示されているように、支持部材100は、1以上のベアリング要素110A、110B(2つが図示)を有するブッシング102と、その内部に少なくとも一部が配置された支持ピン120とを含む。その第1端部には、フラットパネルディスプレイ、円形ウエハ、液晶ディスプレイ、ガラスパネル基板、プラスチック基板等のような基板(図示せず)が上部で支持される。第2端部において、支持部材100は、通常、支持ペデスタル、サセプタ、ロボットブレード、シェルフ、他の部材であって、上部で基板を保持するか支持するように適合されたもの(図示せず)の上部表面に配置される。
[0025]図1Bは、ブッシング102の概略図を示す。ブッシング102は、中央ボア105及び貫通して形成された1以上のウインドウ107を有する。好ましくは、ブッシング102は、円筒管に類似する。一態様において、ブッシング102は、第1端部に配置された第1セットのウインドウ107、第2端部に配置された第2セットのウインドウ107を含む。実際のウインドウ107の数は、設計事項であるが、第1端部に配置された4以上のウインドウ107の集合、ブッシング102の第2端部に配置された4以上のウインドウ107の集合を有するのが好ましい。ウインドウ107の追加の集合は、意図された使用、支持部材100の処理環境に基づき、望ましいかもしれない。
[0026]図1Cは、図1Aに示されたベアリング110A、110Bの概略図である。図1Dは、ベアリング要素110A、110Bの一部横断面図を示す。図1C、図1Dを参照すると、第1ベアリング要素110Aは、ブッシング102の第1端部を貫通して少なくとも一部が形成された第1セットのウインドウ107内部に収容されている。第2ベアリング要素110Bは、ブッシング102の第2端部を貫通して少なくとも一部が形成された第2セットのウインドウ107内部に収容されている。一態様において、各ベアリング要素110A、110Bは、1以上のローラ112を含み、ローラ112は、貫通して形成された中央ボア113と、中央ボア113を少なくとも部分的に貫通して配置されたシャフト114とを有する。シャフト114は、ブッシング102に固定され、所定場所にローラ112を保持する。一態様において、各シャフト114の端部は、面取りされており、図1Cに示されるように円錐形を形成する。ベアリング要素110A、110Bの、ブッシング102内部への据え付けの際、ローラ112は、互いに向き合って配置されたシャフト114の端部により、摩擦固定を介して所定場所に保持される。カットアウト115は、ブッシング102内部でシャフト114を操作する為に有用である。
[0027]ベアリング要素110A、110Bは、ブッシング102内部でピン120を支持する。ベアリング要素110A、110Bは、また、支持ピン120がブッシング102のボア105を通って軸状に移動させ、最小抵抗でボア105内部で回転させる。したがって、ベアリング要素110A、110Bは、支持ピン120上での摩耗及び裂け傷を減少させ、摩擦により不要な粒子発生を減少させる。
[0028]図1A及び図1Dを再び参照すると、各ローラ112は、典型的には円筒形状である支持ピン120の外部表面の輪郭に適合する(compliment)ように湾曲または凹部になっている外部表面を有するのが好ましい。ローラ112の湾曲された外部表面は、ブッシング102に関して軸状方向におけるピン120の移動を容易にする為のガイド部を提供する。ローラ112の湾曲された外部表面は、また、ピン120がブッシング102内部で自由に回転することを許容する。ローラ112は、セラミックス、グラファイト、ステンレス鋼、アルミニウム、それらの合金、それらの組み合わせ等、処理互換性材料から構成可能である。
[0029]図2A〜図2Cは、支持部材200の他の実施形態の概略図である。この実施形態において、支持部材200は、スリーブ210と、その内部に1以上のベアリング要素220が少なくとも部分的に配置されたブッシング202とを含む。支持部材200は、また、ブッシング202の上部端部付近に配置されたフランジ240を含む。
[0030]図2Aを参照すると、1以上のベアリング要素220の各々は、少なくとも一つの球状部材221を含む。一態様において、1以上のベアリング要素220の各々は、追加の4個の球状部材222を含み、これらは、球状部材221より小さな径を有する。各ベアリング要素220は、ブッシング202内に少なくとも部分的に形成されたボールシート部又はウインドウ230内部に配置される。スリーブ210は、ブッシング202の外径部付近に配置され、それぞれのウインドウ230内部でベアリング要素220を内部に閉じ込める。フランジ240は、スリーブ210の為のショルダー部または止め具として機能する。
[0031]一態様において、4つのウインドウ220であって、各々が内部に少なくとも部分的にベアリング要素220が配置された上記4つのウインドウ230は、第1端部でブッシング202の第1外径部付近で均等に離間され、4つのウインドウ230であって、各々が内部に少なくとも部分的にベアリング要素220が配置された上記4つのウインドウ230は、第2端部でブッシング202の第2外径部付近で均等に離間されている。ウインドウ230とベアリング要素220の協働により、支持ピン120はブッシング202のボア205内部で軸状に移動でき、ブッシング202の中央軸周りを回転できる。
[0032]図2Bは、図2Aに示された支持部材200の上面図を示し、図2Cは、完全に組み立てられた支持部材200の他の概略図を示す。ブッシング202は、貫通して中央ボア205が形成された環状部材である。ブッシング202は、円筒管に類似するのが好ましい。前述されたベアリング要素220A−Dは、ブッシング202内に少なくとも部分的に形成された各ウインドウ230A−D内部に各々が配置される。スリーブ210は、ブッシング202の外径部付近に配置され、支持ピン120は、ボア205の内部に少なくとも部分的に配置されている。スリーブ210はと支持ピン120は、協働し、各ウインドウ230内部にボール221,222を内包する。フランジ240は、示されているように、別個のコンポーネントでもよく、フランジ240は、ブッシング202の拡大された外径部でもよい。フランジ240は、ブッシング202の第1端部が軸状に移動することを防止する。
[0033]図1A及び図2Cを再び参照し、以下、支持部材100の支持ピン120と、支持部材200を詳細に説明する。好ましくは、支持ピン120は、図1A及び図2Cに示されるように、第1端部120A、第2端部120Bを有する円筒部材である。一態様において、支持ピン120が重い下部を有するか、第2端部120Bが重い材料で構成され、支持ピン120の全体の重心を下げている。例えば、ピン120又は第2端部120Bの下部は、テフロン(登録商標)またはセラミック被覆ステンレス鋼などのような材料を使用する、より高密度材料で構成されてもよい
[0034] 。第1端部またはピンヘッド120Aは、基板を支持する為に基板に直接接触する。一態様において、第1端部120Aは、摩擦を減じる材料で被膜され、上部に配置される基板と化学的に不活性である。例えば、第1端部120Aは、支持ピン120と、上部で支持される基板との間の化学反応を減少または除去するために化学的に不活性な材料で被膜されてもよい。追加的または代替え的に、第1端部120Aは、基板との摩擦を最小にする材料で被覆され、破損または欠け傷を減じる。例示の被覆は、以下に限定されないが、例えば、窒化シリコン、窒化チタン、窒化タンタルのようなセラミック材料と窒化物を含む。追加的又は代替え的に、第1端部120Aは、機械加工されるか、研磨仕上げされるか、他の適切な仕上げが施され、摩擦を最小にする為、4マイクロインチ以下の表面粗さを生み出す。そのような被覆の、より詳細な説明は、米国特許第6,528,767号に記載されており、その内容は本願に参考として組み込まれる。
[0035]一態様において、第1端部120Aは、示されるように、平坦又は実質的に平坦な表面である。他の態様において、第1端部120Aは、上部に配置される基板と接触する丸く形成された上部部分を有してもよい。丸く形成された表面は、基板に接触する表面積を減少し、もって、上部に配置される基板の破損、欠け傷の可能性を減じる。一実施形態において、丸く形成された表面は、半球状、楕円状、放物線状に類似する。そのような支持ピン及び被覆の詳細な説明は、米国特許第6,528,767号に記載され、その内容は本願に参考として組み込まれる。
[0036]更なる他の態様において、第1端部120Aは、ピン120の本体上で自由になるキャップ(図示せず)を有する2分割システムでもよい。キャップは、好ましくは、セラミック材料であり、ピン120の本体を受容する為に中空本体を含む。キャップの上部部分は、丸く形成され、前述してように円滑になっていてもよい。同様に、キャップは、前述したように被覆されてもよい。そのような2分割システムの、より詳細な説明は、米国特許第6,528,767号に記載されており、その内容は本願に参考として組み込まれる。
[0037]更なる態様において、第1端部120Aは、内部でボールが移動可能なソケットでもよい。ボールは、上部に配置される基板と接触させ、基板を支える。ボールは、ボールベアリングのように、ソケット内部で回転及びスピン可能であり、引っ掻くことなく、ボールを横切って基板を移動可能にする。ボールは、一般的に、摩擦減少を与える金属または非金属材料で構成され、更に/又は、ボールと基板間の化学反応を禁止する。例えば、ボールは、金属または金属合金、石英、サファイア、窒化シリコン、他の適切な非金属材料を含んでもよい。好ましくは、ボールは、4ミクロンインチまたはより円滑な表面仕上げを有する。ボールは、更に、前述した被覆を含んでもよい。そのような支持ピンの、より詳細な説明は、米国特許第6,528,767号に記載され、その内容は本願に参考として組み込まれる。
[0038]他の態様において、第1端部120Aは、ピン120の本体で配置されるキャップを有する2分割システムでもよく、これにより、キャップは、前述したボール構成とソケットを含む。そのようなボールとソケットの、より詳細な説明は、「基板支持」という名称の、アプライドマテリアルズ社に譲渡された、米国特許出願第09/982,406号及び第10/376,857号に記載されている。両方の係属出願は、本願に参考として組み込まれる。
[0039]前述された支持部材100、200は、いかなる試験チャンバ、処理チャンバ、或いは、基板支持が必要なシステム内で使用するのに適している。例えば、支持部材100、200は、特に、堆積チャンバ(例えば、化学気相堆積(CVD)チャンバまたはプラズマ増強型化学気相堆積(PECVD)チャンバ)内部で有用である。説明の明確性、容易性のため、本願に記載された支持ピン100、200を利用するPECVDチャンバを、図3、図3A〜図3Cを参照して、以下、説明する。
[0040]図3は、プラズマ増強型化学気相堆積チャンバ310の概略断面図を示す。PECVDチャンバは、最上部壁314を貫通して形成された開口、その開口内部に配置されたガス入口マニフォールド316を有するチャンバ本体312を含む。代替え的に、最上部影314は、固体でよく、ガス入口マニフォールド316は、その内側表面付近に配置されている。ガス入口マニフォールド316は、電極として機能し、RF電源のような電源336に接続されている。
[0041]サセプタ又は支持部材318は、チャンバ本体312内部に配置される。サセプタ318は、プレートの形に類似し、ガス入口マニフォールド316に対し平行に延びている。サセプタ318は、通常、アルミニウムから形成され、酸化アルミニウムの層で被覆されている。サセプタ318は、グラウンドに設置され、ガス入口マニフォールド316及びサセプタ318にわたり電源336を接続する為に第2電極として機能する。
[0042]サセプタ318は、シャフト320の端部に取り付けられ、シャフト320は、チャンバ本体312の底部壁322を貫通して垂直に延びている。シャフト320は、チャンバ本体312内部で垂直に上下方向のサセプタ318の移動を許容するように移動可能である。支持部材100、200として説明された2以上の支持部材300は、サセプタ318の上部表面に配置され、内部で基板と直接接触して支持する。単に2つの支持部材300が示されているが、幾つの支持部材300がサセプタ318の上部表面に配置されてもよい。支持部材300の数、配列は、処理される基板325の形状、大きさ、チャンバ310内部で実施される処理に依存する。一態様において、前述または実施形態200のように、各支持部材300は同一である。他の態様において、支持部材300は、前述した実施形態100と実施形態200の組み合わせでもよい。例えば、1以上の支持部材300が前述した実施形態100であり、1以上の支持部材300が前述した実施形態200でもよい。
[0043]図3を更に参照すると、チャンバ310は、チャンバ本体312の側壁332を貫通して伸びるガス出口330を更に含む。ガス出口330は、ポンプ(図示せず)に接続され、チャンバ本体312からガスを排出する。ガス入口導管342は、ガス入口マニフォールド316と流体連通しており、様々なガス源(図示せず)に対しガス切り替えネットワーク(図示せず)を介して接続されている。入口導管342を介して、処理ガスは、シャワーヘッド344を通ってチャンバ本体312に流れる。シャワーヘッド344は、貫通して形成された複数のアパーチャ340を含み、下方で処理される基板325の表面にわたり均等にガスを分配する。
[0044]図3Aは、サセプタ318上に基板を移送またはサセプタから離れて基板を移送することを容易にするため、リフトアセンブリ324を有するプラズマ増強型化学気相堆積チャンバ310の特別な実施形態の概略断面図である。リフトアセンブリ324は、サセプタ318とチャンバ本体312の底部壁322との間で水平に伸びている。リフトアセンブリ324は、実質的にサセプタ318と平行であり、垂直に移動可能である。一実施形態において、2以上の支持ピン300は、サセプタ318の上部表面の代わりにリフトアセンブリ324の上部表面に配置されている。他の実施形態(図示せず)において、サセプタ318とリフトアセンブリ324は、その上部表面に配置された2以上の支持ピン300を有してもよい。
[0045]支持ピン300は、サセプタ318を貫通して形成されたリフト穴328を通って伸びるように位置決めされている。2本の支持ピン300しか示されていないが、幾つの支持ピン100(又は200)がリフトアセンブリ324の上部表面の周りに配置されてもよい。支持ピン300の数と配置は、処理される基板325の大きさ、チャンバ310と共に実施される処理に依存するであろう。前述したように、各支持ピン300は、前述された実施例100または実施例200のように、同一であるが、代替え的に、支持部材300は、実施形態100と200の組み合わせでもよい。
[0046]図3Bは、支持アセンブリ318内部に少なくとも部分的に支持部材300が配置されたPECVDチャンバ310の他の実施形態を示す。この実施形態において、支持アセンブリ318は、貫通して配置された複数の穴328を含む。支持部材300は、これらの穴328に少なくとも部分的に配置されている。一般的に、支持部材300の第1端部302は、支持部材300が通常位置にあるとき(すなわち、支持アセンブリ318に対し後退しているとき)、実質的に支持アセンブリ318の上側部319Aと同一の高さ又は僅かに凹んでいる。さらに、支持部材300の第2端部304は、支持アセンブリ318の下側部319Bを超えて伸びている。支持アセンブリ318は、移送位置まで下降され、支持部材300は、チャンバ312の底部表面322と接触し、支持アセンブリ318を貫通して移動され、支持アセンブリ318の上側部319Aから突出し、それにより、支持アセンブリ318に対し離間された状態で基板325を配置する。
[0047]この実施形態の態様において、支持部材300は、示されているように長さを異なり、支持部材300が底部322と接触して異なる時間で作動してもよい。例えば、長い支持部材300は、基板325の外縁部周りで間隔を開けて配置されてもよく、短い支持部材300は、基板325の中心に向かって外縁部から内側に間隔を開けて配置されてもよく、基板は外縁部から中心へとゆっくりと持ち上げられる。
[0048]この実施形態の他の態様において、支持部材300は、全てが一様な長さでもよいが、チャンバ312の底部322は、選択された支持部材300の下に位置決めされた拡張部又はプラトー351を含んでもよく、これらの選択された支持部材300は他の前に作動される。代替え的に、チャンバの底部322は、選択された支持部材300の下に配列された溝またはトレンチ(図示せず)を備えてもよく、これらの選択された支持部材300は、他の前に作動される。
[0049]図3Cは、図3Bに示されるような支持アセンブリ318内部に少なくとも部分的に支持部材300が配置されたPECVDチャンバ310の更なる他の実施形態を示す。この実施形態において、リフトプレート324は、支持アセンブリ318の下側319B近傍、支持部材300の第2端部304の下方に配置される。リフトプレート324は、空気圧シリンダ、液圧シリンダ、リードスクリュー、ソレノイド、ステップモータ、チャンバ本体312の外側に通常位置決めされる他の運動装置(図示せず)のようなアクチュエータに結合される。リフトプレート324と支持アセンブリ318の垂直運動は、バネや運動停止具を利用する単一のアクチュエータを介して制御可能であり、これらは、リフトプレート324及び支持アセンブリ318間の相対運動を制御する。操作において、リフトプレート324は、上方に移動し、支持部材300の第2端部304に接触し、支持部材300を支持アセンブリ318の上部表面を超えて伸ばす。
[0050]前述したように、支持部材300は、異なる時間で指示部材300を作動するように、それぞれが異なる長さを有してもよい。支持アセンブリ318の周辺付近に配置された支持部材300は、通常、支持アセンブリ318の中央付近に配置された支持部材300より長くなっている。また、逆の配置でも有用かもしれない。
[0051]前述した支持部材100,200を利用する試験チャンバ及び処理チャンバ(例えば、前述した処理チャンバ)は、カリフォルニア州サンタクララに所在するAKT社から入手可能な、AKT 15K、25K、40K PECVDシステムのような処理プラットフォームに組み込んでもよい。これらPECVDシステムの詳細は、"Vacuum Processing Apparatus Having Improved Throughput"という名称の、共通に譲渡された、米国特許第5,512,320号に説明され、これは本願に参考のために組み込まれる。
[0052]図4は、例示の複数チャンバ処理システム400の概略上面図を示す。処理システム400は、中央移送チャンバ412を含み、これは、ガラス基板をシステム400に出し入れする為にロードロックチャンバ414に接続されている。処理システム400は、また、1以上の処理チャンバ438,440,442,446,448を含み、それぞれは、中央移送チャンバ412付近に配置されている。処理チャンバ438,440,442,444,446,448は、化学気相堆積(CVD)チャンバ、物理的気相堆積(PVD)チャンバ、原子層堆積チャンバ(ALD)、アニーリング又は熱処理チャンバ、当該技術分野で既知の他の処理チャンバでもよい。前述された支持部材100,200,300を利用する例示のCVDチャンバが示され、前述されている。他の処理チャンバは、支持部材100,200,300の同様に使用するので、詳細には説明しない。
[0053]ロードロックチャンバ414は、基板を大気から処理システム400の真空環境に移送する為に側壁内部に配置されたスリットバルブ416のような閉鎖可能な開口を含む。さらに、ロードロックチャンバ414は、複数のスリーブ又はプラットフォーム内部に適合されたカセット(図示せず)を内包し、図5に示されるように、内部で複数の基板を支持し冷却する。
[0054]図5は、特別なバッチ型保管用カセット517の横断面図を示す。カセット517は、側壁512,514、底部壁516、リッド518を含む。複数のチャネル520は、側壁512,514中に配置されている。一態様において、チャネル520は、入口導管524と出口導管526と流体連通し、温度制御流体を中に循環させてもよい。他の態様において、チャンバ520は、導管527を介して電源(図示せず)に接続される加熱用コイルを含んでもよい。代替え的に、加熱用コイルを取り囲む為に、さらに、チャネル520内で熱伝達媒体を循環させる為に、同一の導管524,526が使用可能である。
[0055]側壁512,514の内部には、複数の熱伝導スリーブ560が付けられている。スリーブ560は、壁512,514と良好な熱接触状態にあり、スリーブ560の温度の急速かつ均一な制御を確実にしている。スリーブ560の為に使用可能な材料例には、以下の材料に限定されないが、アルミニウム、銅、ステンレス鋼、被膜銅などを含む。
[0056]図1A−図1D、図2A−図2Cを参照に前述されたような支持体300は、ガラス基板532を上部に支持する為に、各々の棚部560に適切に配置される。支持体300は、処理されるガラス基板532を保持するので、棚部560とガラス基板532間には隙間がある。この隙間は、ガラス基板532に応力を加え亀裂を入れ、棚560からガラス基板532に移送される汚染が生じるおそれがある、棚部560とガラス基板532間の直接接触が避けられることを確実にする。ガラス基板532は、ガラス基板532及び棚部560の直接接触より、むしろ放射及びガス伝導により間接的に加熱される。さらに、ガラス基板532及び棚部560のインターリービング(挟み込み)は、上下からガラス基板532を移動する為の加熱を提供し、ガラス基板532の、より急速かつ均一な加熱を提供する。
[0057]図4を再び参照すると、ガラス基板は、手動または自動方式で処理システム400内にロード可能である。図4に示されるように、ロードロックチャンバ414の反対側にある第1ステーションで、処理システム400の外側のレールフレーム480に取り付けられた、商業的に利用可能なロボット474は、カセット417A,B,Cから基板を取り出すことができ、ロードロックチャンバ414を通して処理システム400内に一枚ずつガラス基板をロードすることができる。同様に、ロボット474は、処理済み基板をロードロックチャンバ414から取り出すことができ、その基板を保管用カセット417A,B,Cの一つに戻すことができる。類似して、移送チャンバ412内部に配置されたロボット(この図に示さず)は、処理チャンバ400内部でガラス基板を移動させ、位置決めする。
[0058]前述したことは、本発明の実施形態に向けられているが、本発明の他の、更なる実施形態は、その基本的範囲を逸脱することなく、案出可能であり、その範囲は請求の範囲により決定される。
図1Aは、本願に説明された支持部材の一実施形態の概略図である。 図1Bは、図1Aに示されたブッシングの一実施形態の概略図である。 図1Cは、図1Aに示されたベアリング要素の一実施例の概略図である。 図1Dは、図1Cに示されたベアリング要素の一部断面図である。 図2Aは、本願で説明された支持部材の他の実施形態の概略図である。 図2Bは、図2Aに示された支持部材の上面図である。 図2Cは、図2Aに示された完全に組み立てられた支持部材の他の概略図である。 図3は、図1A〜図1D、図2A〜図2Cに示されたような、単独または組み合わせにおける支持部材を利用する例示の、プラズマ増強型化学気相堆積チャンバの概略的な断面図である。 図3Aは、図3に示されたプラズマ増強型化学気相堆積チャンバの特別な実施形態の概略的な断面図である。この実施形態において、チャンバは、リフトオフプレートを含み、サセプタ上またはサセプタを離れた基板の移送を容易にする。 図3Bは、図3に示されたプラズマ増強型化学気相堆積チャンバの他の特別な実施形態の概略的な断面図である。この実施形態において、図1A〜図1C、図2A〜図2Dに示された支持部材は、チャンバ内部に配置された支持アセンブリ内部に少なくとも一部が配置されている。 図3Cは、図3に示されたプラズマ増強型気相堆積チャンバの特別な実施形態の概略的な断面図である。この実施形態において、図1A〜図1C、図2A〜図2Dに示された支持部材は、図3Bに示された支持アセンブリ内部に少なくとも一部が配置されている。チャンバも、リフトプレートを含み、支持部材を作動させる。 図4は、例示の複数チャンバ処理システムの概略的な上面図である。 図5は、図1A〜図1D、図2A〜図2Cに示されたように、単独または組み合わせにおける支持部材を利用するバッチ型加熱または冷却カセットの横断面図である。
符号の説明
100…支持部材、102…ブッシング、107…ウインドウ、110A、110B…ベアリング要素、112…ローラ、113…中央ボア、114…シャフト、115…カットアウト、120…支持ピン、200…支持部材、202…ブッシング、205…中央ボア、210…スリーブ、220…ベアリング要素、221…球状部材、222…球状部材、230…ボールシート部又はウインドウ、240…フランジ、300…支持部材、302…第1端部、304…第2端部、310…プラズマ増強型化学気相堆積(PECVD)チャンバ、312…チャンバ本体、314…最上壁、316…ガス入口マニフォールド、318…サセプタ、320…シャフト、322…底部壁、324…リフトアセンブリ、325…基板、328…穴、330…ガス出口、332…側壁、336…電源、340…アパーチャ、342…入口導管、344…シャワーヘッド、351…拡張部、400…複数チャンバ処理システム、412…中央移送チャンバ、414…ロードロックチャンバ、416…スリットバルブ、417…カセット、438、440、442、444、446、448…処理チャンバ、474…ロボット、480…レールフレーム、512、514…側壁、516…底部壁、517…カセット、518…リッド、520…チャネル、524…入口導管、526…出口導管、560…熱伝導性棚部

Claims (56)

  1. 基板支持部材において、
    貫通してボアが形成されたハウジングと;
    前記ボア内に配置された複数のベアリング要素であって、貫通して形成された中央ボアと、輪郭が付けられた外部表面と、前記中央ボアを通って少なくとも部分的に配置されたシャフトと、を備える、前記ベアリング要素と;
    を備える、前記基板支持部材。
  2. 前記ハウジングは、第1端部で貫通して形成された4つのウインドウと、第2端部で貫通して形成された4つのウインドウとを備え、各ウインドウは、内部に少なくとも部分的に配置されたベアリング要素の一つを有する、請求項1記載の支持部材。
  3. 前記ベアリング要素は、凹形外部表面を含み、前記ハウジングの前記ボア内に配置された支持用ピンの外径部に適合する、請求項1記載の支持部材。
  4. 各ベアリング要素は、ローラである、請求項1記載の支持部材。
  5. 各ベアリング要素は、前記ハウジングの前記ボア内に配置された支持用ピンと物理的に接触しているローラである、請求項1記載の支持部材。
  6. 基板支持部材において:
    貫通してボアが形成されたハウジングと;
    前記ボア内に少なくとも部分的に配置された支持用ピンと;
    前記ハウジングの周囲に配置された複数のローラであって、各ローラは、貫通して形成された中央ローラと、輪郭が形成された外部表面と、前記中央ボアを通って少なくとも部分的に配置されたシャフトと、を備える、前記基板支持部材。
  7. 前記ハウジングは、第1端部で貫通して形成された4つのウインドウと、第2端部で貫通して形成された4つのウインドウとを備え、各ウインドウは、内部に少なくとも部分的に配置されたベアリング要素の一つを有する、請求項6記載の基板支持部材。
  8. 前記支持用ピンは、円形横断面を有し、各ローラは、凹形外部表面を有し、前記支持用ピンの外径部に適合する、請求項6記載の支持部材。
  9. 基板支持部材において:
    貫通してボアが形成されたハウジングと;
    前記ボア内に少なくとも部分的に配置された支持用ピンと;
    前記ハウジングの周囲に配置された複数のベアリング要素と;
    を備える、前記基板支持部材。
  10. 前記ハウジングは、貫通して形成された少なくとも4つのウインドウであって、各ウインドウが少なくとも一部が内部に形成されたベアリング要素の一つを有する、請求項9記載の基板支持部材。
  11. 前記ハウジングは、第1端部で貫通して形成された4つのウインドウと、第2端部で貫通して形成された4つのウインドウとを備え、各ウインドウは、内部に少なくとも部分的に配置されたベアリング要素の一つを有する、請求項6記載の基板支持部材。
  12. 前記ベアリング要素の少なくとも一つは、貫通して中央ボアが形成されたローラと、輪郭が形成された外部表面と、前記中央ボアを通って配置され少なくとも部分的に配置されたシャフトとを有するローラを備える、請求項9記載の支持部材。
  13. 前記支持用ピンは、円形横断面を有し、各ローラは、凹形外部表面を有し、前記支持用ピンの外径部に適合する、請求項6記載の支持部材。
  14. 前記ハウジングは、第1端部で貫通して形成された4つのウインドウと、第2端部で貫通して形成された4つのウインドウとを備え、各ウインドウは、内部に少なくとも部分的に配置されたベアリング要素の一つを有し、前記ベアリング要素は、貫通して中央ボアが形成されたローラと、輪郭が形成された外部表面と、前記中央ボアを通って配置され少なくとも部分的に配置されたシャフトとを有するローラを備える、請求項9記載の基板支持部材。
  15. 前記ベアリング要素の少なくとも一つは、ボールアセンブリを備え、前記ボールアセンブリは、大きな球状部材と、前記大きな球状部材の周りに配置された4つの小さな球状部材と、を備える、請求項9記載の支持部材。
  16. 前記大きな球状部材と4つの小さな球状部材は、前記ハウジング内に形成されたボールシート部の内部に配置されている、請求項9記載の支持部材。
  17. 前記ハウジングの周囲に配置された中空スリーブを更に備える、請求項9記載の支持部材。
  18. 前記中空スリーブは、前記ハウジングに前記ベアリング要素を固定するように適合されている、請求項17記載の支持部材。
  19. 前記ハウジングの第1端部に配置されたソケットを更に備え、前記ソケットは、前記中空スリーブの第1端部に接するように適合されている、請求項18記載の支持部材。
  20. 前記ハウジングは、第1端部で貫通して形成された4つのボールシート部と、第2端部で貫通して形成された4つのボールシート部とを備え、各ボールシート部は、内部に少なくとも部分的に配置されたベアリング要素の一つを有し、前記ベアリング要素は、ボールアセンブリを備え、前記ボールアセンブリは、大きな球状部材と、前記大きな球状部材の周りに配置された4つの小さな球状部材と、を備える、請求項9記載の基板支持部材。
  21. 処理チャンバにおいて:
    支持用ペデスタルを内部に配置されたチャンバ本体と;
    前記支持用ペデスタルの上部表面上に各々が配置された2以上の支持部材であって、前記支持部材が、
    貫通してボアが形成されたハウジングと、
    前記ボア内に少なくとも部分的に配置された支持用ピンと、
    前記ボア内に配置された複数のベアリング要素と、を備える、前記支持部材と;
    を備える、前記処理チャンバ。
  22. 前記ハウジングは、貫通して形成された少なくとも4つのウインドウであって、各ウインドウが少なくとも一部が内部に形成されたベアリング要素の一つを有する、請求項21記載の処理チャンバ。
  23. 前記ハウジングは、第1端部で貫通して形成された4つのウインドウと、第2端部で貫通して形成された4つのウインドウとを備え、各ウインドウは、内部に少なくとも部分的に配置されたベアリング要素の一つを有する、請求項21記載の処理チャンバ。
  24. 前記ベアリング要素の少なくとも一つは、貫通して中央ボアが形成されたローラと、輪郭が形成された外部表面と、前記中央ボアを通って配置され少なくとも部分的に配置されたシャフトとを有するローラを備える、請求項21記載の処理チャンバ。
  25. 前記支持用ピンは、円形横断面を有し、各ローラは、凹形外部表面を有し、前記支持用ピンの外径部に適合する、請求項24記載の処理チャンバ。
  26. 前記ハウジングは、第1端部で貫通して形成された4つのウインドウと、第2端部で貫通して形成された4つのウインドウとを備え、各ウインドウは、内部に少なくとも部分的に配置されたベアリング要素の一つを有し、前記ベアリング要素は、貫通して中央ボアが形成されたローラと、輪郭が形成された外部表面と、前記中央ボアを通って配置され少なくとも部分的に配置されたシャフトとを有するローラを備える、請求項23記載の処理チャンバ。
  27. 前記ベアリング要素の少なくとも一つは、ボールアセンブリを備え、前記ボールアセンブリは、大きな球状部材と、前記大きな球状部材の周りに配置された4つの小さな球状部材と、を備える、請求項21記載の処理チャンバ。
  28. 前記大きな球状部材と4つの小さな球状部材は、前記ハウジング内に形成されたボールシート部の内部に配置されている、請求項27記載の処理チャンバ。
  29. 前記ハウジングの周囲に配置された中空スリーブを更に備える、請求項21記載の処理チャンバ。
  30. 前記中空スリーブは、前記ハウジングに前記ベアリング要素を固定するように適合されている、請求項29記載の処理チャンバ。
  31. 前記ハウジングの第1端部に配置されたソケットを更に備え、前記ソケットは、前記中空スリーブの第1端部に接するように適合されている、請求項30記載の処理チャンバ。
  32. 前記ハウジングは、第1端部で貫通して形成された4つのボールシート部と、第2端部で貫通して形成された4つのボールシート部とを備え、各ボールシート部は、内部に少なくとも部分的に配置されたベアリング要素の一つを有し、前記ベアリング要素は、ボールアセンブリを備え、前記ボールアセンブリは、大きな球状部材と、前記大きな球状部材の周りに配置された4つの小さな球状部材と、を備える、請求項21記載の処理チャンバ。
  33. 処理チャンバであって:
    内部に支持ペデスタルが配置されたチャンバ本体と;
    前記チャンバ本体内部に配置された、前記支持アセンブリ付近のリフトアセンブリであって、前記支持ペデスタルに基板をロード、前記支持ペデスタルから基板をアンロードするように適合された、前記リフトアセンブリと;
    2つ以上の支持部材であって、各々が前記リフトアセンブリの上部表面に配置され、前記支持部材は、ボアが貫通して形成されたハウジング、前記ボア内部に少なくとも部分的に配置された支持ピン、前記ボア内部に配置された複数のベアリング要素を備える、前記支持部材と;
    を備える、前記処理チャンバ。
  34. 少なくとも一つのベアリング要素は、ボールアセンブリを備え、前記ボールアセンブリは、大きな球状部材と、その大きな球状部材の周りに配置された4つの小さな球状部材とを備える、請求項33記載の処理チャンバ。
  35. 前記大きな球状部材と小さな球状部材は、前記ハウジング内に形成されたシート部の内部に配置される、請求項34記載の処理チャンバ。
  36. 前記ハウジング周りに配置された中空スリーブを更に備える、請求項33記載の処理チャンバ。
  37. 前記中空スリーブは、前記ハウジングに前記ベアリング要素を固定するように適合されている、請求項36記載の処理チャンバ。
  38. 前記ハウジングの第1端部付近に配置されたソケットを更に備え、前記ソケットは、前記中空スリーブの第1端部に接触するように適合されている、請求項33記載の処理チャンバ。
  39. 前記ハウジングは、第1端部に貫通されて形成された4つのボールシート部と、第2端部に貫通された形成された4つのボールシート部と、を備え、各ボールシート部は、内部に少なくとも一部が配置されたベアリング要素の一つを有し、前記ベアリング要素は、ボールアセンブリを備え、前記ボールアセンブリは、大きな球状部材と、その大きな球状部材の周りに配置された4つの小さな球状部材とを備える、請求項33記載の処理チャンバ。
  40. 基板を処理する為の方法であって:
    処理システム内部で処理される基板をロードするステップであって、前記システムは、少なくとも一つの支持ペデスタルが内部に配置される、前記ステップと;
    前記支持ペデスタルの上部表面に前記基板を配置するステップであって、前記支持ペデスタルは、2以上の支持部材が上部に配置され、前記支持部材は、ボアが貫通して形成されたハウジング、前記ボア内部に少なくとも部分的に配置された支持ピン、前記ボア内部に配置された複数のベアリング要素を備える、前記ステップと;
    を備える、前記方法。
  41. 前記ハウジングは、貫通して形成された少なくとも4つのウインドウを備え、各ウインドウは、内部に少なくとも一部が配置されたベアリングの一つを有する、請求項40記載の方法。
  42. 前記ハウジングは、第1端部に貫通して形成された4つのウインドウと、第2端部に貫通して形成された4つのウインドウと、を備え、各ウインドウは、内部に少なくとも一部が配置されたベアリング要素の一つを有する、請求項40記載の方法。
  43. 前記ベアリング要素の少なくとも一つは、中央ボアが貫通して形成されたローラ、輪郭となる外部表面、前記中央ボアを通って少なくとも部分的に配置されたシャフトを備える、請求項40記載の方法。
  44. 前記支持ピンは、円形横断面を有し、各ローラは、凹部外径部表面を有し、前記支持ピンの外径部を引き立てる、請求項43記載の方法。
  45. 前記ハウジングは、第1端部に貫通して形成された4つのウインドウと、第2端部に貫通して形成された4つのウインドウと、を備え、各ウインドウは、内部に少なくとも一部が配置されたベアリング要素の一つを有し、前記ベアリングは、中央ボアが貫通して形成されたローラ、輪郭となる外部表面、前記中央ボアを通って少なくとも部分的に配置されたシャフトを備える、請求項40記載の方法。
  46. 前記ベアリング要素の少なくとも一つは、ボールアセンブリを備え、前記ボールアセンブリは、大きな球状部材と、前記大きな球状部材の周りに配置された4つの小さな球状部材と、を備える、請求項40記載の方法。
  47. 前記大きな球状部材と4つの小さな球状部材は、前記ハウジング内に形成されたボールシート部の内部に配置されている、請求項46記載の方法。
  48. 前記ハウジングの周囲に配置された中空スリーブを更に備える、請求項40記載の方法。
  49. 前記中空スリーブは、前記ハウジングに前記ベアリング要素を固定するように適合されている、請求項48記載の方法。
  50. 前記ハウジングの第1端部に配置されたソケットを更に備え、前記ソケットは、前記中空スリーブの第1端部に接するように適合されている、請求項48記載の方法。
  51. 前記ハウジングは、第1端部で貫通して形成された4つのボールシート部と、第2端部で貫通して形成された4つのボールシート部とを備え、各ボールシート部は、内部に少なくとも部分的に配置されたベアリング要素の一つを有し、前記ベアリング要素は、ボールアセンブリを備え、前記ボールアセンブリは、大きな球状部材と、前記大きな球状部材の周りに配置された4つの小さな球状部材と、を備える、請求項40記載の方法。
  52. ボアが貫通して形成されたハウジング、前記ボア内部に配置された複数のベアリング要素を備える、基板支持部材であって、前記ベアリング要素の少なくとも一つは、ボールアセンブリを備え、前記ボールアセンブリは、大きな球状部材と、前記大きな球状部材の周りに配置された4つの小さな球状部材と、を備える、前記基板支持部材。
  53. 前記大きな球状部材と4つの小さな球状部材は、前記ハウジング内に形成されたボールシート部の内部に配置されている、請求項52記載の支持部材。
  54. 前記ハウジングの周囲に配置された中空スリーブを更に備える、請求項52記載の支持部材。
  55. 前記ボア内部に少なくとも部分的に配置された支持ピンを更に備える、請求項52記載の支持部材。
  56. 前記ハウジングは、第1端部で貫通して形成された4つのボールシート部と、第2端部で貫通して形成された4つのボールシート部とを備え、各ボールシート部は、内部に少なくとも部分的に配置されたベアリング要素の一つを有する、請求項52記載の支持部材。
JP2005010725A 2004-02-12 2005-01-18 基板支持用ブッシング Active JP4473144B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/779,130 US8033245B2 (en) 2004-02-12 2004-02-12 Substrate support bushing

Publications (2)

Publication Number Publication Date
JP2005240173A true JP2005240173A (ja) 2005-09-08
JP4473144B2 JP4473144B2 (ja) 2010-06-02

Family

ID=34701410

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005010725A Active JP4473144B2 (ja) 2004-02-12 2005-01-18 基板支持用ブッシング

Country Status (6)

Country Link
US (2) US8033245B2 (ja)
EP (1) EP1564791A3 (ja)
JP (1) JP4473144B2 (ja)
KR (3) KR100597495B1 (ja)
CN (2) CN101221896B (ja)
TW (1) TWI260064B (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008500709A (ja) * 2004-05-28 2008-01-10 オー・ツェー・エリコン・バルザース・アクチェンゲゼルシャフト 摩擦を減らすための、ローラグライドを備えたリフトピン
KR20110072129A (ko) * 2009-12-22 2011-06-29 주성엔지니어링(주) 기판처리장치
KR20150125378A (ko) * 2014-04-30 2015-11-09 주성엔지니어링(주) 리프트 핀 지지 어셈블리
KR102524499B1 (ko) * 2022-12-27 2023-04-21 주식회사 디타스 잠수함용 윈치시스템의 전향장치 고착방지 시스템

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8033245B2 (en) * 2004-02-12 2011-10-11 Applied Materials, Inc. Substrate support bushing
KR101144587B1 (ko) * 2004-11-09 2012-05-14 주성엔지니어링(주) 리프트핀 홀더
JP4906375B2 (ja) * 2006-03-20 2012-03-28 東京応化工業株式会社 基板支持部材
US7997227B2 (en) * 2007-03-13 2011-08-16 General Electric Company Vacuum coater device and mechanism for supporting and manipulating workpieces in same
KR101433864B1 (ko) * 2007-11-30 2014-09-01 주성엔지니어링(주) 기판 승강 장치
US20100151127A1 (en) * 2008-12-12 2010-06-17 Applied Materials, Inc. Apparatus and method for preventing process system contamination
KR101045247B1 (ko) 2008-12-12 2011-06-29 엘아이지에이디피 주식회사 리프트 핀 승강장치
US20110014396A1 (en) * 2009-07-14 2011-01-20 Applied Materials, Inc. Recirculating linear rolling bushing
WO2011009007A2 (en) * 2009-07-15 2011-01-20 Applied Materials, Inc. Improved lift pin guides
DE102009035950B4 (de) * 2009-08-03 2011-09-01 Siemens Aktiengesellschaft Führungselement für Rillschlauch
WO2011017226A2 (en) * 2009-08-07 2011-02-10 Applied Materials, Inc. Compound lift pin tip with temperature compensated attachment feature
JP2012162752A (ja) * 2011-02-03 2012-08-30 Taiyo Nippon Sanso Corp 気相成長装置
KR101296966B1 (ko) * 2011-05-25 2013-08-14 에스케이씨솔믹스 주식회사 롤러 부싱
CN105088186B (zh) * 2011-11-23 2018-05-15 中微半导体设备(上海)有限公司 化学气相沉积反应器或外延层生长反应器及其支撑装置
TW201330170A (zh) * 2011-11-28 2013-07-16 Tera Semicon Corp 用於支撐基板之支撐插銷及使用該插銷之基板處理裝置
CN103247711B (zh) * 2012-02-14 2016-03-30 理想能源设备(上海)有限公司 一种用于抬升衬底的顶杆组件
WO2014058886A1 (en) * 2012-10-08 2014-04-17 Exro Technologies Inc. Electrical machines such as generators and motors
US10857655B2 (en) * 2013-03-13 2020-12-08 Applied Materials, Inc. Substrate support plate with improved lift pin sealing
US9991153B2 (en) * 2013-03-14 2018-06-05 Applied Materials, Inc. Substrate support bushing
US9316261B2 (en) * 2014-02-10 2016-04-19 NADELLA S.r.l. Linear guide system
KR200480806Y1 (ko) * 2015-07-10 2016-07-08 김길중 화학기상증착을 위한 공정챔버용 서셉터
KR102511875B1 (ko) * 2016-05-09 2023-03-17 주성엔지니어링(주) 리프트핀용 지지유닛 및 이를 사용한 기판처리장치
US10262887B2 (en) * 2016-10-20 2019-04-16 Lam Research Corporation Pin lifter assembly with small gap
KR20180075021A (ko) * 2016-12-26 2018-07-04 에스케이실트론 주식회사 회전 샤프트를 포함하는 웨이퍼 지지대
CN106601659B (zh) * 2016-12-30 2024-02-02 上海新阳半导体材料股份有限公司 新型晶圆转移装置
US11004722B2 (en) 2017-07-20 2021-05-11 Applied Materials, Inc. Lift pin assembly
KR102427077B1 (ko) * 2017-09-04 2022-07-29 주성엔지니어링(주) 지지구조체
DE102017122754A1 (de) * 2017-09-29 2019-04-04 MAX-PLANCK-Gesellschaft zur Förderung der Wissenschaften e.V. Vorrichtung sowie Vakuumkammer
CN108330468B (zh) * 2018-03-14 2023-06-30 深圳市志橙半导体材料有限公司 一种化学气相沉积炉的基体支撑装置及基体旋转驱动装置
CN110835739A (zh) * 2018-08-17 2020-02-25 中智(泰兴)电力科技有限公司 7腔体立式pecvd-pvd一体化硅片镀膜工艺
US10763154B2 (en) * 2018-08-28 2020-09-01 Applied Materials, Inc. Measurement of flatness of a susceptor of a display CVD chamber
CN109487240A (zh) * 2018-12-11 2019-03-19 武汉华星光电半导体显示技术有限公司 用于化学气相沉积腔室内之滚轮衬套组合件
US20220406645A1 (en) * 2019-11-22 2022-12-22 Lam Research Corporation Coin-slot and ball-lock ceramic lift pin holders
US11261910B1 (en) * 2020-11-10 2022-03-01 Raytheon Company Multi-layer linear bearing
EP4105503A1 (en) * 2021-06-18 2022-12-21 Goodrich Actuation Systems SAS Linear motor system guide
CN113652673B (zh) * 2021-09-15 2023-11-24 福建华佳彩有限公司 一种化学气相沉积台板结构及其控制方法

Family Cites Families (108)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US607576A (en) * 1898-07-19 Journal-bearing
GB558935A (en) 1942-11-05 1944-01-27 Blackburn Aircraft Ltd Improvements in and relating to bearing supports for axially movable rods
US2889180A (en) * 1954-11-22 1959-06-02 Earl R Jorgensen Roller bearing construction
CH327414A (fr) 1955-06-08 1958-01-31 Amagasaki Seitetsu Kabushiki K Dispositif de support antifriction pour corps mobile
US2907610A (en) * 1956-02-01 1959-10-06 Anderson Co Bearing structure
US2983553A (en) 1959-02-24 1961-05-09 Wilbur H Dexter Linear bearing
US3269128A (en) 1963-11-15 1966-08-30 Rusche Fredric Coupled pile driving mandrel
US3347603A (en) 1965-04-12 1967-10-17 Ignatjev Vladimir Axial bearing
US3469893A (en) * 1967-03-13 1969-09-30 Arthur F Hudson Bearing construction
US3589202A (en) * 1969-03-19 1971-06-29 Richard B Stanley Linear actuator
US3582161A (en) * 1969-07-07 1971-06-01 Arthur F Hudson Bearing construction
US3622211A (en) * 1969-11-10 1971-11-23 Robert E Mitton Linear roller bearing unit
US3887247A (en) 1974-02-25 1975-06-03 Us Energy Bearing mounting for telescoping tubes
US4265320A (en) * 1977-05-16 1981-05-05 Matsushita Electric Industrial Co., Ltd. Electrically powered torque-controlled tool
US4334436A (en) * 1980-08-21 1982-06-15 F. Jos. Lamb Company Bearing arrangement for oscillating shafts
US4346945A (en) 1980-09-26 1982-08-31 Nobuyuki Tsuboi Multipurpose reciprocal bearing unit
US4382739A (en) * 1980-12-24 1983-05-10 International Business Machines Corporation Light actuating force elevator drive mechanism
CH659690A5 (de) * 1983-03-29 1987-02-13 Fernand Moser Linear- oder rotationsfuehrung.
US4621936A (en) 1983-10-14 1986-11-11 Corning Glass Works Zirconia pen balls
FR2591138B1 (fr) 1985-12-10 1988-04-08 Recif Sa Procede de fabrication d'embouts pour pipettes a vide notamment et les embouts obtenus par la mise en oeuvre du procede.
US4635452A (en) * 1986-01-21 1987-01-13 Olson Manufacturing Company Double-acting barrel lock and key
DE3623970A1 (de) * 1986-07-16 1988-01-28 Leybold Heraeus Gmbh & Co Kg Transporteinrichtung mit rollensystemen fuer vakuum-beschichtungsanlagen
JPH0719150Y2 (ja) 1986-10-31 1995-05-01 日本真空技術株式会社 ウェハ搬送ハンド
US4842683A (en) * 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
WO1989003276A1 (en) 1987-10-13 1989-04-20 Extrude Hone Corp Method and apparatus for aligning two components
KR0155545B1 (ko) 1988-06-27 1998-12-01 고다까 토시오 기판의 열처리 장치
US5238499A (en) * 1990-07-16 1993-08-24 Novellus Systems, Inc. Gas-based substrate protection during processing
US5160238A (en) * 1990-07-18 1992-11-03 Hermco Shaft travel devices such as vertical lift arm devices
US5236264A (en) * 1991-06-10 1993-08-17 Nsk Ltd. Linear bearing
US5445486A (en) 1992-03-29 1995-08-29 Tokyo Electron Sagami Limited Substrate transferring apparatus
US5356476A (en) * 1992-06-15 1994-10-18 Materials Research Corporation Semiconductor wafer processing method and apparatus with heat and gas flow control
US5370739A (en) * 1992-06-15 1994-12-06 Materials Research Corporation Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD
US5607009A (en) 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
JP3045431B2 (ja) 1993-02-19 2000-05-29 東京エレクトロン株式会社 スライド軸受
JPH06280864A (ja) * 1993-03-29 1994-10-07 Ntn Corp 針状ころ軸受
GB2277560A (en) 1993-04-30 1994-11-02 Itp Limited Adjustable bearing and machine slide
US5437440A (en) * 1993-08-20 1995-08-01 Compact Air Products, Inc. Swing apparatus
JP3172758B2 (ja) * 1993-11-20 2001-06-04 東京エレクトロン株式会社 プラズマエッチング方法
DE4416319A1 (de) * 1994-05-09 1995-11-16 Schaeffler Waelzlager Kg Lagereinheit mit einer Zentrierung und einem Flansch
JP3151118B2 (ja) 1995-03-01 2001-04-03 東京エレクトロン株式会社 熱処理装置
US6193506B1 (en) 1995-05-24 2001-02-27 Brooks Automation, Inc. Apparatus and method for batch thermal conditioning of substrates
US5830277A (en) * 1995-05-26 1998-11-03 Mattson Technology, Inc. Thermal processing system with supplemental resistive heater and shielded optical pyrometry
JP2739452B2 (ja) * 1995-06-28 1998-04-15 重雄 高橋 組立て型リニヤベアリング及びその組立て方法
US5788458A (en) 1995-07-10 1998-08-04 Asyst Technologies, Inc. Method and apparatus for vertical transfer of a semiconductor wafer cassette
US6113702A (en) 1995-09-01 2000-09-05 Asm America, Inc. Wafer support system
US5605574A (en) 1995-09-20 1997-02-25 Kabushiki Kaisha Toshiba Semiconductor wafer support apparatus and method
JP3328763B2 (ja) 1995-10-30 2002-09-30 エヌティティエレクトロニクス株式会社 縦型ウエハボートのウエハ支持構造
JP3005461B2 (ja) 1995-11-24 2000-01-31 日本電気株式会社 静電チャック
KR100245260B1 (ko) 1996-02-16 2000-02-15 엔도 마코토 반도체 제조장치의 기판 가열장치
US5893647A (en) 1996-03-15 1999-04-13 Isel Co., Ltd. Bearing retainer for a sliding mechanism for use in a machine tool
JP3163973B2 (ja) * 1996-03-26 2001-05-08 日本電気株式会社 半導体ウエハ・チャック装置及び半導体ウエハの剥離方法
US5796066A (en) * 1996-03-29 1998-08-18 Lam Research Corporation Cable actuated drive assembly for vacuum chamber
US5788304A (en) 1996-05-17 1998-08-04 Micron Technology, Inc. Wafer carrier having both a rigid structure and resistance to corrosive environments
US6091498A (en) 1996-07-15 2000-07-18 Semitool, Inc. Semiconductor processing apparatus having lift and tilt mechanism
US6120609A (en) * 1996-10-25 2000-09-19 Applied Materials, Inc. Self-aligning lift mechanism
US5836575A (en) 1996-10-30 1998-11-17 Micron Electronics, Inc. Wafer manual handpick station
US5844195A (en) 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
US5984391A (en) 1997-02-03 1999-11-16 Novellus Systems, Inc. Microfeature wafer handling apparatus and methods
KR100284567B1 (ko) 1997-04-15 2001-04-02 후지이 아키히로 수직 웨이퍼 보트
US6118100A (en) * 1997-11-26 2000-09-12 Mattson Technology, Inc. Susceptor hold-down mechanism
US6257827B1 (en) 1997-12-01 2001-07-10 Brooks Automation Inc. Apparatus and method for transporting substrates
JP3456890B2 (ja) 1998-01-16 2003-10-14 東京エレクトロン株式会社 基板処理装置
US6250619B1 (en) * 1998-02-03 2001-06-26 Cna Manufacturing Systems, Inc. Clamp suitable for use at high temperatures in a flexible tooling apparatus
US6077026A (en) 1998-03-30 2000-06-20 Progressive System Technologies, Inc. Programmable substrate support for a substrate positioning system
US6596086B1 (en) * 1998-04-28 2003-07-22 Shin-Etsu Handotai Co., Ltd. Apparatus for thin film growth
US6085670A (en) 1998-05-05 2000-07-11 Genmark Automation, Inc. Tiltable Z-axis platform based on uni-directional tilt platform
US6213704B1 (en) 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Method and apparatus for substrate transfer and processing
US6146504A (en) 1998-05-21 2000-11-14 Applied Materials, Inc. Substrate support and lift apparatus and method
JPH11351250A (ja) 1998-06-12 1999-12-24 Sony Corp ベアリング装置
US6170433B1 (en) * 1998-07-23 2001-01-09 Applied Materials, Inc. Method and apparatus for processing a wafer
US20010001384A1 (en) * 1998-07-29 2001-05-24 Takeshi Arai Silicon epitaxial wafer and production method therefor
JP2000091406A (ja) 1998-09-08 2000-03-31 Mitsubishi Materials Silicon Corp ウェーハ保持具
US6143147A (en) 1998-10-30 2000-11-07 Tokyo Electron Limited Wafer holding assembly and wafer processing apparatus having said assembly
JP2000150402A (ja) 1998-11-09 2000-05-30 Shin Etsu Handotai Co Ltd 基板支持治具
JP2000145914A (ja) * 1998-11-17 2000-05-26 Tsubakimoto Chain Co 逆転防止機構付直線作動機
US6256555B1 (en) 1998-12-02 2001-07-03 Newport Corporation Robot arm with specimen edge gripping end effector
KR100309920B1 (ko) 1998-12-16 2002-10-25 삼성전자 주식회사 기판의언로딩장치및언로딩방법
JP3579278B2 (ja) * 1999-01-26 2004-10-20 東京エレクトロン株式会社 縦型熱処理装置及びシール装置
US6378816B1 (en) * 1999-06-04 2002-04-30 Joel W. Pfister Linear motion table leg
US6187134B1 (en) 1999-07-09 2001-02-13 The Board Of Trustees Of The Leland Stanford Junior University Reusable wafer support for semiconductor processing
US6322116B1 (en) 1999-07-23 2001-11-27 Asm America, Inc. Non-contact end effector
US6452195B1 (en) 1999-08-18 2002-09-17 Ibis Technology Corporation Wafer holding pin
US6345150B1 (en) 1999-11-30 2002-02-05 Wafermasters, Inc. Single wafer annealing oven
US6572708B2 (en) 2000-02-28 2003-06-03 Applied Materials Inc. Semiconductor wafer support lift-pin assembly
JP2001317550A (ja) * 2000-05-08 2001-11-16 Hiihaisuto Seiko Kk リニアベアリング
JP2002213444A (ja) 2001-01-22 2002-07-31 Isel Co Ltd ガイド装置
JP2002174234A (ja) 2000-12-05 2002-06-21 Isel Co Ltd リニアガイド
US20020124706A1 (en) * 2000-12-05 2002-09-12 Isel Co., Ltd. Guide device for linear motion
JP4484376B2 (ja) * 2001-01-22 2010-06-16 アイセル株式会社 ガイド装置
US6935466B2 (en) * 2001-03-01 2005-08-30 Applied Materials, Inc. Lift pin alignment and operation methods and apparatus
US6709721B2 (en) * 2001-03-28 2004-03-23 Applied Materials Inc. Purge heater design and process development for the improvement of low k film properties
US6753507B2 (en) * 2001-04-27 2004-06-22 Kyocera Corporation Wafer heating apparatus
US6528767B2 (en) 2001-05-22 2003-03-04 Applied Materials, Inc. Pre-heating and load lock pedestal material for high temperature CVD liquid crystal and flat panel display applications
JP4205897B2 (ja) 2001-07-09 2009-01-07 アイセル株式会社 位置決め装置
US6592679B2 (en) * 2001-07-13 2003-07-15 Asyst Technologies, Inc. Clean method for vacuum holding of substrates
JP2003060012A (ja) 2001-08-08 2003-02-28 Asm Japan Kk 半導体処理用反応チャンバ
KR100432704B1 (ko) * 2001-09-01 2004-05-24 주성엔지니어링(주) 수소화된 SiOC 박막 제조방법
US6634686B2 (en) 2001-10-03 2003-10-21 Applied Materials, Inc. End effector assembly
US20030072639A1 (en) 2001-10-17 2003-04-17 Applied Materials, Inc. Substrate support
JP4111703B2 (ja) 2001-10-19 2008-07-02 アプライド マテリアルズ インコーポレイテッド ウエハリフト機構
US6932333B2 (en) * 2002-08-30 2005-08-23 Gerd Bruno Bode Swing clamp
US6887317B2 (en) * 2002-09-10 2005-05-03 Applied Materials, Inc. Reduced friction lift pin
US6917755B2 (en) * 2003-02-27 2005-07-12 Applied Materials, Inc. Substrate support
US7083702B2 (en) * 2003-06-12 2006-08-01 Applied Materials, Inc. RF current return path for a large area substrate plasma reactor
US8033245B2 (en) * 2004-02-12 2011-10-11 Applied Materials, Inc. Substrate support bushing
US20060016398A1 (en) * 2004-05-28 2006-01-26 Laurent Dubost Supporting and lifting device for substrates in vacuum
EP1881215A3 (en) * 2006-07-12 2008-01-30 JTEKT Corporation Cage of synthetic resin for a rolling element bearing with sliding surfaces formed by annular ceramic inserts
TW200946791A (en) * 2008-05-06 2009-11-16 Yi-Chang Yang Cylindrical roller and bushing with such a cylindrical roller

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008500709A (ja) * 2004-05-28 2008-01-10 オー・ツェー・エリコン・バルザース・アクチェンゲゼルシャフト 摩擦を減らすための、ローラグライドを備えたリフトピン
KR20110072129A (ko) * 2009-12-22 2011-06-29 주성엔지니어링(주) 기판처리장치
KR101628918B1 (ko) * 2009-12-22 2016-06-09 주성엔지니어링(주) 기판처리장치
KR20150125378A (ko) * 2014-04-30 2015-11-09 주성엔지니어링(주) 리프트 핀 지지 어셈블리
KR102239477B1 (ko) * 2014-04-30 2021-04-13 주성엔지니어링(주) 리프트 핀 지지 어셈블리
KR102524499B1 (ko) * 2022-12-27 2023-04-21 주식회사 디타스 잠수함용 윈치시스템의 전향장치 고착방지 시스템

Also Published As

Publication number Publication date
KR20110074839A (ko) 2011-07-04
EP1564791A2 (en) 2005-08-17
TWI260064B (en) 2006-08-11
KR101108389B1 (ko) 2012-01-30
US8216422B2 (en) 2012-07-10
KR20050081839A (ko) 2005-08-19
TW200527577A (en) 2005-08-16
US20050220604A1 (en) 2005-10-06
JP4473144B2 (ja) 2010-06-02
EP1564791A3 (en) 2006-08-09
CN101221896B (zh) 2010-07-21
US20050180737A1 (en) 2005-08-18
US8033245B2 (en) 2011-10-11
KR100597495B1 (ko) 2006-07-10
CN1655336B (zh) 2010-12-22
CN1655336A (zh) 2005-08-17
KR20050081179A (ko) 2005-08-18
CN101221896A (zh) 2008-07-16

Similar Documents

Publication Publication Date Title
JP4473144B2 (ja) 基板支持用ブッシング
KR102076370B1 (ko) 멀티 스테이션 순차식 프로세싱 시스템용 캐러셀 리액터
JP4640917B2 (ja) 基板支持体
TW561575B (en) Substrate support
JP3398936B2 (ja) 半導体処理装置
US5879128A (en) Lift pin and support pin apparatus for a processing chamber
EP1390968B1 (en) Smooth multipart substrate support member for cvd
US10535549B2 (en) Lift pin holder
US20080149032A1 (en) Lift pin, apparatus for processing a substrate and method of processing a substrate
JP2004533117A (ja) 基板サポートアセンブリと基板処理用装置
WO2011009007A2 (en) Improved lift pin guides
KR20090056204A (ko) 기판 승강 장치
US6416318B1 (en) Process chamber assembly with reflective hot plate and pivoting lid
US20220254634A1 (en) Chamber body feedthrough for in chamber resistive heating element
JP2000252350A (ja) 基板受け渡し装置
CN115491651A (zh) 基座清洁
EP1536457A2 (en) Process chamber assembly with reflective hot plate

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050714

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050714

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080916

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20081120

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20081126

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090316

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20090316

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090317

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091020

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100120

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100125

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100125

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100212

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100223

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100304

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130312

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4473144

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130312

Year of fee payment: 3

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130312

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140312

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250