KR100597495B1 - 기판 지지 부재 - Google Patents

기판 지지 부재 Download PDF

Info

Publication number
KR100597495B1
KR100597495B1 KR1020040069032A KR20040069032A KR100597495B1 KR 100597495 B1 KR100597495 B1 KR 100597495B1 KR 1020040069032 A KR1020040069032 A KR 1020040069032A KR 20040069032 A KR20040069032 A KR 20040069032A KR 100597495 B1 KR100597495 B1 KR 100597495B1
Authority
KR
South Korea
Prior art keywords
bushing
support member
disposed
delete delete
substrate support
Prior art date
Application number
KR1020040069032A
Other languages
English (en)
Other versions
KR20050081839A (ko
Inventor
신이치 쿠리타
수하일 앤웨어
토시오 키요타케
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=34701410&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=KR100597495(B1) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20050081839A publication Critical patent/KR20050081839A/ko
Application granted granted Critical
Publication of KR100597495B1 publication Critical patent/KR100597495B1/ko

Links

Images

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16CSHAFTS; FLEXIBLE SHAFTS; ELEMENTS OR CRANKSHAFT MECHANISMS; ROTARY BODIES OTHER THAN GEARING ELEMENTS; BEARINGS
    • F16C29/00Bearings for parts moving only linearly
    • F16C29/04Ball or roller bearings
    • F16C29/045Ball or roller bearings having rolling elements journaled in one of the moving parts
    • F16C29/046Ball or roller bearings having rolling elements journaled in one of the moving parts with balls journaled in pockets
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G49/00Conveying systems characterised by their application for specified purposes not otherwise provided for
    • B65G49/05Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles
    • B65G49/06Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles for fragile sheets, e.g. glass
    • B65G49/061Lifting, gripping, or carrying means, for one or more sheets forming independent means of transport, e.g. suction cups, transport frames
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/001General methods for coating; Devices therefor
    • C03C17/002General methods for coating; Devices therefor for flat glass, e.g. float glass
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16CSHAFTS; FLEXIBLE SHAFTS; ELEMENTS OR CRANKSHAFT MECHANISMS; ROTARY BODIES OTHER THAN GEARING ELEMENTS; BEARINGS
    • F16C29/00Bearings for parts moving only linearly
    • F16C29/04Ball or roller bearings
    • F16C29/045Ball or roller bearings having rolling elements journaled in one of the moving parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G2249/00Aspects relating to conveying systems for the manufacture of fragile sheets
    • B65G2249/02Controlled or contamination-free environments or clean space conditions

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • General Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Geochemistry & Mineralogy (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

처리 챔버 내에서 기판을 지지하는 장치가 제공된다. 한 형태에서, 관통하여 형성된 구경을 갖는 하우징, 적어도 부분적으로 상기 구경 내에 배치된 지지 핀, 및 상기 하우징 둘레에 배치된 다수의 베어링 부재를 포함하는 기판 지지 부재가 제공된다. 한 형태에서, 베어링 부재들은 관통하여 형성된 중심 구경, 윤곽 겉면, 및 적어도 부분적으로 상기 중심 구경을 관통하여 배치된 샤프트를 갖는 롤러를 포함한다. 다른 형태에서, 베어링 부재들은 큰 구형 부재 및 상기 큰 구형 부재 둘레에 배열된 4개의 작은 구형 부재를 포함하는 볼 어셈블리를 포함한다.

Description

기판 지지 부재{SUBSTRATE SUPPORT MEMBER}
도 1a는 지지 부재의 일 실시예의 개략도이다.
도 1b는 도 1a에 나타낸 부싱의 일 실시예의 개략도이다.
도 1c는 도 1a에 나타낸 베어링 부재의 일 실시예의 개략도이다.
도 1d는 도 1c에 나타낸 베어링 부재의 부분 단면도이다.
도 2a는 지지 부재의 다른 실시예의 개략도이다.
도 2b는 도 2a에 나타낸 지지 부재의 상면도이다.
도 2c는 도 2a에 나타낸 완전히 조립된 지지 부재의 다른 개략도이다.
도 3은 도 1a 내지 도 1d 및 도 2a 내지 도 2c에 나타낸 지지 부재를 단독 또는 조합하여 이용하는 전형적인 플라즈마 강화 화학 증착 챔버의 개략적인 단면도이다.
도 3a는 도 3에 나타낸 플라즈마 강화 화학 증착 챔버의 특정 실시예의 개략적인 단면도이다. 이 실시예에서 챔버는 서셉터(susceptor)에 대한 기판의 이송을 용이하게 하는 리프트-오프 플레이트를 포함한다.
도 3b는 도 3에 나타낸 플라즈마 강화 화학 증착 챔버의 다른 특정 실시예의 개략적인 단면도이다. 이 실시예에서, 도 1a 내지 도 1d 및 도 2a 내지 도 2c에 나타낸 지지 부재들은 적어도 부분적으로 챔버 내에 배치된 지지대 내에 배치된다.
도 3c는 도 3에 나타낸 플라즈마 강화 화학 증착 챔버의 다른 특정 실시예의 개략적인 단면도이다. 이 실시예에서, 도 1a 내지 도 1d 및 도 2a 내지 도 2c에 나타낸 지지 부재들은 적어도 부분적으로 도 3b에 나타낸 지지대 내에 배치된다. 챔버는 또한 지지 부재를 활성화시키는 리프트 플레이트를 포함한다.
도 4는 전형적인 다중 챔버 처리 시스템의 개략적인 상면도이다.
도 5는 도 1a 내지 도 1d 및 도 2a 내지 도 2c에 나타낸 바와 같이 지지 부재를 단독 또는 조합하여 이용하는 배치식(batch-type) 가열 또는 냉각 카세트의 단면도이다.
<도면의 주요부분에 대한 부호의 설명>
100, 200, 300 : 지지 부재 102, 202 : 부싱
105 : 중심 구경 107 : 창
110A, 110B : 베어링 부재 112 : 롤러
113 : 중심 구경 114 : 샤프트
115 : 절취부 120 : 핀
120A : 제 1 단부 120B : 제 2 단부
205 : 구경 210 : 슬리브
220, 220A∼220D : 베어링 부재 221, 222 : 볼
230, 230A∼230D : 창 240 : 플랜지
302 : 제 1 단부 304 : 제 2 단부
310 : 챔버 312 : 챔버 바디
316 : 가스 입구 분기관 318 : 서셉터, 지지대
320 : 샤프트 324 : 리프트 어셈블리
325 : 기판 328 : 홀
330 : 가스 출구 336 : 전원
340 : 구멍 342 : 가스 유입 도관
344 : 샤워헤드 400 : 다중 처리 챔버 시스템
412 : 중앙 이송 챔버 414 : 로드락 챔버
416 : 슬릿 밸브 417A∼417C : 저장 카세트
438, 440, 442, 444, 446, 448 : 처리 챔버
474 : 로봇 480 : 레일 프레임
517 : 저장 카세트 520 : 채널
524, 526, 527 : 도관 532 : 유리 기판
560 : 셸프
본 발명은 일반적으로 기판 지지 핀에 관한 것이다. 보다 구체적으로, 본 발명은 대형 유리 패널용 지지 핀에 관한 것이다.
박막 트랜지스터는 모니터, 평판 디스플레이, 태양 전지, 개인 휴대 단말(PDA), 휴대 전화 등에 사용되는 대형 유리 기판 또는 플레이트 상에 제조되어왔다. 이들 트랜지스터는 진공 챔버에서의 비결정 실리콘, 도핑 및 비도핑 실리콘 산화물, 실리콘 질화물 등을 포함하는 각종 박막의 순차적 증착에 의해 제조된다. 막의 증착은 단일 증착 챔버 또는 시스템에서 일어나거나, 혹은 처리되고 있는 기판이 다수의 증착 챔버 사이로 이송된다. 각 증착 챔버 내에서 처리되고 있는 기판은 통상적으로 챔버 내에 위치하는 지지대 상에 얹혀진다. 증착 과정 사이에서 기판의 이송을 용이하게 하기 위해, 기판이 지지대와 떨어져 일정한 간격을 유지하도록 예를 들어 다수의 핀과 같은 지지 부재들이 지지대 윗면에 설치된다. 이는 로봇 블레이드와 같은 이송 기구가 지지대나 기판을 손상시키지 않으면서 기판 뒷면 아래로 미끄러지고 지지대로부터 기판을 들어올릴 수 있게 한다.
지지 핀으로는 일정한 높이를 가지며 지지대 윗면에 고정된 수직 포스트가 가장 흔하다. 지지 핀은 일반적으로 단단하며, 그 위에 배치된 유리 기판과 마찰을 일으킨다. 이 마찰은 흔히 불필요한 입자 오염을 일으킨다. 부가적으로, 지지 핀은 기판의 반복적인 로드 및 언로드로 인해 깎이거나 구부러지거나 깨지는 경향이 있다. 이는 기판이 처리 챔버에 들어가고 나갈 때 기판의 오정렬로 인해 일어난다. 또한 조작자 오류로 인해 핀의 손상이 발생할 수도 있고, 가장 흔하게는 전형적인 마멸로 인해 손상이 발생한다. 이에 따라, 지지 핀은 일반적으로 장기간의 사용 후 교체되며, 이는 손상된 핀을 제거하고 교체품을 설치하기 위한 중단 시간의 원인이 된다.
따라서, 지지 핀 상에 배치된 기판과의 마찰을 감소시킬 수 있는 지지 핀이 필요하며, 지지 핀의 수명을 연장시키며 중단 시간을 감소시킬 필요가 있다.
본 발명은 일반적으로 처리 챔버 내에서 기판을 지지하기 위한 기판 지지 부재를 제공한다. 한 형태에서, 기판 지지 부재들은 관통하여 형성된 구경(bore)을 갖는 하우징, 적어도 부분적으로 상기 구경 내에 배치된 지지 핀, 및 상기 하우징 둘레에 배치된 다수의 베어링 부재를 포함한다.
다른 형태에서, 기판 지지 부재들은 관통하여 형성된 구경을 갖는 하우징, 및 상기 구경 내에 배치된 다수의 베어링 부재를 포함하며, 상기 베어링 부재들 중 하나 이상은 관통하여 형성된 중심 구경, 윤곽 겉면, 및 적어도 부분적으로 상기 중심 구경을 관통하여 배치된 샤프트를 갖는 롤러를 포함한다.
또 다른 형태에서, 기판 지지 부재들은 관통하여 형성된 구경을 갖는 하우징, 및 상기 구경 내에 배치된 다수의 베어링 부재를 포함하며, 상기 베어링 부재들 중 하나 이상은 큰 구형 부재 및 상기 큰 구형 부재 주위에 정렬된 4개의 작은 구형 부재를 포함하는 볼 어셈블리를 포함한다.
본 발명은 또한 내부에 기판 지지 어셈블리가 배치된 처리 챔버를 제공한다. 한 형태에서, 챔버는 내부에 지지대가 배치된 챔버 바디, 및 상기 지지대 윗면에 각각 배치된 2개 이상의 지지 부재를 포함한다. 지지 부재들은 관통하여 형성된 구경을 갖는 하우징, 적어도 부분적으로 상기 구경 내에 배치된 지지 핀, 및 상기 구경 내에 배치된 다수의 베어링 부재를 포함한다. 다른 형태에서, 챔버는 상기 챔버 바디 내에서 상기 지지대에 인접하게 배치된 리프트 어셈블리를 포함한다. 리프트 어셈블리는 지지대에 대한 로드 및 언로드에 적용된다.
한 형태에서, 베어링 부재들은 관통하여 형성된 중심 구경, 윤곽 겉면, 및 적어도 부분적으로 상기 중심 구경을 관통하여 배치된 샤프트를 갖는 롤러를 포함한다. 다른 형태에서, 베어링 부재들은 큰 구형 부재 및 상기 큰 구형 부재 주위에 정렬된 4개의 작은 구형 부재를 포함한다.
본 발명의 상기 특징들이 상세히 이해될 수 있도록, 첨부 도면들에 도시된 실시예들을 참조하여 상기에 간단히 요약된 본 발명을 보다 상세히 설명한다. 그러나, 첨부 도면들은 단지 본 발명의 전형적인 실시예를 나타내는 것이므로 본 발명의 범위를 한정하는 것으로 간주되지 않으며, 본 발명은 다른 등가 실시예들을 인정할 수도 있다.
도 1a는 지지 부재(100)의 일 실시예의 개략도를 나타낸다. 도시한 바와 같이, 지지 부재(100)는 하나 이상의 베어링 부재(110A, 110B)(2개가 도시됨) 및 적어도 부분적으로 그 안에 배치된 지지 핀(120)을 포함하는 부싱(102)을 포함한다. 그 제 1 단부에서, 평판 패널 디스플레이, 원형 웨이퍼, 액정 디스플레이, 유리 패널 기판, 플라스틱 기판 등을 포함하는 임의의 반도체 기판과 같은 기판(도시 생략)이 그 위에 지지된다. 제 2 단부에서는, 통상적으로 지지 부재(100)가 지지대, 서셉터(susceptor), 로봇 블레이드, 셸프(shelf) 또는 그 밖에 기판을 홀딩 또는 지지하기 위해 적용되는 다른 부재의 윗면에 배치된다.
도 1b는 부싱(102)의 개략도를 나타낸다. 부싱(102)은 중심 구경(105) 및 관통하여 형성된 하나 이상의 창(107)을 구비한 고리형 부재이다. 바람직하게, 부싱(102)은 원통형 튜브와 비슷하다. 한 형태에서, 부싱(102)은 그 제 1 단부에 위치하는 제 1 세트의 창(107) 및 제 2 단부에 위치하는 제 2 세트의 창(107)을 포함한다. 창(107)의 실제 개수는 설계상의 문제이지만, 부싱(102)의 제 1 단부에 4개 이상의 창(107) 세트가, 부싱(102)의 제 2 단부에 4개 이상의 창(107) 세트가 위치하는 것이 바람직하다. 지지 부재(100)의 의도된 용도 및 처리 환경을 기초로 추가 세트의 창(107)이 요구될 수도 있다.
도 1c는 도 1a에 나타낸 베어링 부재(110A, 110B)의 개략도를 나타낸다. 도 1d는 베어링 부재(110A, 110B)의 부분 단면도를 나타낸다. 도 1c 및 도 1d를 참조하면, 적어도 부분적으로 부싱(102)의 제 1 단부를 관통하여 형성된 제 1 세트의 창(107) 내에 제 1 베어링 부재(110A)가 수용된다. 적어도 부분적으로 부싱(102)의 제 2 단부를 관통하여 형성된 제 2 세트의 창(107) 내에 제 2 베어링 부재(110B)가 수용된다. 한 형태에서, 각 베어링 부재(110A, 110B)는 이를 관통하여 형성된 중심 구경(113) 및 중심 구경(113)을 관통하여 적어도 부분적으로 배치된 샤프트(114)를 갖는 하나 이상의 롤러(112)를 포함한다. 샤프트(114)는 부싱(102)에 고정되어 롤러(112)를 제자리에 유지한다. 한 형태에서, 각 샤프트(114)의 단부는 모서리가 깎여져 도 1c에 나타낸 것과 같이 원뿔 모양을 형성한다. 부싱(102) 내에 베어링 부재(110A, 110B)를 설치할 때, 롤러(112)는 서로 마주하여 배치된 샤프트(114)의 단부에 의해 용이해지는 마찰 맞춤에 의해 제자리에 유지된다. 절취부(115)이 부싱(102) 내의 샤프트(114)의 작동을 용이하게 한다.
베어링 부재(110A, 110B)는 부싱(102) 내의 핀(120)을 지지한다. 베어링 부재(110A, 110B)는 또한 지지 핀(120)이 부싱(102)의 구경(105)을 통해 축방향으로 움직일 수 있게 하며 구경(105) 내에서 최소 저항으로 회전할 수 있게 한다. 이에 따라, 베어링 부재(110A, 110B)는 지지 핀(120)의 마멸을 감소시키고 마찰에 의해 발생하는 원치 않는 입자 생성을 감소시킨다.
다시 도 1a 및 도 1d를 참조하면, 각각의 롤러(112)는 통상적으로 원통형인 지지 핀(120) 겉면의 윤곽에 부합하도록 만곡된 또는 오목한 겉면을 갖는 것이 바람직하다. 롤러(112)의 만곡된 겉면은 부싱(102)에 대해 축방향으로 핀(120)의 이동을 용이하게 하는 가이드를 제공한다. 롤러(112)의 만곡된 겉면은 또한 핀(120)이 부싱(102) 내에서 자유롭게 회전할 수 있게 한다. 롤러(112)는 예를 들어 세라믹, 흑연, 스테인레스 강철, 알루미늄, 이들의 합금 및 이들의 화합물과 같은 처리 호환 물질로 구성될 수 있다.
도 2a 내지 도 2c는 지지 부재(200)의 다른 실시예의 개략도를 나타낸다. 이 실시예에서, 지지 부재(200)는 슬리브(210) 및 내부에 하나 이상의 베어링 부재(220)가 적어도 부분적으로 배치된 부싱(202)을 포함한다. 지지 부재(200)는 또한 부싱(202)의 상단 둘레에 배치된 플랜지(240)를 포함한다.
다시 도 2a를 참조하면, 하나 이상의 베어링 부재(220) 각각은 하나 이상의 구형 부재(221)를 포함한다. 한 형태에서, 하나 이상의 베어링 부재(220) 각각은 구형 부재(221)보다 작은 지름을 갖는 4개의 추가 구형 부재(222)를 더 포함한다. 각각의 베어링 부재(220)는 적어도 부분적으로 부싱(202)에 형성된 볼 시트 또는 창(230) 내에 배치된다. 슬리브(210)는 베어링 부재(220)를 이들 각각의 창(230) 안에 캡슐화하도록 부싱(202)의 외경 둘레에 배치된다. 플랜지(240)는 슬리브(210)의 숄더 또는 정지부의 역할을 한다.
한 형태에서, 베어링 부재(220)가 적어도 부분적으로 각각 배치된 4개의 창(230)은 부싱(202)의 제 1 단부에서 부싱(202)의 제 1 지름 주위에서 일정한 간격을 유지하고, 베어링 부재(220)가 적어도 부분적으로 각각 배치된 4개의 창(230)은 부싱(202)의 제 2 단부에서 부싱(202)의 제 2 지름 주위에서 일정한 간격을 유지한다. 창(230)과 베어링 부재(220)가 협력하여 지지 핀(120)이 부싱(202)의 구경(205) 내에서 축방향으로 이동할 수 있게 하며, 부싱(202)의 중심축을 중심으로 회전할 수 있게 한다.
도 2b는 도 2a에 나타낸 지지 부재(200)의 상면도를 나타내며, 도 2c는 완전히 조립된 지지 부재(200)의 또 다른 개략도를 나타낸다. 부싱(202)은 관통하여 형성된 중심 구경(205)이 형성된 고리형 부재이다. 바람직하게, 부싱(202)은 원통형 튜브와 비슷하다. 상술한 베어링 부재(220A-D)는 부싱(202) 안에 적어도 부분적으로 형성된 각각의 창(230A-D) 내에 적어도 부분적으로 각각 배치된다. 슬리브(210)는 부싱(202)의 외경 둘레에 배치되고, 지지 핀(120)은 적어도 부분적으로 구경(205) 내에 배치된다. 슬리브(210) 및 지지 핀(120)은 협력하여 각각의 창(230) 내에 볼(221, 222)을 포함하도록 한다. 플랜지(240)는 도시한 바와 같이 개별 구성 요소일 수도 있고, 또는 플랜지(240)는 부싱(202)의 확장된 외경일 수도 있다. 플랜지(240)는 슬리브(210)가 부싱(202)의 제 1 단부를 지나쳐 축방향으로 이동하는 것을 막는다.
다시 도 1a 및 도 2c를 참조하여, 지지 부재(100) 및 지지 부재(200)의 지지 핀(120)에 대해 보다 상세히 설명한다. 바람직하게, 지지 핀(120)은 도 1a 및 도 2c에 나타낸 바와 같이 제 1 단부(120A) 및 제 2 단부(120B)를 갖는 원통 부재이다. 한 형태에서는, 지지 핀(120)이 보다 무거운 하부를 가질 수도 있고, 또는 지지 핀(120)의 전체 무게 중심을 낮추도록 제 2 단부(120B)가 보다 무거운 재료로 구성될 수도 있다. 예를 들어, 핀(120)의 하부 또는 제 2 단부(120B)는 예를 들어 Teflon
Figure 112004039383161-pat00001
또는 스테인레스 강철로 코팅된 세라믹 등의 재료를 사용하여 보다 조밀한 재료로 구성될 수도 있다.
제 1 단부(120A) 또는 핀 헤드가 기판(도시 생략)에 직접 접촉하여 기판을 지지한다. 한 형태에서, 제 1 단부(120A)는 마찰을 감소시키며 그 위에 배치된 기판과 화학 작용을 일으키지 않는 물질로 코팅된다. 예를 들어, 제 1 단부(120A)는 화학적 불활성 물질로 코팅되어 지지 핀(120)과 그 위에 지지된 기판 사이의 화학적 반응을 없애거나 줄일 수도 있다. 부가적으로 또는 대안적으로, 제 1 단부(120A)는 기판과의 마찰을 최소화하는 물질로 코팅되어 파손 또는 깎임을 감소시킬 수도 있다. 전형적인 코팅으로는 세라믹 물질 및 예를 들어 실리콘 질화물, 티탄 질화물, 탄탈 질화물 등의 질화물을 포함하지만, 이에 한정되는 것은 아니다. 부가적으로 또는 대안적으로, 제 1 단부(120A)에는 다만 4 마이크로 인치의 표면 조도를 나타내도록 가공 또는 연마 마무리 또는 다른 적절한 마무리가 가해져 마찰을 최소화시킨다. 이러한 코팅의 보다 상세한 설명은 미국 특허 제 6,528,767 호에서 찾을 수 있으며, 이는 본 출원의 참고문헌이 된다.
한 형태에서, 제 1 단부(120A)는 도시한 바와 같이 평탄한 또는 거의 평탄한 표면을 갖는다. 다른 형태에서, 제 1 단부(120A)는 그 위에 배치되는 기판과 접촉하는 둥근 상부를 가질 수도 있다. 둥근 표면은 기판과 접촉하는 표면 영역을 감소시킴으로써 그 위에 배치되는 기판이 깨지거나 깎일 가능성을 줄인다. 일 실시예에서, 둥근 표면은 반구형, 타원체형 또는 포물선형과 비슷하다. 이러한 지지 핀 및 코팅의 보다 상세한 설명은 미국 특허 제 6,528,767 호에서 찾을 수 있으며, 이는 본 출원의 참고문헌이 된다.
또 다른 형태에서, 제 1 단부(120A)는 핀(120)의 바디 상에 배치/교체될 수 있는 캡(도시 안됨)을 갖는 투피스 시스템일 수도 있다. 캡은 세라믹 물질로 만들어지는 것이 바람직하며, 핀(120)의 바디를 수용하는 속이 텅 빈 바디를 포함한다. 캡의 상부는 상술한 바와 같이 둥글게 되고 평탄하게 된다. 마찬가지로, 캡은 상술한 바와 같이 코팅될 수도 있다. 이러한 투피스 시스템의 보다 상세한 설명은 미국 특허 제 6,528,767 호에서 찾을 수 있으며, 이는 본 출원의 참고문헌이 된다.
또 다른 형태에서, 제 1 단부(120A)는 그 안에서 이동 가능한 볼을 유지하는 소켓일 수도 있다. 볼은 그 위에 배치된 기판과 접촉하며 지지한다. 볼은 기판이 스크래치 없이 볼을 가로질러 이동할 수 있도록, 소켓 내에서 볼 베어링과 같이 회전 및 스핀이 가능하다. 볼은 일반적으로 마찰 감소를 제공하고 및/또는 볼과 기판 사이의 화학적 반응을 억제하는 금속 또는 비금속 물질로 구성된다. 예를 들어, 볼은 금속 또는 금속 합금, 석영, 사파이어, 실리콘 질화물 또는 다른 적당한 비금속 물질을 포함한다. 바람직하게, 볼은 4 마이크로 인치 이하로 표면 마무리된다. 볼은 상술한 코팅 또는 코팅들을 더 포함할 수도 있다. 이러한 지지 핀의 보다 상세한 설명은 미국 특허 제 6,528,767 호에서 찾을 수 있으며, 이는 본 출원의 참고문헌이 된다.
또 다른 형태에서, 제 1 단부(120A)는 핀(120)의 바디 상에 배치/교체될 수 있는 캡을 갖는 투피스 시스템일 수도 있으며, 캡은 상술한 소켓 및 볼 구성을 포함한다. 이러한 볼 및 소켓의 보다 상세한 설명은 둘 다 "기판 지지체"라는 명칭으로 어플라이드 머티어리얼스, 인코포레이티드에 양도된 동시 계속 미국 특허 출원 제 09/982,406 호 및 제 10/376,857 호에서 찾을 수 있다. 양 동시 계속 출원은 본 출원의 참고문헌이 된다.
여기서 설명한 지지 부재(100, 200)는 임의의 테스트 챔버, 처리 챔버, 또는 기판의 지지를 필요로 하는 시스템에 사용하기 적합하다. 예를 들어, 지지 부재(100, 200)는 화학 증착(CVD) 챔버 또는 플라즈마 강화 화학 증착(PECVD) 챔버 등의 증착 챔버 내에서 특히 유용하다. 명확하고 용이한 설명을 위해, 여기서 설명한 지지 부재(100, 200)를 사용하는 PECVD 챔버에 관해 이하 도 3 및 도 3a 내지 도 3c를 참조로 설명한다.
도 3은 플라즈마 강화 화학 증착 챔버(310)의 개략적인 단면도를 나타낸다. PECVD 챔버는 상부 벽(314)을 관통하여 형성된 개구 및 상기 개구 내에 배치된 가스 입구 분기관(316)을 갖는 챔버 바디(312)를 포함한다. 대안적으로, 상부 벽(314)은 단단할 수 있으며 가스 입구 분기관(316)은 그 안쪽 표면에 인접하게 위치한다. 가스 입구 분기관(316)은 전극 역할을 하며 RF 전원 등의 전원(336)에 접속된다.
서셉터 또는 지지대(318)가 챔버 바디(312) 내에 배치된다. 지지대(318)는 플레이트 형태와 비슷하며 가스 입구 분기관(316)과 평행하게 연장한다. 지지대(318)는 통상적으로 알루미늄으로 만들어지며 알루미늄 산화물 층으로 코팅된다. 지지대(318)는 접지에 접속되고 제 2 전극 역할을 하여 가스 입구 분기관(316) 및 지지대 간에 전원(336)이 연결된다.
지지대(318)는 챔버(312)의 하부 벽(322)을 관통하여 수직으로 연장하는 샤프트(320)의 단부에 설치된다. 샤프트(320)는 이동 가능하고, 챔버 바디(312) 내에서 지지대(318)의 수직 상하 이동을 가능하게 한다. 상술한 지지 부재(100, 200)와 같은 2개 이상의 지지 부재(300)가 지지대(318) 상부 표면에 배치되어 기판(325)과 직접 접촉하고, 기판(325)을 지지한다. 2개의 지지 부재(300)만 도시하였지만, 임의의 개수의 지지 부재(300)가 지지대(318) 상부 표면 주위에 배열될 수도 있다. 지지 부재(300)의 개수 및 배열은 챔버(310)에서 행해지는 처리 뿐만 아니라, 처리될 기판(325)의 형상 및 크기에 좌우된다. 한 형태에서, 각 지지 부재(300)는 동일하고 예컨대 상술한 실시예(100) 또는 상술한 실시예(200)와 같다. 다른 형태에서, 지지 부재(300)는 상술한 실시예(100, 200)의 조합이 될 수도 있다. 예를 들어, 하나 이상의 지지 부재(300)는 상술한 실시예(100)가 되며 하나 이상의 지지 부재(300)는 상술한 실시예(200)가 될 수도 있다.
도 3을 참조하면, 챔버(310)는 챔버 바디(312)의 측벽(332)을 관통하여 연장하는 가스 출구(330)를 더 포함한다. 가스 출구(330)는 챔버 바디(312)로부터 가스를 배출시키는 펌프(도시 생략)에 연결된다. 가스 유입 도관(342)이 가스 입구 분기관(316)과 유체소통하며, 가스 스위칭 네트웍(도시 생략)을 통해 각종 가스의 소스(도시 생략)에 연결된다. 처리 가스는 가스 유입 도관(342)을 거쳐 샤워헤드(344)를 통해 챔버 바디(312)로 유동한다. 샤워헤드(344)는 이를 뚫고 형성된 다수의 구멍(340)을 포함하여 아래에 처리될 기판(325) 표면에 걸쳐 가스를 고르게 분포시킨다.
도 3a는 지지대(318)로의 및 지지대로부터의 기판(325)의 이송을 용이하게 하는 리프트 어셈블리(324)를 갖는 플라즈마 강화 화학 증착 챔버(310)의 특정 실시예의 개략적인 단면도이다. 리프트 어셈블리(324)는 지지대(318)와 챔버 바디(312)의 하부 벽(322) 사이에 수평으로 연장한다. 리프트 어셈블리(324)는 지지대(318)에 거의 평행하며 수직으로 이동 가능하다. 일 실시예에서, 2개 이상의 지지 부재(300)가 지지대(318)의 상부 표면 대신 리프트 어셈블리(324)의 상부 표면 상에 배치된다. 다른 실시예(도시 생략)에서, 지지대(318) 및 리프트 어셈블리(324) 모두 그 상부 표면에 2개 이상의 지지 부재(300)가 배치된다.
지지 부재(300)는 지지대(318)를 관통하여 형성된 리프트 홀(328)을 통해 연장하도록 배치된다. 2개의 지지 부재(300)만 도시하였지만, 임의의 개수의 지지 부재(100(또는 200))가 리프트 어셈블리(324) 상부 표면 주위에 배열될 수도 있다. 지지 부재(300)의 개수 및 배열은 챔버(310)에서 행해지는 처리 뿐만 아니라, 처리될 기판(325)의 크기에 좌우된다. 상술한 바와 같이, 각 지지 부재(300)는 여기서 설명한 실시예(100) 또는 실시예(200)와 동일하거나, 혹은 대안적으로 지지 부재(300)는 실시예(100, 200)의 조합이 될 수도 있다.
도 3b는 적어도 부분적으로 지지대(318) 내에 배치된 지지 부재(300)를 갖는 PECVD 챔버(310)의 다른 실시예를 나타낸다. 이 실시예에서, 지지대(318)는 이를 관통하여 배치된 다수의 홀(328)을 포함한다. 지지 부재(300)는 적어도 부분적으로 이들 홀(328) 내에 배치된다. 일반적으로, 지지 부재(300)의 제 1 단부(302)는 지지 부재(300)가 정상 위치(즉, 지지대(318)에 대해 쑥 들어가 있는)에 있을 경우에 지지대(318)의 상부 면(319A)과 거의 같은 높이이거나 약간 우묵하다. 부가적으로, 지지 부재(300)의 제 2 단부(304)는 지지대(318)의 하부 면(319B)을 지나 연장한다. 지지대(318)가 이송 위치로 낮춰지면, 지지 부재(300)는 챔버(312)의 하부 벽(322)과 접촉하게 되고 지지대(318)를 통해 옮겨져 지지대(318)의 상부 면(319A)으로부터 돌출함으로써, 기판(325)을 지지대(318)와 이격된 관계로 위치시킨다.
본 실시예의 한 형태에서, 지지 부재(300)는 다른 시점에 하부 벽(322)에 접촉하여 활성화되도록 도시한 바와 같이 다양한 길이를 가질 수도 있다. 예를 들어, 긴 지지 부재(300)는 기판(325)의 바깥 에지 둘레에서 간격을 두어 배치되며, 짧은 지지 부재(300)는 바깥 에지로부터 기판(325) 중심을 향해 안쪽으로 간격을 두고 배치되어, 기판(325)이 바깥 에지로부터 중심으로 점차 이송될 수 있게 한다.
본 실시예의 다른 형태에서, 지지 부재(300)는 모두 일정한 길이일 수도 있지만, 챔버(312)의 하부 벽(322)은 선택된 지지 부재(300)들이 다른 것들 전에 활성화되도록, 이들 선택된 지지 부재(300) 바로 밑에 위치하는 확장부 또는 평탄부(351)를 포함할 수도 있다. 대안적으로, 챔버 하부 벽(322)은 선택된 지지 부재(300)들이 다른 것들 후에 활성화되도록 이들 지지 부재(300) 바로 밑에 정렬된 홈 또는 트랜치(도시 생략)를 포함할 수도 있다.
도 3c는 도 3b에 나타낸 바와 같이 적어도 부분적으로 지지대(318) 내에 배치된 지지 부재(300)를 갖는 PECVD 챔버(310)의 또 다른 실시예를 나타내며, 또한 지지 부재(300)를 활성화시키기 위한 리프트 플레이트(324)를 포함한다. 이 실시예에서, 리프트 플레이트(324)는 지지대(318)의 하부 면(319B)에 가깝게 지지 부재(300)의 제 2 단부(304) 아래에 배치된다. 리프트 플레이트(324)는 기압 실린더, 수압 실린더, 리드 나사, 솔레노이드, 스텝퍼 모터 또는 통상적으로 챔버 바디(312) 외측에 위치하는 다른 이동 장치(도시 생략) 등의 액추에이터에 결합된다. 리프트 플레이트(324) 및 지지대(318)의 수직 이동은 리프트 플레이트(324)와 지지대(318) 사이의 상대적 이동을 제어하는, 스프링 및 이동 정지부를 이용하는 단일 액추에이터에 의해 제어될 수 있다. 동작중에, 리프트 플레이트(324)는 위쪽으로 이동하여 지지 부재(300)의 제 2 단부(304)에 접촉하며, 이는 지지 부재(300)를 지지대(318)의 상부 표면 이상으로 연장시킨다.
상술한 바와 같이, 지지 부재(300)는 각각 다른 시점에서 지지 부재(300)를 활성화시키도록 다른 길이를 가질 수 있다. 통상적으로, 지지대(318) 주변 둘레에 배치된 지지 부재(300)는 지지대(318)의 중심 주위에 배치된 지지 부재(300)보다 길다. 반대 정렬 또한 사용될 수 있다.
상술한 처리 챔버(310)와 같이 여기서 설명한 지지 부재(100, 200)를 이용하는 테스트 챔버 및 처리 챔버는 캘리포니아 산타클라라 소재의 AKT사로부터 이용 가능한 AKT 15K, 25K 또는 40K PECVD 시스템과 같은 처리 플랫폼 안에 통합될 수도 있다. 이들 PECVD 시스템의 상세는 공동 양도된 "개선된 스루풋(throughput)을 갖는 진공 처리 장치"라는 명칭의 미국 특허 제 5,512,320 호에 개시되어 있으며, 이는 본 발명의 참조 문헌이 된다.
도 4는 전형적인 다중 챔버 처리 시스템(400)의 개략적인 상면도를 나타낸다. 처리 시스템(400)은 로드락 챔버(414)에 연결되며 유리 기판을 시스템(400) 안팎으로 이송하기 위한 중앙 이송 챔버(412)를 포함한다. 처리 시스템(400)은 또한 중앙 이송 챔버(412)를 중심으로 각각 배치된 하나 이상의 처리 챔버(438, 440, 442, 444, 446, 448)를 포함한다. 임의의 하나 이상의 처리 챔버(438, 442, 444, 446, 448)는 화학 증착(CVD) 챔버, 물리 증착(PVD) 챔버, 원자층 증착 챔버(ALD), 어닐링 또는 열처리 챔버, 또는 공지된 다른 처리 챔버일 수 있다. 여기서 설명하는 지지 부재(100, 200, 300)를 사용하는 전형적인 CVD 챔버가 상기에 도시 및 설명된다. 다른 처리 챔버들도 지지 부재(100, 200, 300)를 비슷하게 사용할 수도 있으므로, 상세히 설명하지 않는다.
로드락 챔버(414)는 대기로부터 처리 시스템(400)의 진공 환경으로 기판을 이송하기 위해 그 측벽 내에 배치된 슬릿 밸브(416)와 같은 폐쇄 가능한 개구를 포함한다. 또한, 로드락 챔버(414)는 도 5에 나타낸 바와 같이 다수의 기판을 지지 및 냉각시키기 위해 다수의 셸프 또는 플랫폼에 맞춰진 카세트(도시 생략)를 포함한다.
도 5는 특별한 배치식(batch-type) 저장 카세트(517)의 단면도를 나타낸다. 카세트(517)는 측벽(512, 514), 하부 벽(516) 및 리드(lid)(518)를 포함한다. 측벽(512, 514)에 걸쳐 다수의 채널(520)이 배치된다. 한 형태에서, 채널(520)은 입구 및 출구 도관(524, 526)과 유체소통하여 온도가 제어되는 유동체를 순환시킬 수도 있다. 다른 형태에서, 채널(520)은 도관(527)에 의해 전원(도시 생략)에 연결된 가열 코일을 포함할 수도 있다. 혹은, 가열 코일을 둘러싸고 채널(520)에서 열 이송 매체를 순환시키는데 모두 동일한 도관(524, 526)들이 사용될 수 있다.
측벽(512, 514) 내부는 다수의 열 도전성 셸프(560)에 맞춰진다. 셸프(560)는 벽(512, 514)과 우수하게 열 접촉하여 셸프(560) 온도의 빠르고 균일한 제어를 보장한다. 셸프(560)에 사용될 수 있는 재료들의 예는 알루미늄, 구리, 스테인레스 강철, 클래드(clad) 구리 등을 포함하지만, 이에 한정되는 것은 아니다.
도 1a 내지 도 1d 및 도 2a 내지 도 2c를 참조로 상술한 하나 이상의 지지 부재(300)가 각각의 셸프(560) 상에 적절히 배열되어 그 위에 유리 기판(532)을 지지한다. 지지 부재(300)는 셸프(560)와 처리될 유리 기판(532) 사이에 갭을 유지한다. 이 갭에 의해 유리 기판(532)에 압력을 가하고 부수거나 셸프(560)로부터 유리 기판(532)으로 오염 물질을 옮길 수 있는 셸프(560)와 유리 기판(532) 사이의 직접 접촉을 확실히 피할 수 있다. 유리 기판(532)은 유리 기판(532)과 셸프(560)의 직접 접촉보다는 복사 및 가스 전도에 의해 간접적으로 가열된다. 또한, 유리 기판(532)과 셸프(560)의 인터리브(interleaving)는 상부 및 하부 양쪽으로부터 유리 기판(532)을 이송하는 열을 제공하여, 유리 기판(532)의 보다 빠르고 보다 균일한 가열을 제공한다.
다시 도 4를 참조하면, 유리 기판이 처리 시스템(400)에 수동 또는 자동으로 로드될 수 있다. 도 4에 나타낸 바와 같이, 로드락 챔버(414) 맞은 편의 제 1 스테이션에서 처리 시스템(400) 바깥의 레일 프레임(480)에 설치되고 통상적으로 이용되는 로봇(474)이 저장 카세트(417A, B 또는 C)로부터 유리 기판을 찾아, 유리 기판을 한번에 하나씩 로드락 챔버(414)를 통해 처리 시스템(400)에 로드할 수 있다. 마찬가지로, 로봇(474)은 로드락 챔버(414)로부터 처리된 기판을 찾아 그 기판을 저장 카세트(417A, B 또는 C) 중 하나에 돌려보낼 수 있다. 비슷하게, 이송 챔버(412) 내에 배치된 로봇(본 도면에서 도시 생략)이 이동하여 유리 기판을 처리 시스템(400) 내에 배치한다.
본 발명의 실시예에 관하여 상기 설명하였지만, 본 발명의 그 밖의 다른 실시예들이 본 발명의 기본 개념을 벗어나지 않으면서 안출될 수 있으며, 그 범위는 다음의 청구항에 의해 결정된다.
본 발명에 의하면, 지지 부재 상에 배치된 기판과의 마찰을 감소시킬 수 있고, 지지 부재의 수명을 연장시키며 중단 시간을 감소시킬 수 있다.

Claims (88)

  1. 기판 지지 부재로서,
    하우징을 관통하는 길이방향 구경을 갖는 하우징;
    상기 길이방향 구경 내에 배치되는 다수의 베어링 부재들; 및
    상기 길이방향 구경 내에 배치되며 상기 다수의 베어링 부재들에 의해 지지되는 기판 지지 핀
    을 포함하고,
    상기 기판 지지 핀은 기판을 지지하기 위한 핀 헤드를 가지며,
    상기 다수의 베어링 부재들은,
    상기 베어링 부재 내에 형성된 중심 구경; 및
    상기 중심 구경을 관통하는 샤프트
    를 포함하며,
    상기 샤프트의 적어도 일부가 상기 중심 구경 내에 배치되고,
    상기 하우징은 상기 하우징의 제 1 단부측을 방사상으로 관통하는 하나 이상의 창 및 상기 하우징의 제 2 단부측을 방사상으로 관통하는 하나 이상의 창을 가지며, 상기 베어링 부재들 중 하나의 적어도 일부가 상기 각각의 창 내부에 배치되는,
    기판 지지 부재.
  2. 삭제
  3. 제 1 항에 있어서, 상기 베어링 부재들은 상기 하우징의 상기 길이방향 구경 내에 배치된 지지 핀의 외경에 부합하는 오목한 겉면을 갖는, 기판 지지 부재.
  4. 제 1 항에 있어서, 상기 각각의 베어링 부재들은 롤러인, 기판 지지 부재.
  5. 제 1 항에 있어서, 상기 각각의 베어링 부재들은 상기 하우징의 상기 길이방향 구경 내에 배치된 지지 핀과 물리적으로 접하는 롤러인, 기판 지지 부재.
  6. 기판 지지 부재로서,
    하우징을 관통하는 구경을 갖는 하우징;
    상부측 및 하부측을 갖는 지지 핀; 및
    상기 하우징 둘레에 배치된 다수의 롤러들
    을 포함하고,
    기판을 지지하기 위해 상기 지지 핀의 상기 상부측의 적어도 일부가 상기 구경의 외부에 배치되며, 상기 지지 핀의 상기 하부측의 적어도 일부가 상기 구경의 내부에 배치되고, 상기 각각의 롤러는 바디 및 샤프트를 포함하며,
    상기 하우징은 상기 하우징의 제 1 단부측을 방사상으로 관통하는 하나 이상의 창 및 상기 하우징의 제 2 단부측을 방사상으로 관통하는 하나 이상의 창을 가지며, 상기 다수개의 롤러들 중 하나의 적어도 일부가 상기 각각의 창 내부에 배치되는,
    기판 지지 부재.
  7. 삭제
  8. 제 6 항에 있어서, 상기 샤프트는 모서리가 깎인 단부를 하나 이상 갖는 기판 지지 부재.
  9. 기판 지지 부재로서,
    증착 챔버 내의 서셉터에 연결되기 위한 하우징;
    상기 하우징을 관통하는 구경;
    상기 구경보다 크며 기판에 접촉하기 위한 상부 단부를 가지고, 상기 구경을 통해 수직방향으로 이동하기 위한, 지지 핀; 및
    상기 하우징 둘레에 배치된 다수의 베어링 부재들
    을 포함하고,
    상기 지지 핀의 적어도 일부가 상기 구경 내에 배치되며,
    상기 하우징은 이를 방사상으로 관통하는 적어도 하나 이상의 창을 가지고, 상기 베어링 부재들 중 하나의 적어도 일부가 상기 각각의 창 내부에 배치되는,
    기판 지지 부재.
  10. 삭제
  11. 제 9 항에 있어서, 상기 하우징은 상기 하우징의 제 1 단부측을 방사상으로 관통하는 하나 이상의 창 및 상기 하우징의 제 2 단부측을 방사상으로 관통하는 하나 이상의 창을 가지며, 상기 베어링 부재들 중 하나의 적어도 일부가 상기 각각의 창 내부에 배치되는 기판 지지 부재.
  12. 제 9 항에 있어서, 상기 베어링 부재들 중 하나 이상은 롤러를 포함하며,
    상기 롤러는,
    중심 구경; 및
    상기 중심 구경을 관통하는 샤프트
    를 가지고,
    상기 샤프트의 적어도 일부가 상기 중심 구경 내에 배치되는
    기판 지지 부재.
  13. 제 12 항에 있어서, 상기 지지 핀은 원형 단면을 갖고 상기 각각의 롤러는 상기 지지 핀의 외경에 부합하는 오목한 겉면을 갖는, 기판 지지 부재.
  14. 제 9 항에 있어서, 상기 하우징은 상기 하우징의 제 1 단부측을 방사상으로 관통하는 하나 이상의 창 및 상기 하우징의 제 2 단부측을 방사상으로 관통하는 하나 이상의 창을 포함하고,
    상기 베어링 부재들 중 하나의 적어도 일부가 상기 각각의 창 내부에 배치되며,
    상기 베어링 부재들은 롤러를 포함하고,
    상기 롤러는,
    중심 구경; 및
    상기 중심 구경을 관통하는 샤프트
    를 가지며,
    상기 샤프트의 적어도 일부가 상기 중심 구경 내에 배치되는
    기판 지지 부재.
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 삭제
  28. 삭제
  29. 삭제
  30. 삭제
  31. 삭제
  32. 삭제
  33. 삭제
  34. 삭제
  35. 삭제
  36. 삭제
  37. 삭제
  38. 삭제
  39. 삭제
  40. 삭제
  41. 삭제
  42. 삭제
  43. 삭제
  44. 삭제
  45. 삭제
  46. 삭제
  47. 삭제
  48. 삭제
  49. 삭제
  50. 삭제
  51. 삭제
  52. 삭제
  53. 삭제
  54. 삭제
  55. 삭제
  56. 삭제
  57. 기판 지지 부재로서,
    부싱을 관통하는 구경을 갖는 부싱;
    기판을 지지하기 위한 핀 헤드를 갖는 지지 핀; 및
    상기 부싱 내에서, 모서리가 깎인 하나 이상의 단부를 갖는 샤프트에 의해 각각 지지되며 상기 지지 핀에 접하는 겉면을 갖는 다수의 롤러 베어링들
    을 포함하고,
    상기 지지 핀의 적어도 일부가 상기 구경 내에 배치되며,
    상기 부싱은 상기 부싱의 제 1 단부측을 방사상으로 관통하는 4개의 창들과 상기 부싱의 제 2 단부측을 방사상으로 관통하는 4개의 창들을 포함하고, 상기 롤러 베어링들 중 하나의 적어도 일부가 상기 각각의 창 내부에 배치되는,
    기판 지지 부재.
  58. 삭제
  59. 제 57항에 있어서, 상기 제 1 단부측 및 상기 제 2 단부측이 이격되어 있는 기판 지지 부재.
  60. 삭제
  61. 제 57항에 있어서, 상기 부싱은 상기 부싱의 제 1 단부측에서 상기 4개의 창들에 근접하게 관통하는 4개의 절취부들(cut-outs)과 상기 부싱의 제 2 단부측에서 4개의 창들에 근접하게 관통하는 4개의 절취부들을 갖는 기판 지지 부재.
  62. 제 61항에 있어서, 상기 다수개의 롤러 베어링들 중 어느 하나의 샤프트의 원뿔형 단부들 중 하나의 적어도 일부와 상기 다수개의 롤러 베어링들 중 다른 하나의 롤러 베어링의 샤프트의 원뿔형 단부들 중의 하나의 적어도 일부가 근접한 절취부 내에 배치되어 상기 근접한 절취부에 의해 지지되는 기판 지지 부재.
  63. 기판 지지 부재로서,
    부싱을 관통하는 구경을 갖는 부싱;
    기판을 지지하기 위한 핀 헤드를 갖는 지지 핀; 및
    상기 부싱 내에서, 모서리가 깎인 하나 이상의 단부를 갖는 샤프트에 의해 각각 지지되며 상기 지지 핀에 접하는 겉면을 갖는 다수의 롤러 베어링들
    을 포함하고,
    상기 지지 핀의 적어도 일부가 상기 구경 내에 배치되며,
    상기 부싱은 이를 방사상으로 관통하는 하나 이상의 창을 포함하고, 상기 롤러 베어링들 중 하나의 적어도 일부가 상기 각각의 창 내부에 배치되고,
    상기 롤러 베어링은 세라믹, 흑연, 스테인리스 스틸, 알루미늄 합금, 또는 그들의 조합으로 이루어진 그룹으로부터 선택된 소재를 포함하는
    기판 지지 부재.
  64. 기판 지지 부재로서,
    부싱을 관통하는 구경을 갖는 부싱;
    기판을 지지하기 위한 핀 헤드를 갖는 지지 핀; 및
    상기 부싱 내에서, 모서리가 깎인 하나 이상의 단부를 갖는 샤프트에 의해 각각 지지되며 상기 지지 핀에 접하는 겉면을 갖는 다수의 롤러 베어링들
    을 포함하고,
    상기 지지 핀의 적어도 일부가 상기 구경 내에 배치되며,
    상기 부싱은 상기 부싱의 제 1 단부측을 방사상으로 관통하는 4개의 창들과 상기 부싱의 제 2 단부측을 방사상으로 관통하는 4개의 창들을 포함하고, 상기 롤러 베어링들 중 하나의 적어도 일부가 상기 각각의 창 내부에 배치되고,
    상기 롤러 베어링은 세라믹을 포함하는
    기판 지지 부재.
  65. 기판 지지 부재로서,
    부싱을 관통하는 구경을 갖는 부싱;
    기판을 지지하기 위한 핀 헤드를 갖는 지지 핀; 및
    상기 부싱 내에서, 모서리가 깎인 하나 이상의 단부를 갖는 샤프트에 의해 각각 지지되며 상기 지지 핀에 접하는 겉면을 갖는 다수의 롤러 베어링들
    을 포함하고,
    상기 지지 핀의 적어도 일부가 상기 구경 내에 배치되며,
    상기 부싱은 상기 부싱의 제 1 단부측을 방사상으로 관통하는 4개의 창들과 상기 부싱의 제 2 단부측을 방사상으로 관통하는 4개의 창들을 포함하고, 상기 롤러 베어링들 중 하나의 적어도 일부가 상기 각각의 창 내부에 배치되고,
    상기 부싱은 상기 부싱의 제 1 단부측에서 상기 4개의 창들에 근접하게 관통하는 4개 이상의 절취부들과 상기 부싱의 제 2 단부측에서 4개의 창들에 근접하게 관통하는 4개 이상의 절취부들을 가지며,
    상기 롤러 베어링은 알루미늄 합금을 포함하는
    기판 지지 부재.
  66. 제 57항에 있어서, 상기 다수의 롤러 베어링들의 제 1 세트 - 상기 롤러 베어링의 적어도 일부가 상기 부싱 내에 배치됨 - 가 상기 부싱에 대한 상기 지지 핀의 축방향 이동이 용이하도록 구멍을 형성하고, 상기 다수개의 롤러 베어링들의 제 2 세트 - 상기 롤러 베어링의 적어도 일부가 상기 부싱 내에 배치됨 - 가 상기 부싱에 대한 상기 지지 핀의 축방향 이동이 용이하도록 구멍을 형성하며, 상기 제 2 세트는 상기 제 1 세트로부터 이격되어 있는, 기판 지지 부재.
  67. 제 57항에 있어서, 상기 각각의 롤러 베어링의 오목한 겉면은 상기 지지 핀의 윤곽 겉면과 기계적으로 연동하는(in mechanical communication) 기판 지지 부재.
  68. 삭제
  69. 삭제
  70. 삭제
  71. 기판 지지 부재로서:
    원통형 구경을 포함하는 원통형 부싱;
    롤러 베어링;
    모서리가 깎인 단부를 하나 이상 갖는 샤프트; 및
    지지 핀
    을 포함하고,
    상기 원통형 구경은 상기 원통형 구경의 제 1 단부측에 위치한 창들 및 절취부들 및 상기 원통형 구경의 제 2 단부측에 위치한 창들 및 절취부들을 가지며,
    상기 롤러 베어링의 적어도 일부가 상기 원통형 부싱의 상기 제 1 단부측의 각각의 창 및 상기 원통형 부싱의 상기 제 2 단부측의 각각의 창 내부에 배치되고, 각각의 상기 롤러 베어링이 오목한 겉면을 가지며,
    상기 샤프트의 적어도 일부가 각각의 창에서 각각의 상기 롤러 베어링을 지지하며, 각각의 상기 샤프트의 적어도 일부가 상기 각각의 창에 근접한 절취부들 내에 배치되고,
    상기 지지 핀의 적어도 일부가 상기 원통형 부싱의 상기 원통형 구경 내에 배치되며, 상기 지지 핀이 상기 원통형 구경에 대하여 축방향으로 이동가능하고, 상기 롤러 베어링이 상기 지지 핀의 이동을 가이드하는
    기판 지지 부재.
  72. 제 71항에 있어서, 상기 제 1 단부측과 상기 제 2 단부측이 이격되어 있는 기판 지지 부재.
  73. 제 71항에 있어서, 상기 지지 핀은 상기 원통형 구경보다 크며 기판에 접촉하기 위한 상부 단부를 가지는 기판 지지 부재.
  74. 제 71항에 있어서, 상기 각각의 샤프트는 원형 단면을 갖는 기판 지지 부재.
  75. 제 71항에 있어서, 상기 롤러 베어링은 세라믹, 흑연, 스테인리스 스틸, 알루미늄 합금 또는 그들 조합의 그룹으로부터 선택된 소재를 포함하는 기판 지지 부재.
  76. 제 71항에 있어서, 상기 롤러 베어링은 세라믹을 포함하는 기판 지지 부재.
  77. 제 71항에 있어서, 상기 롤러 베어링은 알루미늄 합금을 포함하는 기판 지지 부재.
  78. 기판 지지 부재로서,
    원통형 부싱을 관통하는 원통형 구경을 갖는 원통형 부싱;
    상기 원통형 구경보다 크며 지지 핀을 상기 구경 내부에 유지하기 위한 상부 단부를 가지는 지지 핀;
    상기 원통형 부싱의 제 1 단부측에 위치한 다수의 롤러 베어링들; 및
    상기 원통형 부싱의 제 2 단부측에 위치한 다수의 롤러 베어링들
    을 포함하고,
    상기 지지 핀의 적어도 일부가 상기 원통형 구경 내에 배치되며,
    상기 각각의 롤러 베어링은 상기 지지 핀에 접하는 겉면을 갖는 바디를 포함하고,
    각각의 롤러 베어링은 세라믹, 흑연, 스테인리스 스틸, 알루미늄 합금, 또는 그 조합으로 이루어진 그룹으로부터 선택된 소재를 포함하며,
    상기 원통형 부싱은 상기 원통형 부싱의 상기 제 1 단부측에 위치한 4개 이상의 창들과 상기 원통형 부싱의 상기 제 2 단부측에 위치한 4개 이상의 창들을 더 포함하는,
    기판 지지 부재.
  79. 삭제
  80. 제 78항에 있어서, 상기 각각의 롤러 베어링은 세라믹을 포함하는 기판 지지 부재.
  81. 제 78항에 있어서, 상기 각각의 롤러 베어링은 알루미늄 합금을 포함하는 기판 지지 부재.
  82. 제 78항에 있어서, 상기 다수의 롤러 베어링들을 지지하는 다수의 샤프트를 더 포함하며, 각각의 상기 샤프트는 원형 단면을 갖춘 기판 지지 부재.
  83. 삭제
  84. 제 78항에 있어서, 상기 각각의 롤러 베어링의 적어도 일부가 4개 이상의 창들 중 하나의 내부에 배치되는 기판 지지 부재.
  85. 제 82항에 있어서, 상기 원통형 부싱은 상기 제 1 단부측에서 상기 4개 이상의 창들에 근접한 4개 이상의 절취부들과 상기 제 2 단부측에서 상기 4개 이상의 창들에 근접한 4개 이상의 절취부들을 더 포함하는 기판 지지 부재.
  86. 제 85항에 있어서, 상기 각각의 샤프트는 적어도 하나 이상의 모서리가 깎인 단부를 갖는, 상기 각각의 샤프트의 적어도 일부가, 상기 제 1 단부측의 상기 4개 이상의 절취부들 및 상기 제 2 단부측의 상기 4개 이상의 절취부들 내에 배치되어 그 절취부들에 의해 지지되는, 기판 지지 부재.
  87. 기판 지지 부재로서,
    하우징을 관통하는 길이방향 구경을 갖는 하우징
    상기 길이방향 구경 내에 배치되는 다수의 베어링 부재들; 및
    상기 길이방향 구경 내에 배치되며 상기 다수의 베어링 부재들에 의해 이동가능하게 가이드되는 기판 지지 핀
    을 포함하고,
    상기 다수의 베어링 부재들은,
    상기 베어링 부재 내에 형성된 중심 구경; 및
    모서리가 깎인 단부를 하나 이상 가지며 상기 중심 구경을 관통하는 샤프트
    를 포함하며,
    상기 하우징은 이를 방사상으로 관통하는 하나 이상의 창들을 포함하고, 상기 베어링 부재들 중 하나의 적어도 일부가 상기 각각의 창 내부에 배치되는
    기판 지지 부재.
  88. 기판 지지 부재로서,
    하우징을 관통하는 길이방향 구경을 갖는 하우징
    상기 길이방향 구경 내에 배치되는 다수의 베어링 부재들; 및
    상기 길이방향 구경 내에 배치되며 상기 다수의 베어링 부재들에 의해 이동가능하게 가이드되는 기판 지지 핀
    을 포함하고,
    상기 다수의 베어링 부재들은,
    상기 베어링 부재 내에 형성된 중심 구경; 및
    모서리가 깎인 단부를 하나 이상 가지며 상기 중심 구경을 관통하는 샤프트
    를 포함하며,
    상기 하우징은 하나 이상의 창들 및 상기 창들에 근접한 절취부들을 가지고, 상기 각각의 베어링 부재의 적어도 일부가 상기 각각의 창 내부에 배치되며, 상기 각각의 샤프트의 적어도 일부가 상기 각각의 절취부 내에 배치되어 상기 절취부에 의해 지지되는
    기판 지지 부재.
KR1020040069032A 2004-02-12 2004-08-31 기판 지지 부재 KR100597495B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/779,130 US8033245B2 (en) 2004-02-12 2004-02-12 Substrate support bushing
US10/779,130 2004-02-12

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020050050315A Division KR101108389B1 (ko) 2004-02-12 2005-06-13 처리 챔버

Publications (2)

Publication Number Publication Date
KR20050081839A KR20050081839A (ko) 2005-08-19
KR100597495B1 true KR100597495B1 (ko) 2006-07-10

Family

ID=34701410

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020040069032A KR100597495B1 (ko) 2004-02-12 2004-08-31 기판 지지 부재
KR1020050050315A KR101108389B1 (ko) 2004-02-12 2005-06-13 처리 챔버
KR1020110059222A KR20110074839A (ko) 2004-02-12 2011-06-17 처리 챔버

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020050050315A KR101108389B1 (ko) 2004-02-12 2005-06-13 처리 챔버
KR1020110059222A KR20110074839A (ko) 2004-02-12 2011-06-17 처리 챔버

Country Status (6)

Country Link
US (2) US8033245B2 (ko)
EP (1) EP1564791A3 (ko)
JP (1) JP4473144B2 (ko)
KR (3) KR100597495B1 (ko)
CN (2) CN1655336B (ko)
TW (1) TWI260064B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101045247B1 (ko) 2008-12-12 2011-06-29 엘아이지에이디피 주식회사 리프트 핀 승강장치
WO2018124600A3 (ko) * 2016-12-26 2018-08-23 에스케이실트론 주식회사 회전 샤프트를 포함하는 웨이퍼 지지대

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8033245B2 (en) * 2004-02-12 2011-10-11 Applied Materials, Inc. Substrate support bushing
US20060016398A1 (en) * 2004-05-28 2006-01-26 Laurent Dubost Supporting and lifting device for substrates in vacuum
KR101144587B1 (ko) * 2004-11-09 2012-05-14 주성엔지니어링(주) 리프트핀 홀더
JP4906375B2 (ja) * 2006-03-20 2012-03-28 東京応化工業株式会社 基板支持部材
US7997227B2 (en) * 2007-03-13 2011-08-16 General Electric Company Vacuum coater device and mechanism for supporting and manipulating workpieces in same
KR101433864B1 (ko) * 2007-11-30 2014-09-01 주성엔지니어링(주) 기판 승강 장치
US20100151127A1 (en) * 2008-12-12 2010-06-17 Applied Materials, Inc. Apparatus and method for preventing process system contamination
US20110014396A1 (en) * 2009-07-14 2011-01-20 Applied Materials, Inc. Recirculating linear rolling bushing
WO2011009007A2 (en) * 2009-07-15 2011-01-20 Applied Materials, Inc. Improved lift pin guides
DE102009035950B4 (de) * 2009-08-03 2011-09-01 Siemens Aktiengesellschaft Führungselement für Rillschlauch
WO2011017226A2 (en) * 2009-08-07 2011-02-10 Applied Materials, Inc. Compound lift pin tip with temperature compensated attachment feature
KR101628918B1 (ko) * 2009-12-22 2016-06-09 주성엔지니어링(주) 기판처리장치
JP2012162752A (ja) * 2011-02-03 2012-08-30 Taiyo Nippon Sanso Corp 気相成長装置
KR101296966B1 (ko) * 2011-05-25 2013-08-14 에스케이씨솔믹스 주식회사 롤러 부싱
CN105088187B (zh) * 2011-11-23 2018-09-18 中微半导体设备(上海)有限公司 化学气相沉积反应器或外延层生长反应器及其支撑装置
TW201330170A (zh) * 2011-11-28 2013-07-16 Tera Semicon Corp 用於支撐基板之支撐插銷及使用該插銷之基板處理裝置
CN103247711B (zh) * 2012-02-14 2016-03-30 理想能源设备(上海)有限公司 一种用于抬升衬底的顶杆组件
WO2014058886A1 (en) * 2012-10-08 2014-04-17 Exro Technologies Inc. Electrical machines such as generators and motors
US10857655B2 (en) * 2013-03-13 2020-12-08 Applied Materials, Inc. Substrate support plate with improved lift pin sealing
US9991153B2 (en) * 2013-03-14 2018-06-05 Applied Materials, Inc. Substrate support bushing
US9316261B2 (en) * 2014-02-10 2016-04-19 NADELLA S.r.l. Linear guide system
KR102239477B1 (ko) * 2014-04-30 2021-04-13 주성엔지니어링(주) 리프트 핀 지지 어셈블리
KR200480806Y1 (ko) * 2015-07-10 2016-07-08 김길중 화학기상증착을 위한 공정챔버용 서셉터
KR102511875B1 (ko) * 2016-05-09 2023-03-17 주성엔지니어링(주) 리프트핀용 지지유닛 및 이를 사용한 기판처리장치
US10262887B2 (en) * 2016-10-20 2019-04-16 Lam Research Corporation Pin lifter assembly with small gap
CN106601659B (zh) * 2016-12-30 2024-02-02 上海新阳半导体材料股份有限公司 新型晶圆转移装置
US11004722B2 (en) 2017-07-20 2021-05-11 Applied Materials, Inc. Lift pin assembly
KR102427077B1 (ko) * 2017-09-04 2022-07-29 주성엔지니어링(주) 지지구조체
DE102017122754A1 (de) * 2017-09-29 2019-04-04 MAX-PLANCK-Gesellschaft zur Förderung der Wissenschaften e.V. Vorrichtung sowie Vakuumkammer
CN108330468B (zh) * 2018-03-14 2023-06-30 深圳市志橙半导体材料有限公司 一种化学气相沉积炉的基体支撑装置及基体旋转驱动装置
CN110835739A (zh) * 2018-08-17 2020-02-25 中智(泰兴)电力科技有限公司 7腔体立式pecvd-pvd一体化硅片镀膜工艺
US10763154B2 (en) * 2018-08-28 2020-09-01 Applied Materials, Inc. Measurement of flatness of a susceptor of a display CVD chamber
CN109487240A (zh) * 2018-12-11 2019-03-19 武汉华星光电半导体显示技术有限公司 用于化学气相沉积腔室内之滚轮衬套组合件
CN114730731A (zh) * 2019-11-22 2022-07-08 朗姆研究公司 硬币槽型和球锁型陶瓷升降销座
US11261910B1 (en) * 2020-11-10 2022-03-01 Raytheon Company Multi-layer linear bearing
EP4105503A1 (en) * 2021-06-18 2022-12-21 Goodrich Actuation Systems SAS Linear motor system guide
CN113652673B (zh) * 2021-09-15 2023-11-24 福建华佳彩有限公司 一种化学气相沉积台板结构及其控制方法
KR102524499B1 (ko) * 2022-12-27 2023-04-21 주식회사 디타스 잠수함용 윈치시스템의 전향장치 고착방지 시스템

Family Cites Families (108)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US607576A (en) * 1898-07-19 Journal-bearing
GB558935A (en) 1942-11-05 1944-01-27 Blackburn Aircraft Ltd Improvements in and relating to bearing supports for axially movable rods
US2889180A (en) * 1954-11-22 1959-06-02 Earl R Jorgensen Roller bearing construction
CH327414A (fr) 1955-06-08 1958-01-31 Amagasaki Seitetsu Kabushiki K Dispositif de support antifriction pour corps mobile
US2907610A (en) * 1956-02-01 1959-10-06 Anderson Co Bearing structure
US2983553A (en) * 1959-02-24 1961-05-09 Wilbur H Dexter Linear bearing
US3269128A (en) * 1963-11-15 1966-08-30 Rusche Fredric Coupled pile driving mandrel
US3347603A (en) * 1965-04-12 1967-10-17 Ignatjev Vladimir Axial bearing
US3469893A (en) * 1967-03-13 1969-09-30 Arthur F Hudson Bearing construction
US3589202A (en) * 1969-03-19 1971-06-29 Richard B Stanley Linear actuator
US3582161A (en) * 1969-07-07 1971-06-01 Arthur F Hudson Bearing construction
US3622211A (en) * 1969-11-10 1971-11-23 Robert E Mitton Linear roller bearing unit
US3887247A (en) * 1974-02-25 1975-06-03 Us Energy Bearing mounting for telescoping tubes
US4265320A (en) * 1977-05-16 1981-05-05 Matsushita Electric Industrial Co., Ltd. Electrically powered torque-controlled tool
US4334436A (en) * 1980-08-21 1982-06-15 F. Jos. Lamb Company Bearing arrangement for oscillating shafts
US4346945A (en) * 1980-09-26 1982-08-31 Nobuyuki Tsuboi Multipurpose reciprocal bearing unit
US4382739A (en) * 1980-12-24 1983-05-10 International Business Machines Corporation Light actuating force elevator drive mechanism
CH659690A5 (de) * 1983-03-29 1987-02-13 Fernand Moser Linear- oder rotationsfuehrung.
US4621936A (en) 1983-10-14 1986-11-11 Corning Glass Works Zirconia pen balls
FR2591138B1 (fr) 1985-12-10 1988-04-08 Recif Sa Procede de fabrication d'embouts pour pipettes a vide notamment et les embouts obtenus par la mise en oeuvre du procede.
US4635452A (en) * 1986-01-21 1987-01-13 Olson Manufacturing Company Double-acting barrel lock and key
DE3623970A1 (de) * 1986-07-16 1988-01-28 Leybold Heraeus Gmbh & Co Kg Transporteinrichtung mit rollensystemen fuer vakuum-beschichtungsanlagen
JPH0719150Y2 (ja) 1986-10-31 1995-05-01 日本真空技術株式会社 ウェハ搬送ハンド
US4842683A (en) * 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
WO1989003276A1 (en) 1987-10-13 1989-04-20 Extrude Hone Corp Method and apparatus for aligning two components
KR0155545B1 (ko) 1988-06-27 1998-12-01 고다까 토시오 기판의 열처리 장치
US5238499A (en) * 1990-07-16 1993-08-24 Novellus Systems, Inc. Gas-based substrate protection during processing
US5160238A (en) * 1990-07-18 1992-11-03 Hermco Shaft travel devices such as vertical lift arm devices
US5236264A (en) * 1991-06-10 1993-08-17 Nsk Ltd. Linear bearing
US5445486A (en) 1992-03-29 1995-08-29 Tokyo Electron Sagami Limited Substrate transferring apparatus
US5356476A (en) * 1992-06-15 1994-10-18 Materials Research Corporation Semiconductor wafer processing method and apparatus with heat and gas flow control
US5370739A (en) * 1992-06-15 1994-12-06 Materials Research Corporation Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD
US5607009A (en) 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
JP3045431B2 (ja) 1993-02-19 2000-05-29 東京エレクトロン株式会社 スライド軸受
JPH06280864A (ja) * 1993-03-29 1994-10-07 Ntn Corp 針状ころ軸受
GB2277560A (en) 1993-04-30 1994-11-02 Itp Limited Adjustable bearing and machine slide
US5437440A (en) * 1993-08-20 1995-08-01 Compact Air Products, Inc. Swing apparatus
JP3172758B2 (ja) * 1993-11-20 2001-06-04 東京エレクトロン株式会社 プラズマエッチング方法
DE4416319A1 (de) * 1994-05-09 1995-11-16 Schaeffler Waelzlager Kg Lagereinheit mit einer Zentrierung und einem Flansch
JP3151118B2 (ja) 1995-03-01 2001-04-03 東京エレクトロン株式会社 熱処理装置
US6193506B1 (en) * 1995-05-24 2001-02-27 Brooks Automation, Inc. Apparatus and method for batch thermal conditioning of substrates
US5830277A (en) * 1995-05-26 1998-11-03 Mattson Technology, Inc. Thermal processing system with supplemental resistive heater and shielded optical pyrometry
JP2739452B2 (ja) * 1995-06-28 1998-04-15 重雄 高橋 組立て型リニヤベアリング及びその組立て方法
US5788458A (en) 1995-07-10 1998-08-04 Asyst Technologies, Inc. Method and apparatus for vertical transfer of a semiconductor wafer cassette
US6113702A (en) * 1995-09-01 2000-09-05 Asm America, Inc. Wafer support system
US5605574A (en) 1995-09-20 1997-02-25 Kabushiki Kaisha Toshiba Semiconductor wafer support apparatus and method
JP3328763B2 (ja) 1995-10-30 2002-09-30 エヌティティエレクトロニクス株式会社 縦型ウエハボートのウエハ支持構造
JP3005461B2 (ja) 1995-11-24 2000-01-31 日本電気株式会社 静電チャック
KR100245260B1 (ko) 1996-02-16 2000-02-15 엔도 마코토 반도체 제조장치의 기판 가열장치
US5893647A (en) * 1996-03-15 1999-04-13 Isel Co., Ltd. Bearing retainer for a sliding mechanism for use in a machine tool
JP3163973B2 (ja) * 1996-03-26 2001-05-08 日本電気株式会社 半導体ウエハ・チャック装置及び半導体ウエハの剥離方法
US5796066A (en) * 1996-03-29 1998-08-18 Lam Research Corporation Cable actuated drive assembly for vacuum chamber
US5788304A (en) 1996-05-17 1998-08-04 Micron Technology, Inc. Wafer carrier having both a rigid structure and resistance to corrosive environments
US6091498A (en) * 1996-07-15 2000-07-18 Semitool, Inc. Semiconductor processing apparatus having lift and tilt mechanism
US6120609A (en) * 1996-10-25 2000-09-19 Applied Materials, Inc. Self-aligning lift mechanism
US5836575A (en) 1996-10-30 1998-11-17 Micron Electronics, Inc. Wafer manual handpick station
US5844195A (en) * 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
US5984391A (en) * 1997-02-03 1999-11-16 Novellus Systems, Inc. Microfeature wafer handling apparatus and methods
KR100284567B1 (ko) * 1997-04-15 2001-04-02 후지이 아키히로 수직 웨이퍼 보트
US6118100A (en) * 1997-11-26 2000-09-12 Mattson Technology, Inc. Susceptor hold-down mechanism
US6257827B1 (en) * 1997-12-01 2001-07-10 Brooks Automation Inc. Apparatus and method for transporting substrates
JP3456890B2 (ja) 1998-01-16 2003-10-14 東京エレクトロン株式会社 基板処理装置
US6250619B1 (en) * 1998-02-03 2001-06-26 Cna Manufacturing Systems, Inc. Clamp suitable for use at high temperatures in a flexible tooling apparatus
US6077026A (en) * 1998-03-30 2000-06-20 Progressive System Technologies, Inc. Programmable substrate support for a substrate positioning system
US6596086B1 (en) * 1998-04-28 2003-07-22 Shin-Etsu Handotai Co., Ltd. Apparatus for thin film growth
US6085670A (en) * 1998-05-05 2000-07-11 Genmark Automation, Inc. Tiltable Z-axis platform based on uni-directional tilt platform
US6213704B1 (en) * 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Method and apparatus for substrate transfer and processing
US6146504A (en) * 1998-05-21 2000-11-14 Applied Materials, Inc. Substrate support and lift apparatus and method
JPH11351250A (ja) 1998-06-12 1999-12-24 Sony Corp ベアリング装置
US6170433B1 (en) * 1998-07-23 2001-01-09 Applied Materials, Inc. Method and apparatus for processing a wafer
US20010001384A1 (en) * 1998-07-29 2001-05-24 Takeshi Arai Silicon epitaxial wafer and production method therefor
JP2000091406A (ja) 1998-09-08 2000-03-31 Mitsubishi Materials Silicon Corp ウェーハ保持具
US6143147A (en) * 1998-10-30 2000-11-07 Tokyo Electron Limited Wafer holding assembly and wafer processing apparatus having said assembly
JP2000150402A (ja) 1998-11-09 2000-05-30 Shin Etsu Handotai Co Ltd 基板支持治具
JP2000145914A (ja) * 1998-11-17 2000-05-26 Tsubakimoto Chain Co 逆転防止機構付直線作動機
US6256555B1 (en) * 1998-12-02 2001-07-03 Newport Corporation Robot arm with specimen edge gripping end effector
KR100309920B1 (ko) * 1998-12-16 2002-10-25 삼성전자 주식회사 기판의언로딩장치및언로딩방법
JP3579278B2 (ja) * 1999-01-26 2004-10-20 東京エレクトロン株式会社 縦型熱処理装置及びシール装置
US6378816B1 (en) * 1999-06-04 2002-04-30 Joel W. Pfister Linear motion table leg
US6187134B1 (en) * 1999-07-09 2001-02-13 The Board Of Trustees Of The Leland Stanford Junior University Reusable wafer support for semiconductor processing
US6322116B1 (en) * 1999-07-23 2001-11-27 Asm America, Inc. Non-contact end effector
US6452195B1 (en) * 1999-08-18 2002-09-17 Ibis Technology Corporation Wafer holding pin
US6345150B1 (en) * 1999-11-30 2002-02-05 Wafermasters, Inc. Single wafer annealing oven
US6572708B2 (en) * 2000-02-28 2003-06-03 Applied Materials Inc. Semiconductor wafer support lift-pin assembly
JP2001317550A (ja) * 2000-05-08 2001-11-16 Hiihaisuto Seiko Kk リニアベアリング
JP2002174234A (ja) 2000-12-05 2002-06-21 Isel Co Ltd リニアガイド
US20020124706A1 (en) * 2000-12-05 2002-09-12 Isel Co., Ltd. Guide device for linear motion
JP2002213444A (ja) 2001-01-22 2002-07-31 Isel Co Ltd ガイド装置
JP4484376B2 (ja) * 2001-01-22 2010-06-16 アイセル株式会社 ガイド装置
US6935466B2 (en) * 2001-03-01 2005-08-30 Applied Materials, Inc. Lift pin alignment and operation methods and apparatus
US6709721B2 (en) * 2001-03-28 2004-03-23 Applied Materials Inc. Purge heater design and process development for the improvement of low k film properties
KR100798179B1 (ko) * 2001-04-27 2008-01-24 교세라 가부시키가이샤 웨이퍼 가열장치
US6528767B2 (en) * 2001-05-22 2003-03-04 Applied Materials, Inc. Pre-heating and load lock pedestal material for high temperature CVD liquid crystal and flat panel display applications
JP4205897B2 (ja) * 2001-07-09 2009-01-07 アイセル株式会社 位置決め装置
US6592679B2 (en) * 2001-07-13 2003-07-15 Asyst Technologies, Inc. Clean method for vacuum holding of substrates
JP2003060012A (ja) 2001-08-08 2003-02-28 Asm Japan Kk 半導体処理用反応チャンバ
KR100432704B1 (ko) * 2001-09-01 2004-05-24 주성엔지니어링(주) 수소화된 SiOC 박막 제조방법
US6634686B2 (en) 2001-10-03 2003-10-21 Applied Materials, Inc. End effector assembly
US20030072639A1 (en) 2001-10-17 2003-04-17 Applied Materials, Inc. Substrate support
JP4111703B2 (ja) 2001-10-19 2008-07-02 アプライド マテリアルズ インコーポレイテッド ウエハリフト機構
US6932333B2 (en) * 2002-08-30 2005-08-23 Gerd Bruno Bode Swing clamp
US6887317B2 (en) * 2002-09-10 2005-05-03 Applied Materials, Inc. Reduced friction lift pin
US6917755B2 (en) * 2003-02-27 2005-07-12 Applied Materials, Inc. Substrate support
US7083702B2 (en) * 2003-06-12 2006-08-01 Applied Materials, Inc. RF current return path for a large area substrate plasma reactor
US8033245B2 (en) * 2004-02-12 2011-10-11 Applied Materials, Inc. Substrate support bushing
US20060016398A1 (en) * 2004-05-28 2006-01-26 Laurent Dubost Supporting and lifting device for substrates in vacuum
EP1881215A3 (en) * 2006-07-12 2008-01-30 JTEKT Corporation Cage of synthetic resin for a rolling element bearing with sliding surfaces formed by annular ceramic inserts
TW200946791A (en) * 2008-05-06 2009-11-16 Yi-Chang Yang Cylindrical roller and bushing with such a cylindrical roller

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101045247B1 (ko) 2008-12-12 2011-06-29 엘아이지에이디피 주식회사 리프트 핀 승강장치
WO2018124600A3 (ko) * 2016-12-26 2018-08-23 에스케이실트론 주식회사 회전 샤프트를 포함하는 웨이퍼 지지대

Also Published As

Publication number Publication date
JP2005240173A (ja) 2005-09-08
KR20110074839A (ko) 2011-07-04
EP1564791A2 (en) 2005-08-17
JP4473144B2 (ja) 2010-06-02
CN1655336A (zh) 2005-08-17
EP1564791A3 (en) 2006-08-09
US8216422B2 (en) 2012-07-10
US8033245B2 (en) 2011-10-11
CN1655336B (zh) 2010-12-22
KR101108389B1 (ko) 2012-01-30
TWI260064B (en) 2006-08-11
CN101221896B (zh) 2010-07-21
KR20050081839A (ko) 2005-08-19
TW200527577A (en) 2005-08-16
KR20050081179A (ko) 2005-08-18
CN101221896A (zh) 2008-07-16
US20050180737A1 (en) 2005-08-18
US20050220604A1 (en) 2005-10-06

Similar Documents

Publication Publication Date Title
KR100597495B1 (ko) 기판 지지 부재
US9991153B2 (en) Substrate support bushing
US9484233B2 (en) Carousel reactor for multi-station, sequential processing systems
CN111599744B (zh) 用于处理基板的装置和方法
US6602348B1 (en) Substrate cooldown chamber
US20030072639A1 (en) Substrate support
US20160099166A1 (en) Spring-Loaded Pins For Susceptor Assembly and Processing Methods Using Same
KR101897318B1 (ko) 기판 처리 장치
TW201110257A (en) Processing chamber with translating wear plate for lift pin
KR20040077554A (ko) 기판 지지부
US20130287529A1 (en) Method and apparatus for independent wafer handling
US20100136773A1 (en) Semiconductor Device Manufacturing Method and Substrate Processing Apparatus
WO2012116259A2 (en) Dry chemical cleaning for gate stack preparation
EP0744083A1 (en) Clampless vacuum heat transfer station
KR20230010791A (ko) 주변 제어된 이송 모듈 및 프로세스 시스템
JP2023530557A (ja) バッチ熱処理チャンバにおけるウエハエッジ温度補正
KR20230087601A (ko) 더 높은 처리량 및 더 빠른 전이 시간을 위한 반도체 프로세싱 챔버 아키텍처
US6709470B2 (en) Benchtop processing
US20220254634A1 (en) Chamber body feedthrough for in chamber resistive heating element
CN115491651A (zh) 基座清洁

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
A107 Divisional application of patent
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
J206 Request for trial to confirm the scope of a patent right
J204 Request for invalidation trial [patent]
J301 Trial decision

Free format text: TRIAL DECISION FOR CONFIRMATION OF THE SCOPE OF RIGHT_AFFIRMATIVE REQUESTED 20070813

Effective date: 20080130

J2X1 Appeal (before the patent court)

Free format text: CONFIRMATION OF THE SCOPE OF RIGHT_AFFIRMATIVE

J301 Trial decision

Free format text: TRIAL DECISION FOR INVALIDATION REQUESTED 20071029

Effective date: 20080930

J2X1 Appeal (before the patent court)

Free format text: INVALIDATION

J302 Written judgement (patent court)

Free format text: JUDGMENT (PATENT COURT) FOR INVALIDATION REQUESTED 20081104

Effective date: 20090528

J2X2 Appeal (before the supreme court)

Free format text: APPEAL BEFORE THE SUPREME COURT FOR INVALIDATION

J302 Written judgement (patent court)

Free format text: JUDGMENT (PATENT COURT) FOR CONFIRMATION OF THE SCOPE OF RIGHT_AFFIRMATIVE REQUESTED 20080305

Effective date: 20090625

EXTG Ip right invalidated
J303 Written judgement (supreme court)

Free format text: JUDGMENT (SUPREME COURT) FOR INVALIDATION REQUESTED 20090624

Effective date: 20090910

G170 Re-publication after modification of scope of protection [patent]