JPH10135192A - 半導体基盤の表面処理方法 - Google Patents

半導体基盤の表面処理方法

Info

Publication number
JPH10135192A
JPH10135192A JP9206672A JP20667297A JPH10135192A JP H10135192 A JPH10135192 A JP H10135192A JP 9206672 A JP9206672 A JP 9206672A JP 20667297 A JP20667297 A JP 20667297A JP H10135192 A JPH10135192 A JP H10135192A
Authority
JP
Japan
Prior art keywords
etching
deposition
gas
rate
reaction chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP9206672A
Other languages
English (en)
Other versions
JP3540129B2 (ja
Inventor
Jyoti Kiron Bhardwaj
キロン バードゥワジ ジョティ
Huma Ashraf
アシュラフ ヒューマ
Babak Khamsehpour
カムゼポー ババック
Janet Hopkins
ホプキンズ ジャネット
Alan Michael Hynes
マイケル ハインズ アラン
Martin Edward Ryan
エドワード ライアン マーティン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Surface Technology Systems Ltd
Original Assignee
Surface Technology Systems Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from GBGB9616223.5A external-priority patent/GB9616223D0/en
Priority claimed from GBGB9616224.3A external-priority patent/GB9616224D0/en
Application filed by Surface Technology Systems Ltd filed Critical Surface Technology Systems Ltd
Publication of JPH10135192A publication Critical patent/JPH10135192A/ja
Application granted granted Critical
Publication of JP3540129B2 publication Critical patent/JP3540129B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Weting (AREA)

Abstract

(57)【要約】 【課題】 動作状態のプロセスに依存して,形成物に対
する粗い側壁及び/或いはベースが生成されるのと同様
にむしろ曲がっているか,或いは,内曲した壁形状が生
成される。 【解決手段】 本発明は,半導体基盤の表面処理方法に
ついてであり,そして,特に,反応室内の半導体基盤に
細長溝のエッチングを行うところにおいて,次のパラメ
ータ:ガス流速,反応室内圧力,プラズ出力,基盤バイ
アス,エッチング速度,蒸着速度,サイクル時間,エッ
チング/蒸着比率,の中の一つまたはそれ以上のパラメ
ータを時間と共に変化させ,反応イオンエッチング及び
化学的蒸着による不働態層の蒸着を交互に実施すること
により当該細長溝のエッチングを行う方法に関するもの
である。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術】本発明は,半導体基盤の表面処理
方法についてであり,他の方法を除外するものではない
が,特に,エッチングした特徴部上に側壁不働態層を蒸
着する方法,及び,不働態方法を含んでその様な特徴部
をエッチングする方法に関するものである。
【0002】
【従来の技術】エッチングと蒸着とを組み合わせる方法
により,シリコン内に異方状に細長溝や窪みをエッチン
グする方法は周知である。その意図としては,不働態層
を施すことにより形成された細長溝或いは窪みの側壁を
保護する一方で,異方性エッチングを生成することにあ
る。その様な例は,例えば,引例 US-A-4579623, EP-A-
0497023, EP-A- 0200951,WO-A-94114187 ,及び,US-A
-4985114 に見られる。これらすべての引例は,蒸着ガ
スとエッチングガスとの混合を使用するか,或いは,エ
ッチングステップと蒸着ステップとを交互に使用するか
の何れかについて記載している。ガスを混合するのは非
効果的であるというのが一般的な見解である。というの
は,二つの処理方法はお互いにキャンセルする傾向にあ
るからであり,実際,完全に交互のステップを利用する
方へと傾いた偏見が持たれている。
【0003】他の手法は,引例 EP-A-0383570, US-A-49
43344, 及び US-A-4992136 に記載されている。これら
すべての引例は,基盤を低温に保つことを追求するもの
であり,そして最初に,多少一般的ではないが,側壁か
ら不要な蒸着物を取り除くために,エッチングをしてい
る間に高エネルギーイオンのバーストを利用する。
【0004】
【発明が解決しようとする課題】半導体製造業界におい
て継続している傾向としては,増加し続けるアスペクト
比の特徴部に対し,特徴部の幅が小さくなればなるほ
ど,側壁形状及び側壁の表面粗さの重要性が増加する。
現提案では,動作状態のプロセスに依存して,形成物に
対する粗い側壁及び/或いはベースが生成されるのと同
様にむしろ曲がっているか,或いは,内曲した側壁形状
が生成される傾向にある。これら種々の問題の現れ方
は,適用例,及びそれぞれのプロセス条件,シリコン露
出エリア(マスクされていない基盤エリア),エッチン
グ深さ,アスペクト比,側壁プロファイル,及び,基盤
トポグラフィーに依存する。
【0005】
【課題を解決するための手段】本発明の方法は,少なく
とも幾つかの実施例においては,これら種々の問題に取
り組み,それらの問題を減少させている。
【0006】本発明には,反応室内の半導体基盤に細長
溝のエッチングを行うところにおいて,次のパラメー
タ:ガス流速,反応室内圧力,プラズ出力,基盤バイア
ス,エッチング速度,蒸着速度,サイクル時間,エッチ
ング/蒸着比率,の中で一つまたはそれ以上のパラメー
タを時間と共に変化させ,反応イオンエッチング及び化
学的蒸着による不働態層の蒸着を交互に実施することに
より当該細長溝のエッチングを行う方法としての一側面
がある。変化は周期的でありうる。
【0007】前記エッチングと蒸着のステップは重なっ
てもよいし,及び,エッチングと蒸着のガスは混合して
もよい。
【0008】前記方法は,エッチングと蒸着との間,及
び/或いは,蒸着とエッチングとの間で反応室の吸い出
しを含む方法であって,その場合,前記吸い出しは,次
【0009】
【数2】
【0010】が満足されるまで続行される。上式におい
て,Ppa は前回のステップにおいて使用されたガス
(A)の部分圧力を,Ppb は次回のステップにおいて使
用されるガス(B)の部分圧力を,そしてxはガス
(A)を伴った処理のプロセス速度が本質的定常状態か
ら落ち込むところの割合をそれぞれ表すものである。
【0011】エッチング及び蒸着のガス流動は,連続的
に或いは急激に変化しうる。たとえば,エッチング及び
蒸着のガスは,それらのガス流速がシヌソイド状かつ位
相外となるように供給されるかもしれない。これらパラ
メータのいづれの大きさも,サイクル内,及び,サイク
ル間において変化しうる。
【0012】特に好ましくは,少なくとも第1サイクル
の間においては,そして,適切な環境においては,たと
えば第2から第4サイクルまでの最初の数サイクルの間
においては,蒸着速度は増加し,及び/或いは,エッチ
ング速度は減少する。
【0013】エッチング速度は,下記: (a)掃気ガスの導入 (b)プラズマ出力の減少 (c)サイクル時間の減少そして (d)ガス流速の減少 (e)反応室内圧力の変化 の中の一つまたはそれ以上によって減少しうる。
【0014】蒸着速度は,下記: (a)プラズマ出力の増加 (b)サイクル時間の増加 (c)ガス流速の増加 (d)蒸着種類の密度の増加 (e)反応室内圧力の変化 の中の一つまたはそれ以上によって増加しうる。
【0015】本方法の他の長所は,エッチング及び/或
いは蒸着ステップは,表面粗さを減少するために,7.
5秒未満の周期或いは5秒未満の周期さえをも有し;エ
ッチングガスはCFx 或いは XeF2 であって,自然発生的
なエッチングを減少するために一つ或いはそれ以上のよ
り高い原子質量ハロゲン化物を含み;そして,増加した
自己バイアス(例えば,ボルト数>20eV,或いは,実際
にはボルト数>100eV)を伴う特に浅く高いアスペクト
比エッチングのための蒸着を行っている間は,反応室内
圧力は減少し及び/或いは流速は増加する,ということ
にある。
【0016】前記蒸着ステップでは,炭素層或いは炭化
水素層を蒸着するために炭化水素蒸着ガスを使用しう
る。前記ガスは,O,N,或いはF要因を含み,そし
て,蒸着した層は窒素或いはフッ素でのドープ処理がな
される。戻し冷却が問題となる場合には,前記基盤を反
応室内の支持台上に自由な状態で置く。択一的には,そ
の基盤を固定し,その温度を,たとえば,−100゜C
から100゜Cの間となるように制御する。反応室内の
温度は,ベース粗さを減少する目的で,反応室或いはそ
の装備品への凝固を減少するように,ウェハーと同じ温
度範囲となるよう反応室に有利となるように制御する。
【0017】基盤は,GaAs,GaP ,GaN ,GaSo,SiGe,
Mo,W 及び Ta の中のいづれかであって,この場合,エ
ッチングガスは,特に好ましくは,H 或いは不活性ガス
を伴うか,或いは,伴なわない Cl2,BCl3,SiCl4 ,Si
Cl2H2 ,CHxCly,CxCly ,CHx の中の一つ或いは組合せ
である。Cl2 が特に好適である。蒸着ガスは,Hを伴う
か或いは伴なわないCHx ,CHxCly,CxCly の中の一つ或
いは組合せであるか,或いは,不活性ガスである。CH4
或いは CH2Cl2 が特に好適である。
【0018】本発明は上記に定義した通りであるが,そ
れは上記或いは下記に開示しているいかなる進歩性のあ
る特徴の組合せも含み得るものであると解釈すべきであ
る。
【0019】
【実施例】本発明は,種々の方法によって実施しうるも
のであって,ここでは,ある特定の実施例を添付図を参
照にしながら示す。
【0020】図1は,反応イオンエッチング及び化学蒸
着の両方の使用に適している公知技術での反応室10の
概略図である。典型的には,真空室11は,半導体ウェ
ハー13を受ける支持電極12とそれとはスペースを置
いた電極14とを連携させる。
【0021】前記ウェハー13は,クランプ15によっ
て前記支持12に対して押しつけられ,一般に,後方冷
却手段(図示されていない)により冷却される。
【0022】反応室11は,コイル15aにより囲まれ
ており,反応室11内における電極12と電極14との
間にプラズマを誘発するように使用されるRF源16に
よって供給される。択一的には,マイクロ波出力の供給
はプラズマ生成に使用する。いずれの場合においても,
プラズマバイアスを生成する必要があるが,それはRF
或いはDCのいずれかであり,そして,それをウェハー
13へと下降するプラズマからイオンの通路に影響を与
えるように支持電極12に接続する。そのような調整可
能バイアス手段の一例を17に示す。反応室には,蒸着
ガス或いはエッチングガスが取り込まれるためのガス吸
入口18,及び,ガス状の生成物及び過剰な生成ガスを
除去するための排気口19を設けている。RIE或いは
CVDのモードのいずれかにあるそのような反応室の操
作については当該分野の技術において良く理解されてい
ることである。半導体ウェハー或いは半導体基盤の表面
上に,細長溝,エッチング,バイアス,或いは他の形成
物をエッチングする場合,通例の実施では,前記基盤の
部分を露出している開口部を有する光抵抗マスクを蒸着
する。エッチングガスを反応室内に取り込み,そして,
可能な限り形成物の側壁にエッチングがないようにする
ために,エッチング過程が下方向に異方性であることを
保証する試みとしていくつものステップを取られてい
る。種々の理由により,真の異方性エッチングを達成す
ることは実際には困難であり,そして,前記側壁上に不
働態材料を蒸着し,その結果,前記材料が犠牲的にエッ
チングされるようにするために種々の試みが行われてい
る。現在までに,最も成功しているそのようなシステム
は,たぶん,引例WO-A-94114187 に記載されているもの
であり,そのシステムを図2に概略的に示す。前記引例
に記載のプロセスでは,連続及び分割的なエッチング及
び蒸着ステップを使用し,そのようにして,最初のエッ
チングステップの後,側壁を図20に示すようにアンダ
ーカットし,このアンダーカットを蒸着した不働態層2
1によって保護する。図2から分かるように,この構成
は粗い側壁を生成し,そして,エッチングしたステップ
が増加するか,或いは,実際にアスペクト比が増加する
場合,プロファイル内に湾曲或いは凹入したノッチがあ
るかもしれない。公知技術の引例には,CFx不働態層
の蒸着についての記載がある。出願人は,より滑らかな
壁状の形成物,及び,特により質が高く深い及び/或い
は高アスペクト比を有する形成物の生成が可能となるよ
うに,上記プロセスに対する改良のシリーズを提案す
る。便宜のため,分節して記載する。
【0023】1.不働態 既に上記で述べたように,前回提案では,CFxの形の
不働態層を蒸着するものである。出願人は,炭素層或い
は炭化水素層を用いて側壁を不働態しており,そしてそ
れらの層は,相当に高い付着エネルギーを与えるもので
あるが,それは黒鉛相が少なくとも部分的に除去される
ように高い自己バイアスの下で蒸着される場合には特に
顕著となる。
【0024】もしこれらのフィルム或いは層を要望通り
に,例えば,20eV 以上,好適には100eVを越える
高い自己バイアスで蒸着する場合,そしてそれが高いア
スペクト比の形成物に対してなされる際にはもう一つの
重要な利点を有することとなる。というのは,高い自己
バイアスは,凹入側壁エッチングを防止するために,エ
ッチングされる形成物のベースに対する下方向への蒸着
材料の運搬を増加することを保証するからである。この
運搬の影響は,滞留時間を減少させるために,徐々に反
応室内圧力を減少させるか及び/或いはガス流速を増加
させることにより改良できる。ある構成等では,明瞭に
先細りした形成物或いはV型の形成物の生成が達成され
るように蒸着作業を行うことが望ましい。浅く(<20
μm)高いアスペクト比の細長溝に関する特別な例で
は,特徴部の開口部サイズ(或いは,重要な寸法)を
0.5μmの範囲内とすることができる。この不働態に
よって形成された炭化水素(H−C)膜は,公知技術で
ある過フッ化炭化水素膜よりも重要な長所を有する。例
えば,前記H−Cフィルムは,エッチング処理をドライ
アッシング(酸素プラズマ)処理により終了した後,早
急に取り除くことができる。これは,ウェット処理が高
いアスペクト比を有する細長溝によって分離されている
共振構造の固着現象に帰着するところのMEMS(マイ
クロ・エレクトロ・メカニカルシステム)の形成におい
ては特に重要となる。例えば,光学や生物医学分野の装
置に関する他の適用例においては,側壁層を完全に取り
除くことは必須である。
【0025】前記H−Cフィルムは,幅広いH−C先駆
物質(例えば,高分子重量芳香族化合物H−Cを含む C
H4, C2H4, C3H6, C4H8, C2H2 等)の範囲から蒸着でき
る。これらは,希ガス及び/或いはH2と混合できる。
酸素源ガスも加える(例えば,CO, CO2, O2 )ことがで
き,そして蒸着の間はそれをフィルムの位相バランスを
制御するために利用できる。酸素は,より固い相(sp3
)を残したまま,黒鉛相(sp2 )を取り除く傾向にあ
る。そのようなわけで,存在する酸素の割合は,最終的
に蒸着されるフィルム或いは層の特性を影響する。
【0026】上記で述べているように,H2はH−C先
駆物質と混合できる。H2は優先的にシリコンをエッチ
ングし,そして割合を正確に選択した場合,不働態相に
ある間にホールのベースのエッチングを続行しつつ,側
壁不働態が達成できる。
【0027】このための好ましい手続きは,選択したH
−C先駆物質(例えば,CH4 )をH2と混合し,提案し
たエッチング手続きにおいて使用する装置内の混合物質
を使ってマスク模様のシリコン表面を処理することであ
る。シリコンエッチング速度を,H2内のCH4 濃度の関
数としてプロットし,そして,そのようなプロットの例
を図4に示す。エッチング速度は,CH4 の割合の増加と
供に,初期の定常状態から,ゼロへと減少して行く以前
にピークへと増加することを注記しておく。
【0028】グラフが,以下のメカニズムを起こしてい
ることを示していることに疑いの余地はない。初期の定
常状態部分においては,SiHx 反応生成物を形成するた
めのH2の活動が本質的にエッチングを支配している。
H2内の CH4 の約10%においては,基 盤のCH4 エ
ッチングは(Si(CHx)y 生成物を形成することにより)
重要となり,そしてエッチング速度は増大する。エッチ
ングに起因して,グラフのこの部分上にはネット蒸着は
ないが,炭化水素層の蒸着がこの間を通して行われてい
る。最終的には,ネット蒸着が生じるCH4 の約38%ま
では前記蒸着がエッチング処理を支配し始める。
【0029】これら変化特性を異なる2通りの方法で使
用できうることが判明した。もし,高い自己バイアスで
あるか,或いは,高い平均エネルギーが存在する場合
(例えば,例えば100eV を越える),施した層或い
はコーティングは相当に固い。それというのは,コーテ
ィングはシリコン基盤よりもエッチングに対してより強
い抵抗力があるため,減少した黒鉛相や過程はエッチン
グ速度グラフの上昇部分において操作されうるからであ
る。そのようなわけで,蒸着相を通して,シリコンをエ
ッチングすることが可能となる。マスクするか或いは抵
抗するために100:1を越える選択度を早急に得るこ
とができる。マスク22のイオン衝撃に起因して重大な
黒鉛相の除去がある一方で,イオンの高い方向性は,側
壁コーティングが比較的影響を受けないことを意味して
いることを注記しておく。前記プロセス処理を,低平均
イオンエネルギーの状態下において,H−C先駆物質の
みか或いはH2希釈物のいずれかを伴って,操作でき
る。後者の場合,前記プロセス,エッチンググラフの下
降部分において操作するのが好適である。その部分と
は,CH4 にとって18%より大きく,かつ,ネット蒸着
が生じる約38%未満の部分である。典型的には,その
範囲は,CH4 にとって18%から30%の間に相当す
る。ポリマー蒸着の間の平均イオンエネルギーの低い値
は,高いマスク選択度を許容しする利点があると信じら
れる。これらの低いrfバイアス条件下では,選択度
が,広い不働態蒸着に対して無限に増大する。よって,
もし高い選択度が要求される場合は,低い平均イオンエ
ネルギーの手法が有利となりうる。図5は,上記2実施
例を含む条件範囲の下でCH4 とH2使用するH−Cフィ
ルムのためのステップカバレッジ(ステップ高さの50
%で測定された側壁蒸着に対する表面蒸着)を示す。図
5は,高イオンエネルギーはステップカバレッジを増大
するが,低バイアス条件であったとしても,横方向エッ
チングに対して十分な不働態があることを示している。
更に,後者の場合,より高い蒸着速度は,更にマスク選
択度を増加する役目を果たす。低イオンエネルギーにお
ける蒸着速度は,2つの100eVを越える大きなケース
での1要因である。
【0030】以上のようなわけで,これらの手法を使用
することによって,利用者は,彼の提案する構造に最も
最適となる,エッチ速度と選択度の組合せを選択でき
る。更に,エッチング速度を増加するために,及び/或
いは,ノッチを減少するためにマスク選択度を向上す
る。図6は,どのように前記プロセスの種々のパラメー
タが同期されるかを示している。図6(d)は,連続か
つ不変のコイル出力を示し,一方,図6(e)は,前記
エッチング或いは蒸着ステップを向上するように,コイ
ル出力を切り替え,そして,エッチングの際の出力は,
要求されたプロセス動作に依存する蒸着のために選択し
た出力とは異なることを示している。図6(e) は,例を
使って,蒸着している間のより高いコイル出力を示して
いる。
【0031】図6f〜iは,バイアス出力の中なの似た
ような変化を示している。図6(f)は,蒸着フィルム
の除去を簡易にするため,エッチングの間は,高バイア
ス出力を有しており,一方,図6(g)は,選択度の利
点を伴って,平均イオンエネルギーを低く抑えながら,
この除去プロセスを助長するために初期のより高い出力
パルスを使用することを示している。図6(h)は,エ
ッチング(例えば,深い細長溝を伴った)の間に,より
高いイオンエネルギーを要求する時のための,図6
(f)と図6(g)の組合せである。図6(i)は,蒸
着の間は,バイアスが無いことを示している。
【0032】いずれかのプロセスでは,少なくとも,ガ
スの許容分離区間を,ガスB(Ppb)の部分圧力内で
許容されうるガスA(Ppa)の残留部分圧力によって
決定する。Ppb内におけるPpaのこの最小値を,特
性プロセス速度(エッチング或いは蒸着)からPpa/(Ppa
+ Ppb) の関数として設定する。図8において,ガスA
は20% CH4+H2であり,ガスBはSF6 である。Ppa/
(Ppa + Ppb)< 5%においては,プロセスは,実質的に
定常状態であることが分かる。典型的な実際条件として
は,1.5秒未満の吸い出し時間で十分であり,そし
て,プラズマを,プロセスステップが2〜3秒のオーダ
ーでは,合計サイクル時間の65%に渡って維持し,及
び,プロセスステップが5秒を越える場合には,合計サ
イクル時間の80%に渡って維持する。適切な同期構成
を図7に示す。蒸着ステップとエッチングステップのガ
スの混和の回避を望むため,エッチングは吸い出しに先
行する。公知技術案(例えば,U.S.A. 4985114)は,プ
ラズマがオン状態とされる以前に,長い間に渡って,蒸
着ガス流動をオフ状態にするか或いは減少するように提
案している。これは,プラズマ出力が,エッチング速度
を重大な減少へと導きうる,合計サイクル時間のちょっ
とした部分においてのみオン状態になることを意味す
る。出願人は,反応室を,少なくとも,いずれかのガス
交換の間において吸い出すべきであるが,圧力とガス流
動の安定が維持されるように注意しなければならないと
提案している。好ましくは,高い応答速度質量流動制御
(上昇時間<100ms)及び自動圧力制御(角度変化そ
して安定<300ms)を使用する。
【0033】出願人は,蒸着ガスによってエッチングが
弱められることのないようにするために,必要な吸い出
し時間を設定(図8)した。しかしながら,吸い出し
は,稼働中の正確なプロセス次第で,エッチングステッ
プ,或いはエッチング及び蒸着ステップの両方に先行す
る。そしてまた,吸い出しは,(USA4985114に記載され
ている)微小荷重を減少し,そして下記の通り,高いア
スペクト比エッチングに対しても有意義である。
【0034】変化しうるパラメータの多くは,図9(i
i)に図示してあるように,一般には傾斜している。前
記傾斜は,それらパラメータが,サイクル間において急
激に変化するのではなく,振幅或いは周期におけるサイ
クル毎に,徐々に増加或いは減少することを意味してい
る。吸い出しの場合,傾斜は,側壁ノッチを下記に議論
するように減少するか或いは除去しうるプロセスの開始
時の混和を許容するように使用される。典型的なプロセ
スパラメータは以下の通りである。
【0035】 1.蒸着ステップ CH4 ステップ時間: 2〜15秒; 好ましくは4〜6秒 H2 ステップ時間: 2〜15秒; 好ましくは4〜6秒 コイルrf出力: 600〜1kW; 好ましくは800W バイアスrf出力: 高平均イオンエネルギーケース: 500W〜300 W; 好ましくは100W 低平均イオンエネルギーケース: 0W〜30W; 好ましくは10W 圧力: 2mTorr 〜 50mTorr ; 好ましくは20mTorr 2.エッチステップ SF6 ステップ時間: 2〜15秒; 好ましくは4〜6秒 コイルrf出力: 600〜1kW; 好ましくは800W バイアスrf出力: 高平均イオンエネルギーケース: 50W〜300W; 好ましくは150W 低平均イオンエネルギーケース: 0W〜30W; 好ましくは15W 圧力: 2mTorr 〜 50mTorr ; 好ましくは30mTorr 2.エッチング/蒸着関係 出願人は,公知技術の手法は本質的に単純過ぎると結論
づけている。というのは,ある特定なプロセスの間,条
件を変化させることも,或いは異なった要求や異なった
タイプの形成をも受け入れないからである。更に,公知
技術は,深いエッチングの難題には取り組んでいない。
その様なわけで,引例 WO-A-94114187 が教えているこ
ととは反対に,図2に示してあるように壁の表面粗さを
大きく減少するように,エッチングステップを不働態ス
テップ或いは蒸着ステップに重ね合わせることは,しば
しば有意義となると出願人は信じている。出願人はま
た,以前から利用されている剛な連続矩形波ステップ
は,驚くことに理想からはほど遠いものであると結論づ
けた。多くの場合,エッチング速度の減少が許容されて
いるときは,ステージ間,特に重複が起きるているステ
ージ間では滑らかな遷移を利用することが望ましい。以
上のようなわけで,好適な一構成としては,位相外とな
る,好ましくは90°近く位相外となる2つの”波形”
を,エッチングガス及び蒸着ガスのガス流速のために,
シヌソイド状に時間と供に変化させることである。側壁
粗さは,本質的には増大させた横方向エッチング構成部
の現れであるため,前記側壁粗さは,エッチングの前記
構成部に制限をすることにより減少できる。要望する効
果は,次の多くの方法:不働態ステップとエッチングス
テップ(重複)とを部分的に混合すること;エッチング
(ゆえに,対応する不働態)期間を最小化すること;ウ
ェハー温度を減少することによりエッチング生成物揮発
度を減少すること;及び,例えば,付加したO,N,
C,CFx,CHxを有するSF6のようなエッチング
ガスへ不働態要素を加えること,或いは,CFx等によ
り交換されたSF6のような低反応種類の作用ガスの一
つを使ってエッチングガスを交換すること等の内の一方
法によって得ることができる。
【0036】出願人は,プロセス内の異なったステージ
において,エッチングや蒸着レベルにおいての変化が要
望されることもまた認めた。出願人は,第1サイクル或
いは最初の数サイクルの間は,蒸着区間或いは蒸着速度
を増加するか,或いは,他の適切な手段を取ることによ
って,向上した蒸着を有すべきであることを提案してい
る。等しく或いは択一的に,エッチング速度或いは時間
を減少しうる。既に以前にも簡単に述べたように,形成
物或いは細長溝が深くなればなるほど,及び/或いは,
アスペクト比が増大すればするほど,材料を蒸着するこ
とは次第に困難となってくる。ガス流速,反応室内圧
力,プラズマ出力,バイアス出力,サイクル時間,基盤
エッチング/蒸着比率の中で,一つ或いはそれ以上を制
御することによって,ふさわしい側壁蒸着保護を伴った
良好な異方性エッチングが達成できるように,適切な方
法でシステムを調整できる。これら及び関連する手法
は,エッチングプロファイルに存在する多くの問題を克
服するために利用できる。 a.側壁ノッチ 側壁”ノッチ”問題は,露出したシリコンエリア(30
%未満の低い露出エリアにおいてより酷い)にとって,
特に敏感であり,また,高シリコン平均エッチング速度
においても同様に酷い。出願人は,その様なノッチは,
初期のエッチング/蒸着サイクルの間に,エッチング種
類の比較的高い濃度によって引き起こされると信じてい
る。そのようなわけで,出願人によって適用された解
は,第1サイクルの間に,不働態を向上させるか或いは
エッチング種類を消滅させるかのいづれかである。後者
は,プロセス調整(一つ或いはそれ以上のパラメータを
傾斜させる)によるか,或いは,F腐食液に作用するS
i,Ti,W等のエッチング種類を(化学反応により)
消費する反応室の中にある材料を放置するかのいづれか
の方法で達成できる。
【0037】その様な化学的負荷は,当該消滅が最初の
数エッチングステップにおいてのみに必要とされるだけ
のため,平均エッチング速度を減少させてしまうという
欠点を有する。そのようなわけで,プロセス調整の解が
有利であると判断される。
【0038】エッチング速度,プロファイル制御,選択
性等の他のいづれの側面をも弱めたり或いは劣化させる
ことなく,側壁ノッチを減少/除去することが望まし
い。出願人の調査によれば,”エッチング開始時点にお
いて,エッチング種類の濃度を減少させる”手法は,そ
れぞれの(複数の)パラメータを図6に示されているよ
うに普通の前もって最適化したエッチング条件へと増加
した後に引き続いて行われる下記のプロセス: a.フッ素掃気ガスの導入或いは b.低コイル出力或いは c.低エッチサイクル時間(ステップ期間)或いは d.低エッチガス流動或いは e.不働態サイクルの間の,上記a〜dに対応するパラ
メータの増加 f.上記のコンビネーション。
【0039】を開始することによって,最良に制御でき
ることを示している。前記増加は,急激(それは,a〜
fのパラメータの中の,例えば,ステップ変化を使用し
て)であるか或いは傾斜しているかのいずれかである。
これら2手法の結果を公知技術の教えと比較しつつ以下
に述べる。
【0040】シリコン細長溝エッチングを行っている間
の(公知技術を直接適用することにより生じてくる)問
題の本質を,概略的に図3に示し,そして,図10及び
図11にSEM’s(走査型電子顕微鏡写真)を示す。
これらの図は,1.7μmの初期細長溝開口に対して,
ノッチ幅は0.37μmまでである一方,CD損失は
1.2μm(70%)である。そのようなCD損失の値
は,実用へはほとんど不適である。
【0041】しかしながら,ノッチした側壁は,初期サ
イクルのエッチングプロセスの間にプロセスパラメータ
を変化しうる出願人の方法(例えば,a〜f)を使用す
ることにより修正されうる。もし,急激なステップをプ
ロセスパラメータを変化するために使用するなら,急激
な遷移が側壁プロファイルに生成される。図12及び図
13のSEM’sは,この事を,異なるプロセスパラメ
ータに対して,図示するものである。図12において,
プロセスパラメータの遷移は,(8.5μmエッチング
深さの後の)パラメータの変化点における,側壁プロフ
ァイル内の急激な遷移であるとして注目される。(側壁
ノッチが除去されていることが注目される。)図13
は,もう一つのプロセスパラメータの急激/ステップ変
化を図示している。ここでは,側壁不働態は,最初の2
μmに対して,明瞭なプロファイル(そして,ノッチ無
しである)に帰着するには十分に良質である。減少され
た不働態の条件が適用された場合,それは,側壁角度に
おける遷移及びノッチの再出現によって特性づけらる。
【0042】”傾斜づけ”パラメータ手法を使用するこ
とにより,いかなる急激な遷移をも生じさせることな
く;図14のSEMを参照,滑らかな側壁プロファイル
を生成するのと同様に,ノッチを除去できる。このこと
は,傾斜無しのプロセスに匹敵するエッチング速度を維
持する一方,滑らかで明瞭なプロファイル及びCD損失
無しを有する深さ22μmの細長溝エッチングを示して
いる。この場合に使用するプロセス条件は,図19aに
示してある。
【0043】b.深く高いアスペクト比エッチングを行
っている間のプロファイル制御 公知技術の教えは,高アスペクト比(>10:1)エッ
チングが要求されるものに限定されている。ここでは,
限定と解について,比較的深いエッチング(>200μ
m)に対する議論するが,その議論は,浅くて高いアス
ペクト比エッチングに対しても,そしてまた,CDの値
がたとえ0.5μm未満となるような大変低い値にさえ
も,等しく適応できうる。高アスペクト比エッチングを
識別しうる基本メカニズムの一つには,エッチング生成
物と同様にエッチング(及び不働態)反応先駆物質の拡
散がある。不働態ステップに対するこの種の移送現象が
調査された。この結果は,深い細長溝のベースに対して
の側壁不働態の移送が低圧力の下で改善しうることを明
確に示している。プラテン出力の増加もまたこれを改善
するものである;図15を参照。グラフは,圧力が減少
し,及び,rfバイアス出力が増加する時の,細長溝の
ベースに対して改善した不働態を示している。
【0044】このデータは,最初に200μm深さの細
長溝をエッチングし,それから,不働態ステップだけを
使用し,そして走査型電子顕微鏡を使用することによ
り,深さと共に側壁不働態の変化を測定することによっ
て得られたものである。このことは,エッチング深さと
共の不働態の変化を確認し,そして,更に,最適なプロ
セス条件はエッチ深さと共に変化するということの示唆
も支持しうる。
【0045】公知技術を,その様な高アスペクト比のプ
ロセスに対して適用する際の限界を,図16のSEMに
よって示す。注目すべきことには,エッチング比の固定
したパラメータプロセスに対する比較的高い不働態は,
初期の側壁ノッチに確実に帰着してしまうが,10μm
CDや深さ230μmの細長溝のエッチングに対してこ
れを示すには,SEM拡大は十分に高くはない。図15
に示されている傾向から,要望する高バイアスrf出力
と低圧力の条件の下で操作することにより,プロファイ
ルを幾分改善することができる。しかしながら,固定パ
ラメータプロセスとして,高バイアス出力と低圧力の条
件は,イオンエネルギーが増大すると共に,マスク選択
度(100:1以上から10:1未満へと)を重大に劣
化させる。急激パラメータを使用する場合,変化は,図
17のSEMに示してあるように,急激な側壁変化に帰
着する。次のパラメータを傾斜化することによって,つ
まり,プラテン出力を増加,圧力を減少,そして,サイ
クル時間とガス流動とを増加することによって,75:
1を越える適切で高い選択度を維持しつつ;図18を参
照,好ましい結果を生み出すことができる。ここで,S
EMは深さ295μm,12μmCDの細長溝エッチン
グ(25:1のアスペクト比)を示す。この場合のプロ
セス条件は,図19bに示す。 図20は,側壁ノッチ
を減少するために,初期サイクルにおいて使用されてい
る蒸着ガスとエッチングガスの同期を示す。典型的な動
作条件を図19aに与え,そして,それに関わるSEM
を図14に示す。図21は,側壁ノッチ減少の手法の方
法による掃気ガスの使用に関する同期を示す。破線は,
減少傾向に傾斜化する掃気ガス流速の選択を示す。図9
iは,深く高アスペクト比の異方性エッチングを得るた
めの同期を示しているが,提示している傾斜化の手法は
側壁ノッチの減少にも使用できる。図18に示す結果を
得るために,図19bの条件を使用できる。図9iに戻
った場合,以下のことが分かる: 1.当該図は,平均圧力の傾斜を示す。サイクルが蒸着
からエッチングに変化するとき,圧力が低圧力から高圧
力へと変化するを注記しておく。圧力の下向き傾斜は,
エッチングと不働態の両サイクルに対しての圧力減少に
帰着する。
【0046】2.当該図は,rfバイアス出力傾斜を示
す。バイアスは,サイクルが蒸着からエッチングに変化
するとき,低バイアスすから高バイアスへと変化するこ
とを注記しておく。これは,上記の圧力変化に同期して
いる。バイアスの上向き傾斜は,この場合,蒸着にのみ
当てはまる。
【0047】3.当該図は,rfバイアス出力傾斜のも
う一つの例を示す。ここでもまた,バイアスは,圧力変
化に同期して,サイクルが蒸着からエッチングに変化す
るとき,低バイアスすから高バイアスへと変化する。バ
イアスの上向き傾斜は,この場合,蒸着ステップとエッ
チングステップの両方に当てはまる。
【0048】図9iiは,一般的なパラメータの傾斜を
描写している。これらの例は,傾斜しているサイクル時
間とステップ時間とをそれぞれ描写するものである。
【0049】4.当該図は,サイクル時間傾斜を示す。
前記傾斜では,パラメータ(ガス流速,圧力,rf出力
等)の大きさが傾斜づけられていない。ある適用例で
は,こは,上記の場合における”大きさ”の傾斜づけに
対する選択として供与しうる。 5.当該図は,サイクル時間傾斜を示す。前記傾斜で
は,パラメータ(ガス流速,圧力,rf出力等)の大き
さが傾斜づけられている。パラメータの傾斜は,大きさ
に関して増加或いは減少し,減少する場合,それはゼロ
或いは非ゼロの値へ向かうことを注記しておく。
【0050】3.エッチングガス 適切ないづれのエッチングガスも使用できうるが,出願
人は,ある特定なガス或いは混合が有益であることを見
つけだした。
【0051】そのようなわけで,プロセス速度に影響す
るため,エッチング段階においてはいかなる不働態ガス
を有することも好ましくないことが引例 WO-A-94114187
の中に示唆されている。しかしながら,出願人は,この
手続きは,形成された側壁細長溝の質を大幅に改善する
ことができると結論づけ,そして,O,N,C,炭化水
素,水素−含ハロゲン炭素,及び/或いは,含ハロゲン
炭素のような不働態ガスをエッチングしたガスに対して
加えることを提案する。同様に,そして同目的のため
に,エッチングしたガスの化学反応度を減少することが
要望され,そして,出願人は,例えば,Cl,Br或い
はI等のような,より高い原子質量のハロゲン化物と共
にCFxを使用することを提案している。しかしなが
ら,XeF2や他のエッチングガスを使用するかもしれ
ない。側壁粗さの度合いは,択一的には,サイクル時間
を限定することによってもまた減少できる。例えば,エ
ッチングや蒸着周期を,7.5秒未満に,好ましくは,
5秒未満に限定することが望ましいということが発見さ
れている。 4.ガリウム砒素と他の材料 前回の提案は,すべて,シリコン内の細長溝形成につい
てである。出願人は,適切な不働態を使用することによ
り,ガリウム砒素や,実際には,他のエッチング可能な
材料の異方性エッチングが達成できることを認めた。例
えば,ガリウム砒素へのエッチングは,不働態ガス或い
はエッチング促進ガスを伴っているか,或いは,伴って
いないCl2を使ってなしうることが提案できる。しか
し,この手法は,上記に提案した炭素或いは炭化水素不
働態を使ってより成功しうると一般には理解されてい
る。もし,従来通りのCFx化学物質を利用するなら,
エッチング禁止化合物が生成され,そして,それは表面
粗さを増大するか或いはエッチングを限定する。ガリウ
ム砒素に対して,低圧力かつ高プラズマ密度反応室を使
用するような場合は,低温度が好ましいだろう。適切な
エッチング化学物質はすでにこの明細書の前段に記載し
てあるとおりである。
【図面の簡単な説明】
【図1】半導体を処理するための反応室の概略図であ
る。
【図2】公知技術の方法により形成された細長溝の概略
図である。
【図3】図2に示されている細長溝の開口部の拡大図で
ある。
【図4】H2 内での CH4 の割合に対するシリコンのエ
ッチング速度を示すプロットである。
【図5】異なる平均イオンエネルギーに対して,H2内で
のCH4 の割合に対するステップカバレッジを示すプロッ
トである。
【図6】ガスと図1の装置のコントロールパラメータと
の間で起こりうる種々の同期を示すダイアグラムであ
る。
【図7】図6に対応する図式であるが,択一的な動作シ
ナリオを示するものである。
【図8】部分圧力比に対するシリコンのエッチング速度
を示すプロットである。
【図9(i)】深い異方性プロファイル制御のための傾
斜のパラメータの概略的描写を示す。
【図9(ii)】図9(i) のより一般的な傾斜を示して
いる。
【図10】公知技術に従って形成された細長溝の走査型
電子顕微鏡写真である。
【図11】図11は図10の開口部の拡大図である。
【図12と図13】プロセスパラメータに急激な遷移が
生じている出願人のプロセスにより形成された細長溝に
対応する走査型電子顕微鏡写真である。
【図14】傾斜したパラメータが使用されている以外
は,図12に対応している。
【図15】種々の反応室圧力におけるRFプラテン出力
に対する蒸着比を示すプロットである。
【図16】公知技術による高アスペクト比の細長溝の走
査型電子顕微鏡写真を示す。
【図17】急激な遷移を持つ出願人プロセスを使用した
場合の対応する走査型電子顕微鏡写真を示す。
【図18】傾斜した遷移を使用している間に,出願人の
プロセスにより形成された高アスペクト比細長溝の走査
型電子顕微鏡写真である。
【図19(a)と図19(b)】図14と図18のそれ
ぞれに示されている細長溝に対して使用されたプロセス
条件を示す表である。
【図20】出願人のプロセス初期サイクルの間における
蒸着ガス及びエッチングガスの同期を示す図である。
【図21】掃気ガスを使用することによる図20に対す
る択一的な手法を示す図式である。
【符号の説明】
18…ガス導入口 19…ガス排出口
【手続補正書】
【提出日】平成9年12月3日
【手続補正1】
【補正対象書類名】明細書
【補正対象項目名】図面の簡単な説明
【補正方法】変更
【補正内容】
【図面の簡単な説明】
【図1】半導体を処理するための反応室の概略図であ
る。
【図2】公知技術の方法により形成された細長溝の概略
図である。
【図3】図2に示されている細長溝の開口部の拡大図で
ある。
【図4】H2内でのCH4の割合に対するシリコンのエ
ッチング速度を示すプロットである。
【図5】異なる平均イオンエネルギーに対して,H2内
でのCH4の割合に対するステップカバレッジを示すプ
ロットである。
【図6】ガスと図1の装置のコントロールパラメータと
の間で起こりうる種々の同期を示すダイアグラムであ
る。
【図7】図6に対応する図式であるが,択一的な動作シ
ナリオを示するものである。
【図8】部分圧力比に対するシリコンのエッチング速度
のプロットである。
【図9】(i)は,深い異方性プロファイル制御のため
のパラメータ傾斜の概略的描写を示す。(ii)は,
(i)のより一般的な傾斜を示している。
【図10】公知技術に従って形成された細長溝の走査型
電子顕微鏡写真である。
【図11】図11は図10の開口部の拡大図である。
【図12と図13】プロセスパラメータに急激な遷移が
生じている出願人のプロセスにより形成された細長溝に
対応する走査型電子顕微鏡写真である。
【図14】傾斜したパラメータが使用されている以外
は,図12に対応している。
【図15】種々の反応室内圧力におけるRFプラテン出
力に対する蒸着比を示すプロットである。
【図16】公知技術による高アスペクト比の細長溝の走
査型電子顕微鏡写真を示す。
【図17】急激な遷移を持つ出願人プロセスを使用した
場合のそれに対応する走査型電子顕微鏡写真を示す。
【図18】傾斜した遷移を使用している間に,出願人の
プロセスにより形成された高アスペクト比細長溝の走査
型電子顕微鏡写真である。
【図19】(a)は,図14の走査型電子顕微鏡写真に
より示されている細長溝を形成するために設定されたプ
ロセス条件を示している。(b)は,図18の走査型電
子顕微鏡写真により示される細長溝を形成するために設
定されたプロセス条件を示している。
【図20】出願人のプロセス初期サイクルの間における
蒸着ガス及びエッチングガスの同期を示す図式である。
【図21】掃気ガスを使用することによる図20に対す
る択一的な手法を示す図式である。
【符号の説明】 18…ガス導入口 19…ガス排出口 ─────────────────────────────────────────────────────
【手続補正書】
【提出日】平成9年12月3日
【手続補正1】
【補正対象書類名】明細書
【補正対象項目名】0001
【補正方法】変更
【補正内容】
【0001】
【発明の属する技術】本発明は,半導体基盤の表面処理
方法に関し,他の方法を除外するものではないが,特
に,エッチングした特徴部上に側壁不働態層を蒸着する
方法,及び,不働態化の方法を含む,その様な特徴部を
エッチングする方法に関するものである。
【手続補正2】
【補正対象書類名】明細書
【補正対象項目名】図20
【補正方法】変更
【補正内容】
【図20】出願人のプロセス初期サイクルの間におけ
る蒸着ガス及びエッチングガスの同期を示す図である。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ヒューマ アシュラフ イギリス国 エヌピー9 4エルティー ニューポート サウスヴィル ロード 14 (72)発明者 ババック カムゼポー イギリス国 シーヴィ3 2ピーティー コヴェントリー アッシュダウン クロー ズ 34 (72)発明者 ジャネット ホプキンズ イギリス国 エヌピー8 1ビーピー ク リックホーウェル アッパー ハウス フ ァーム 29 (72)発明者 アラン マイケル ハインズ イギリス国 シーエフ1 9エルエル カ ーディフ カシードラル ロード 68 (72)発明者 マーティン エドワード ライアン イギリス国 エヌピー8 1ビーピー ク リックホーウェル アッパー ハウス フ ァーム 29

Claims (29)

    【特許請求の範囲】
  1. 【請求項1】 反応室内の半導体基盤に特徴部のエッチ
    ングを実施するにおいて,次のパラメータ:ガス流速,
    反応室内圧力,プラズ出力,基盤バイアス,エッチング
    速度,蒸着速度,サイクル時間,エッチング/蒸着比
    率,の中で1つ或いはそれ以上のパラメータを時間と共
    に変化させ,反応イオンエッチングと化学的蒸着による
    不働態層の蒸着とを交互に実施することにより当該特徴
    部のエッチングを行う方法。
  2. 【請求項2】 変化が周期的である請求項1に記載の方
    法。
  3. 【請求項3】 単一のパラメータ或いは複数のパラメー
    タが,シヌソイド状,矩形状,鋸歯型波形状の内のいづ
    れか一つ或いはこれらの組合せの中で変化する請求項2
    に記載の方法。
  4. 【請求項4】 単一のパラメータ或いは複数のパラメー
    タの変化が傾斜している請求項1或いは請求項2に記載
    の方法。
  5. 【請求項5】 エッチングステップと蒸着ステップが重
    複する請求項1に記載のエッチングの方法。
  6. 【請求項6】 エッチングガスと蒸着ガスが混合する請
    求項1に記載の方法。
  7. 【請求項7】 エッチングと蒸着との間に及び/或いは
    蒸着とエッチングとの間に反応室の吸い出しを行うこと
    を含んでいる請求項1に記載の方法。
  8. 【請求項8】 前回ステップで使用したガス(A)の部
    分圧力をPpaで表し,次回ステップで使用するガス
    (B)の部分圧力をPpbで表し,そして,ガス(A)
    を伴ったプロセスのプロセス速度が本質的定常状態から
    落ち込むところの割合をxでそれぞれ表す場合,以下の
    式: 【数1】 が成立するまで吸い出しを続行する請求項7に記載の方
    法。
  9. 【請求項9】 パラメータの大きさが,単一サイクル内
    において或いはサイクルとサイクルとの間において変化
    しうる請求項1に記載の方法。
  10. 【請求項10】 第1サイクル或いは少なくとも最初の
    数サイクルまでの間は,エッチング速度が減少し及び/
    或いは蒸着速度が増大する請求項1に記載の方法。
  11. 【請求項11】 エッチング速度が,下記: (a)掃気ガスの導入; (b)プラズマ出力の減少; (c)サイクル時間の減少; (d)ガス流速の減少; (e)反応室内圧力の変化; の中の一つ或いはそれ以上によって減少する請求項10
    に記載の方法。
  12. 【請求項12】 蒸着速度が,下記: (a)プラズマ出力の増加; (b)サイクル時間の増加; (c)ガス流速の増加; (d)蒸着種類の密度の増加; (e)反応室内圧力の変化; の中の一つ或いはそれ以上によって促進する請求項10
    或いは請求項11に記載の方法。
  13. 【請求項13】 反応室内圧力が特徴部の深さの関数で
    減少する請求項1に記載の方法。
  14. 【請求項14】 基盤バイアスが特徴部の深さの関数で
    増大する請求項1に記載の方法。
  15. 【請求項15】 エッチングを行う以前に開口部を有す
    るマスクを蒸着することを含んでいる請求項1に記載の
    方法。
  16. 【請求項16】 前記マスクが炭素或いは炭化水素によ
    り向上され,及び/或いは,当該マスク自身が炭素或い
    は炭化水素として蒸着される請求項15に記載の方法。
  17. 【請求項17】 エッチング及び/或いは蒸着が7.5
    秒未満或いは5秒未満の周期を有する請求項1に記載の
    方法。
  18. 【請求項18】 エッチングガスがCFx或いはXeF
    2である請求項1に記載の方法。
  19. 【請求項19】 エッチングガスが一つ或いはそれ以上
    のより高い原子質量ハロゲン化物を含んでいる請求項1
    に記載の方法。
  20. 【請求項20】 蒸着の間に,反応室内圧力が減少し及
    び/或いは流速が増加する請求項1に記載の方法。
  21. 【請求項21】 プラズマによって平衡まで加熱される
    ように,基盤を反応室内の支持上に自由な状態で置く請
    求項1に記載の方法。
  22. 【請求項22】 基盤を−100゜Cと100゜Cとの
    間の温度で維持する請求項1に記載の方法。
  23. 【請求項23】 基盤が,GaAs, GaP, GaN, GaSb, SiG
    e, Ge, Mo, W 及びTaの内のいづれか一つである請求項
    1に記載の方法。
  24. 【請求項24】 エッチングガスが,H或いは不活性ガ
    スを伴うか或いは伴わない Cl2, BCl3, SiCl4, SiCl2H
    2, CHxCly, CxCly 及び CHx の中の一つ或いは組合せ
    である請求項23に記載の方法。
  25. 【請求項25】 蒸着ガスが,Hを伴うか或いは伴わな
    い CHxHy, CHx,CHxCly 及び CxClyの中の一つ或いは組
    合せであるか,或いは,不活性ガスである請求項23或
    いは請求項24に記載の方法。
  26. 【請求項26】 蒸着ガスが,炭素層或いは炭化水素層
    を蒸着するための炭化水素である請求項1に記載の方
    法。
  27. 【請求項27】 蒸着ガスが,O,N,F因子を含み,
    及び/或いは,H2と混合する請求項26に記載の方
    法。
  28. 【請求項28】 蒸着した層に対して窒素及び/或いは
    フッ素でのドープ処理を行う請求項27に記載の方法。
  29. 【請求項29】 半導体基盤に特徴部をエッチングする
    ところにおいて,少なくとも第1サイクルの間は,蒸着
    速度を増加し及び/或いはエッチング速度を減少し,エ
    ッチングと不働態層の蒸着とを交互に実施することを含
    んでいる当該特徴部のエッチングを行う方法。
JP20667297A 1996-08-01 1997-07-31 半導体基板の表面処理の方法 Expired - Lifetime JP3540129B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
GB9616223.5 1996-08-01
GBGB9616223.5A GB9616223D0 (en) 1996-08-01 1996-08-01 Method of surface treatment of semiconductor substrates
GBGB9616224.3A GB9616224D0 (en) 1996-08-01 1996-08-01 Method of surface treatment of semiconductor substrates
GB9616224.3 1996-08-01

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2003423892A Division JP4550408B2 (ja) 1996-08-01 2003-12-19 半導体基板の表面処理方法

Publications (2)

Publication Number Publication Date
JPH10135192A true JPH10135192A (ja) 1998-05-22
JP3540129B2 JP3540129B2 (ja) 2004-07-07

Family

ID=26309796

Family Applications (2)

Application Number Title Priority Date Filing Date
JP20667297A Expired - Lifetime JP3540129B2 (ja) 1996-08-01 1997-07-31 半導体基板の表面処理の方法
JP2003423892A Expired - Lifetime JP4550408B2 (ja) 1996-08-01 2003-12-19 半導体基板の表面処理方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2003423892A Expired - Lifetime JP4550408B2 (ja) 1996-08-01 2003-12-19 半導体基板の表面処理方法

Country Status (5)

Country Link
US (1) US6051503A (ja)
EP (2) EP1357584A3 (ja)
JP (2) JP3540129B2 (ja)
AT (1) ATE251341T1 (ja)
DE (1) DE69725245T2 (ja)

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1999046810A1 (fr) * 1998-03-12 1999-09-16 Hitachi, Ltd. Procede permettant de traiter la surface d'un echantillon
JP2001505001A (ja) * 1997-08-21 2001-04-10 ローベルト ボツシユ ゲゼルシヤフト ミツト ベシユレンクテル ハフツング シリコンの異方性エッチングのための方法
JP2002543611A (ja) * 1999-04-30 2002-12-17 ローベルト ボツシユ ゲゼルシヤフト ミツト ベシユレンクテル ハフツング 半導体の異方性プラズマエッチング方法
JP2005086079A (ja) * 2003-09-10 2005-03-31 Denso Corp 半導体装置の製造方法
JP2005515631A (ja) * 2002-01-03 2005-05-26 アルカテル シリコンに高アスペクト比の異方性エッチングを行う方法および機器
JP2006507664A (ja) * 2002-08-16 2006-03-02 ウナクシス ユーエスエイ、インコーポレイテッド 任意ガススイッチング法を用いた高アスペクト比/深いエッチングの側壁平滑化
JP2006523041A (ja) * 2003-04-07 2006-10-05 ウナクシス ユーエスエイ、インコーポレイテッド 時分割多重方式(tdm)エッチング・プロセスにおけるプロセス制御のための方法および装置
JP2007012763A (ja) * 2005-06-29 2007-01-18 Canon Marketing Japan Inc エッチング方法およびエッチング装置
KR100829288B1 (ko) * 1998-12-11 2008-05-13 서페이스 테크놀로지 시스템스 피엘씨 플라즈마 처리장치
JP2008205436A (ja) * 2007-01-26 2008-09-04 Toshiba Corp 微細構造体の製造方法
US7563379B2 (en) 2003-12-15 2009-07-21 Japan Aviation Electronics Industry Limited Dry etching method and photonic crystal device fabricated by use of the same
JP2009239054A (ja) * 2008-03-27 2009-10-15 Sumitomo Precision Prod Co Ltd シリコン構造体の製造方法及びその製造装置並びにその製造プログラム
JP2013535074A (ja) * 2010-06-11 2013-09-09 バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド 基板プラズマ処理技術
KR101411797B1 (ko) * 2006-10-10 2014-06-24 램 리써치 코포레이션 탈불소화 프로세스
JP2017112350A (ja) * 2015-11-04 2017-06-22 ラム リサーチ コーポレーションLam Research Corporation プラズマ電力レベルに応じて二様態プロセスガス組成を使用するプラズマエッチングのための方法及びシステム
KR20180062404A (ko) * 2016-11-30 2018-06-08 도쿄엘렉트론가부시키가이샤 플라즈마 에칭 방법
JP2020021765A (ja) * 2018-07-30 2020-02-06 株式会社アルバック 半導体素子の製造方法

Families Citing this family (211)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6849471B2 (en) 2003-03-28 2005-02-01 Reflectivity, Inc. Barrier layers for microelectromechanical systems
US6969635B2 (en) 2000-12-07 2005-11-29 Reflectivity, Inc. Methods for depositing, releasing and packaging micro-electromechanical devices on wafer substrates
GB9616225D0 (en) * 1996-08-01 1996-09-11 Surface Tech Sys Ltd Method of surface treatment of semiconductor substrates
US6749717B1 (en) * 1997-02-04 2004-06-15 Micron Technology, Inc. Device for in-situ cleaning of an inductively-coupled plasma chambers
US6194038B1 (en) 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
US6642149B2 (en) * 1998-09-16 2003-11-04 Tokyo Electron Limited Plasma processing method
JP4153606B2 (ja) 1998-10-22 2008-09-24 東京エレクトロン株式会社 プラズマエッチング方法およびプラズマエッチング装置
JP4163857B2 (ja) * 1998-11-04 2008-10-08 サーフィス テクノロジー システムズ ピーエルシー 基板をエッチングするための方法と装置
US6417013B1 (en) 1999-01-29 2002-07-09 Plasma-Therm, Inc. Morphed processing of semiconductor devices
GB2348399A (en) * 1999-03-31 2000-10-04 Univ Glasgow Reactive ion etching with control of etch gas flow rate, pressure and rf power
US6383938B2 (en) 1999-04-21 2002-05-07 Alcatel Method of anisotropic etching of substrates
DE19927806A1 (de) 1999-06-18 2001-01-04 Bosch Gmbh Robert Vorrichtung und Verfahren zum Hochratenätzen eines Substrates mit einer Plasmaätzanlage und Vorrichtung und Verfahren zum Zünden eines Plasmas und Hochregeln oder Pulsen der Plasmaleistung
DE19930188A1 (de) * 1999-06-30 2001-01-04 Infineon Technologies Ag Verfahren zur Herstellung von Gräben für Speicherkondensatoren von DRAM-Halbleiterspeichern
US20030015496A1 (en) * 1999-07-22 2003-01-23 Sujit Sharan Plasma etching process
GB9917305D0 (en) * 1999-07-23 1999-09-22 Surface Tech Sys Ltd Method and apparatus for anisotropic etching
EP1077475A3 (en) 1999-08-11 2003-04-02 Applied Materials, Inc. Method of micromachining a multi-part cavity
US6291357B1 (en) * 1999-10-06 2001-09-18 Applied Materials, Inc. Method and apparatus for etching a substrate with reduced microloading
JP2001110784A (ja) * 1999-10-12 2001-04-20 Hitachi Ltd プラズマ処理装置および処理方法
US6949202B1 (en) 1999-10-26 2005-09-27 Reflectivity, Inc Apparatus and method for flow of process gas in an ultra-clean environment
US6960305B2 (en) 1999-10-26 2005-11-01 Reflectivity, Inc Methods for forming and releasing microelectromechanical structures
US6290864B1 (en) 1999-10-26 2001-09-18 Reflectivity, Inc. Fluoride gas etching of silicon with improved selectivity
US6942811B2 (en) 1999-10-26 2005-09-13 Reflectivity, Inc Method for achieving improved selectivity in an etching process
US7041224B2 (en) 1999-10-26 2006-05-09 Reflectivity, Inc. Method for vapor phase etching of silicon
US6890863B1 (en) 2000-04-27 2005-05-10 Micron Technology, Inc. Etchant and method of use
JP3525862B2 (ja) * 2000-05-22 2004-05-10 トヨタ自動車株式会社 センサ素子及びセンサ装置
US7019376B2 (en) 2000-08-11 2006-03-28 Reflectivity, Inc Micromirror array device with a small pitch size
EP1311310A4 (en) * 2000-08-21 2004-11-24 Cleveland Clinic Foundation MICRO NEEDLE NETWORK AND MANUFACTURING METHOD
US6784108B1 (en) * 2000-08-31 2004-08-31 Micron Technology, Inc. Gas pulsing for etch profile control
US6402301B1 (en) 2000-10-27 2002-06-11 Lexmark International, Inc Ink jet printheads and methods therefor
WO2002075801A2 (en) * 2000-11-07 2002-09-26 Tokyo Electron Limited Method of fabricating oxides with low defect densities
US6743732B1 (en) * 2001-01-26 2004-06-01 Taiwan Semiconductor Manufacturing Company Organic low K dielectric etch with NH3 chemistry
US6451673B1 (en) * 2001-02-15 2002-09-17 Advanced Micro Devices, Inc. Carrier gas modification for preservation of mask layer during plasma etching
US20020139771A1 (en) * 2001-02-22 2002-10-03 Ping Jiang Gas switching during an etch process to modulate the characteristics of the etch
US20020139477A1 (en) 2001-03-30 2002-10-03 Lam Research Corporation Plasma processing method and apparatus with control of plasma excitation power
US20020158046A1 (en) * 2001-04-27 2002-10-31 Chi Wu Formation of an optical component
US20020158047A1 (en) * 2001-04-27 2002-10-31 Yiqiong Wang Formation of an optical component having smooth sidewalls
US6635556B1 (en) * 2001-05-17 2003-10-21 Matrix Semiconductor, Inc. Method of preventing autodoping
AU2002303842A1 (en) * 2001-05-22 2002-12-03 Reflectivity, Inc. A method for making a micromechanical device by removing a sacrificial layer with multiple sequential etchants
US6555166B2 (en) * 2001-06-29 2003-04-29 International Business Machines Method for reducing the microloading effect in a chemical vapor deposition reactor
US7067849B2 (en) 2001-07-17 2006-06-27 Lg Electronics Inc. Diode having high brightness and method thereof
US6555480B2 (en) 2001-07-31 2003-04-29 Hewlett-Packard Development Company, L.P. Substrate with fluidic channel and method of manufacturing
US6890859B1 (en) * 2001-08-10 2005-05-10 Cypress Semiconductor Corporation Methods of forming semiconductor structures having reduced defects, and articles and devices formed thereby
US7189332B2 (en) 2001-09-17 2007-03-13 Texas Instruments Incorporated Apparatus and method for detecting an endpoint in a vapor phase etch
JP4209774B2 (ja) * 2001-09-28 2009-01-14 住友精密工業株式会社 シリコン基板のエッチング方法およびエッチング装置
US7115516B2 (en) * 2001-10-09 2006-10-03 Applied Materials, Inc. Method of depositing a material layer
US6949395B2 (en) * 2001-10-22 2005-09-27 Oriol, Inc. Method of making diode having reflective layer
US7148520B2 (en) 2001-10-26 2006-12-12 Lg Electronics Inc. Diode having vertical structure and method of manufacturing the same
US6906845B2 (en) * 2001-11-26 2005-06-14 Samsung Electronics Co., Ltd. Micro-mechanical device having anti-stiction layer and method of manufacturing the device
US6965468B2 (en) 2003-07-03 2005-11-15 Reflectivity, Inc Micromirror array having reduced gap between adjacent micromirrors of the micromirror array
US7027200B2 (en) 2002-03-22 2006-04-11 Reflectivity, Inc Etching method used in fabrications of microstructures
US6979652B2 (en) * 2002-04-08 2005-12-27 Applied Materials, Inc. Etching multi-shaped openings in silicon
US6818562B2 (en) 2002-04-19 2004-11-16 Applied Materials Inc Method and apparatus for tuning an RF matching network in a plasma enhanced semiconductor wafer processing system
US6846746B2 (en) * 2002-05-01 2005-01-25 Applied Materials, Inc. Method of smoothing a trench sidewall after a deep trench silicon etch process
US6849554B2 (en) 2002-05-01 2005-02-01 Applied Materials, Inc. Method of etching a deep trench having a tapered profile in silicon
US6759340B2 (en) 2002-05-09 2004-07-06 Padmapani C. Nallan Method of etching a trench in a silicon-on-insulator (SOI) structure
US6905626B2 (en) * 2002-07-24 2005-06-14 Unaxis Usa Inc. Notch-free etching of high aspect SOI structures using alternating deposition and etching and pulsed plasma
US7074723B2 (en) * 2002-08-02 2006-07-11 Applied Materials, Inc. Method of plasma etching a deeply recessed feature in a substrate using a plasma source gas modulated etchant system
US6921490B1 (en) 2002-09-06 2005-07-26 Kotura, Inc. Optical component having waveguides extending from a common region
US6946362B2 (en) * 2002-09-06 2005-09-20 Hewlett-Packard Development Company, L.P. Method and apparatus for forming high surface area material films and membranes
JP4694201B2 (ja) 2002-09-20 2011-06-08 インテグレイテッド ディーエヌエイ テクノロジーズ インコーポレイテッド アントラキノン消光色素、それらの製造方法及び使用
US6902867B2 (en) * 2002-10-02 2005-06-07 Lexmark International, Inc. Ink jet printheads and methods therefor
US6833325B2 (en) * 2002-10-11 2004-12-21 Lam Research Corporation Method for plasma etching performance enhancement
US7169695B2 (en) 2002-10-11 2007-01-30 Lam Research Corporation Method for forming a dual damascene structure
SG152920A1 (en) * 2002-10-11 2009-06-29 Lam Res Corp A method for plasma etching performance enhancement
US7977390B2 (en) 2002-10-11 2011-07-12 Lam Research Corporation Method for plasma etching performance enhancement
DE10247913A1 (de) * 2002-10-14 2004-04-22 Robert Bosch Gmbh Plasmaanlage und Verfahren zum anisotropen Einätzen von Strukturen in ein Substrat
US6913942B2 (en) 2003-03-28 2005-07-05 Reflectvity, Inc Sacrificial layers for use in fabrications of microelectromechanical devices
US6916746B1 (en) * 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
US7294580B2 (en) * 2003-04-09 2007-11-13 Lam Research Corporation Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition
DE10318568A1 (de) * 2003-04-15 2004-11-25 Technische Universität Dresden Siliziumsubstrat mit positiven Ätzprofilen mit definiertem Böschungswinkel und Verfahren zur Herstellung
US20040224524A1 (en) * 2003-05-09 2004-11-11 Applied Materials, Inc. Maintaining the dimensions of features being etched on a lithographic mask
US6980347B2 (en) 2003-07-03 2005-12-27 Reflectivity, Inc Micromirror having reduced space between hinge and mirror plate of the micromirror
US7645704B2 (en) 2003-09-17 2010-01-12 Texas Instruments Incorporated Methods and apparatus of etch process control in fabrications of microstructures
US7135410B2 (en) * 2003-09-26 2006-11-14 Lam Research Corporation Etch with ramping
US20050112891A1 (en) * 2003-10-21 2005-05-26 David Johnson Notch-free etching of high aspect SOI structures using a time division multiplex process and RF bias modulation
EP1690290A4 (en) * 2003-12-04 2008-10-22 Bae Systems Information GAN-BASED PERMEABLER BASIC TRANSISTOR AND MANUFACTURING METHOD
US20050211668A1 (en) * 2004-03-26 2005-09-29 Lam Research Corporation Methods of processing a substrate with minimal scalloping
JP4416569B2 (ja) * 2004-05-24 2010-02-17 キヤノン株式会社 堆積膜形成方法および堆積膜形成装置
US7053003B2 (en) * 2004-10-27 2006-05-30 Lam Research Corporation Photoresist conditioning with hydrogen ramping
JP2006173293A (ja) * 2004-12-15 2006-06-29 Toshiba Corp 半導体装置の製造方法
US7459100B2 (en) * 2004-12-22 2008-12-02 Lam Research Corporation Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate
US20060168794A1 (en) * 2005-01-28 2006-08-03 Hitachi Global Storage Technologies Method to control mask profile for read sensor definition
US20070026682A1 (en) * 2005-02-10 2007-02-01 Hochberg Michael J Method for advanced time-multiplexed etching
US7241683B2 (en) 2005-03-08 2007-07-10 Lam Research Corporation Stabilized photoresist structure for etching process
US7491647B2 (en) * 2005-03-08 2009-02-17 Lam Research Corporation Etch with striation control
GB0508706D0 (en) 2005-04-28 2005-06-08 Oxford Instr Plasma Technology Method of generating and using a plasma processing control program
FR2887073B1 (fr) * 2005-06-14 2007-08-10 Alcatel Sa Procede de pilotage de la pression dans une chambre de procede
US7425507B2 (en) * 2005-06-28 2008-09-16 Micron Technology, Inc. Semiconductor substrates including vias of nonuniform cross section, methods of forming and associated structures
EP1804281B1 (en) 2005-12-28 2011-12-14 STMicroelectronics Srl Process for digging a deep trench in a semiconductor body and semiconductor body so obtained
US7910489B2 (en) 2006-02-17 2011-03-22 Lam Research Corporation Infinitely selective photoresist mask etch
US7341953B2 (en) * 2006-04-17 2008-03-11 Lam Research Corporation Mask profile control for controlling feature profile
US7829465B2 (en) * 2006-08-09 2010-11-09 Shouliang Lai Method for plasma etching of positively sloped structures
DE102006043389A1 (de) * 2006-09-06 2008-03-27 Technische Universität Dresden Verfahren zum Plasmaätzen zur Erzeugung positiver Ätzprofile in Siliziumsubstraten
US20080239428A1 (en) * 2007-04-02 2008-10-02 Inphase Technologies, Inc. Non-ft plane angular filters
US20080284835A1 (en) * 2007-05-15 2008-11-20 Panchawagh Hrishikesh V Integral, micromachined gutter for inkjet printhead
US7758155B2 (en) * 2007-05-15 2010-07-20 Eastman Kodak Company Monolithic printhead with multiple rows of inkjet orifices
US20090033727A1 (en) * 2007-07-31 2009-02-05 Anagnostopoulos Constantine N Lateral flow device printhead with internal gutter
US9059116B2 (en) 2007-11-29 2015-06-16 Lam Research Corporation Etch with pulsed bias
WO2009073361A1 (en) 2007-11-29 2009-06-11 Lam Research Corporation Pulsed bias plasma process to control microloading
US8585179B2 (en) * 2008-03-28 2013-11-19 Eastman Kodak Company Fluid flow in microfluidic devices
JP5308080B2 (ja) * 2008-06-18 2013-10-09 Sppテクノロジーズ株式会社 シリコン構造体の製造方法及びその製造装置並びにその製造プログラム
US8343878B2 (en) * 2008-12-19 2013-01-01 The Board Of Trustees Of The University Of Illinois Method of plasma etching GA-based compound semiconductors
KR101795658B1 (ko) * 2009-01-31 2017-11-08 어플라이드 머티어리얼스, 인코포레이티드 에칭을 위한 방법 및 장치
JP5532394B2 (ja) 2009-10-15 2014-06-25 セイコーエプソン株式会社 半導体装置及び回路基板並びに電子機器
CN102135733B (zh) * 2010-01-27 2012-12-05 中芯国际集成电路制造(上海)有限公司 光阻去除方法
US8384183B2 (en) * 2010-02-19 2013-02-26 Allegro Microsystems, Inc. Integrated hall effect element having a germanium hall plate
JP5223878B2 (ja) 2010-03-30 2013-06-26 株式会社デンソー 半導体装置の製造方法
US8642448B2 (en) 2010-06-22 2014-02-04 Applied Materials, Inc. Wafer dicing using femtosecond-based laser and plasma etch
KR20120000612A (ko) * 2010-06-28 2012-01-04 삼성전자주식회사 반도체 장치의 제조 방법
US8133349B1 (en) 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
US8802545B2 (en) 2011-03-14 2014-08-12 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US9105705B2 (en) * 2011-03-14 2015-08-11 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US8609548B2 (en) * 2011-06-06 2013-12-17 Lam Research Corporation Method for providing high etch rate
US8440473B2 (en) 2011-06-06 2013-05-14 Lam Research Corporation Use of spectrum to synchronize RF switching with gas switching during etch
US8912077B2 (en) 2011-06-15 2014-12-16 Applied Materials, Inc. Hybrid laser and plasma etch wafer dicing using substrate carrier
US8703581B2 (en) 2011-06-15 2014-04-22 Applied Materials, Inc. Water soluble mask for substrate dicing by laser and plasma etch
US8598016B2 (en) * 2011-06-15 2013-12-03 Applied Materials, Inc. In-situ deposited mask layer for device singulation by laser scribing and plasma etch
US9129904B2 (en) 2011-06-15 2015-09-08 Applied Materials, Inc. Wafer dicing using pulse train laser with multiple-pulse bursts and plasma etch
US8507363B2 (en) * 2011-06-15 2013-08-13 Applied Materials, Inc. Laser and plasma etch wafer dicing using water-soluble die attach film
US9029242B2 (en) 2011-06-15 2015-05-12 Applied Materials, Inc. Damage isolation by shaped beam delivery in laser scribing process
US8557683B2 (en) 2011-06-15 2013-10-15 Applied Materials, Inc. Multi-step and asymmetrically shaped laser beam scribing
US8759197B2 (en) 2011-06-15 2014-06-24 Applied Materials, Inc. Multi-step and asymmetrically shaped laser beam scribing
JP5981106B2 (ja) * 2011-07-12 2016-08-31 東京エレクトロン株式会社 プラズマエッチング方法
JP6040253B2 (ja) 2011-10-20 2016-12-07 シーウェア システムズSi−Ware Systems 3d湾曲光素子を含む集積化されたモノリシック光ベンチ、及びその作製方法
CN102431960A (zh) * 2011-12-07 2012-05-02 华中科技大学 一种硅通孔刻蚀方法
CN103159163B (zh) * 2011-12-19 2016-06-08 北京北方微电子基地设备工艺研究中心有限责任公司 基片刻蚀方法及基片处理设备
GB2499816A (en) 2012-02-29 2013-09-04 Oxford Instr Nanotechnology Tools Ltd Controlling deposition and etching in a chamber with fine time control of parameters and gas flow
US8946057B2 (en) 2012-04-24 2015-02-03 Applied Materials, Inc. Laser and plasma etch wafer dicing using UV-curable adhesive film
JP5713043B2 (ja) 2012-05-07 2015-05-07 株式会社デンソー 半導体基板の製造方法
US9048309B2 (en) 2012-07-10 2015-06-02 Applied Materials, Inc. Uniform masking for wafer dicing using laser and plasma etch
US8859397B2 (en) 2012-07-13 2014-10-14 Applied Materials, Inc. Method of coating water soluble mask for laser scribing and plasma etch
US8940619B2 (en) 2012-07-13 2015-01-27 Applied Materials, Inc. Method of diced wafer transportation
CN102832096B (zh) * 2012-09-20 2015-11-25 中微半导体设备(上海)有限公司 一种用于真空处理装置的气体供应装置及其气体供应及切换方法
US9252057B2 (en) 2012-10-17 2016-02-02 Applied Materials, Inc. Laser and plasma etch wafer dicing with partial pre-curing of UV release dicing tape for film frame wafer application
US8975162B2 (en) 2012-12-20 2015-03-10 Applied Materials, Inc. Wafer dicing from wafer backside
US9236305B2 (en) 2013-01-25 2016-01-12 Applied Materials, Inc. Wafer dicing with etch chamber shield ring for film frame wafer applications
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
WO2014159464A1 (en) 2013-03-14 2014-10-02 Applied Materials, Inc. Multi-layer mask including non-photodefinable laser energy absorbing layer for substrate dicing by laser and plasma etch
JP6180824B2 (ja) * 2013-07-02 2017-08-16 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
CN103400800B (zh) * 2013-08-14 2015-09-30 中微半导体设备(上海)有限公司 Bosch刻蚀方法
US9105710B2 (en) 2013-08-30 2015-08-11 Applied Materials, Inc. Wafer dicing method for improving die packaging quality
US9224650B2 (en) 2013-09-19 2015-12-29 Applied Materials, Inc. Wafer dicing from wafer backside and front side
US9460966B2 (en) 2013-10-10 2016-10-04 Applied Materials, Inc. Method and apparatus for dicing wafers having thick passivation polymer layer
US9041198B2 (en) 2013-10-22 2015-05-26 Applied Materials, Inc. Maskless hybrid laser scribing and plasma etching wafer dicing process
US9054050B2 (en) * 2013-11-06 2015-06-09 Tokyo Electron Limited Method for deep silicon etching using gas pulsing
US9312177B2 (en) 2013-12-06 2016-04-12 Applied Materials, Inc. Screen print mask for laser scribe and plasma etch wafer dicing process
US9299614B2 (en) 2013-12-10 2016-03-29 Applied Materials, Inc. Method and carrier for dicing a wafer
US9293304B2 (en) 2013-12-17 2016-03-22 Applied Materials, Inc. Plasma thermal shield for heat dissipation in plasma chamber
US9018079B1 (en) 2014-01-29 2015-04-28 Applied Materials, Inc. Wafer dicing using hybrid laser scribing and plasma etch approach with intermediate reactive post mask-opening clean
US9299611B2 (en) 2014-01-29 2016-03-29 Applied Materials, Inc. Method of wafer dicing using hybrid laser scribing and plasma etch approach with mask plasma treatment for improved mask etch resistance
US8991329B1 (en) 2014-01-31 2015-03-31 Applied Materials, Inc. Wafer coating
US9236284B2 (en) 2014-01-31 2016-01-12 Applied Materials, Inc. Cooled tape frame lift and low contact shadow ring for plasma heat isolation
JP6158111B2 (ja) * 2014-02-12 2017-07-05 東京エレクトロン株式会社 ガス供給方法及び半導体製造装置
US9275902B2 (en) 2014-03-26 2016-03-01 Applied Materials, Inc. Dicing processes for thin wafers with bumps on wafer backside
US9076860B1 (en) 2014-04-04 2015-07-07 Applied Materials, Inc. Residue removal from singulated die sidewall
CN103950887B (zh) * 2014-04-09 2016-01-20 华中科技大学 一种深硅刻蚀方法
US8975163B1 (en) 2014-04-10 2015-03-10 Applied Materials, Inc. Laser-dominated laser scribing and plasma etch hybrid wafer dicing
US8932939B1 (en) 2014-04-14 2015-01-13 Applied Materials, Inc. Water soluble mask formation by dry film lamination
US8912078B1 (en) 2014-04-16 2014-12-16 Applied Materials, Inc. Dicing wafers having solder bumps on wafer backside
US8999816B1 (en) 2014-04-18 2015-04-07 Applied Materials, Inc. Pre-patterned dry laminate mask for wafer dicing processes
US9159621B1 (en) 2014-04-29 2015-10-13 Applied Materials, Inc. Dicing tape protection for wafer dicing using laser scribe process
US8912075B1 (en) 2014-04-29 2014-12-16 Applied Materials, Inc. Wafer edge warp supression for thin wafer supported by tape frame
US9711365B2 (en) 2014-05-02 2017-07-18 International Business Machines Corporation Etch rate enhancement for a silicon etch process through etch chamber pretreatment
US8980727B1 (en) 2014-05-07 2015-03-17 Applied Materials, Inc. Substrate patterning using hybrid laser scribing and plasma etching processing schemes
US9112050B1 (en) 2014-05-13 2015-08-18 Applied Materials, Inc. Dicing tape thermal management by wafer frame support ring cooling during plasma dicing
US9034771B1 (en) 2014-05-23 2015-05-19 Applied Materials, Inc. Cooling pedestal for dicing tape thermal management during plasma dicing
US9165832B1 (en) 2014-06-30 2015-10-20 Applied Materials, Inc. Method of die singulation using laser ablation and induction of internal defects with a laser
US9142459B1 (en) 2014-06-30 2015-09-22 Applied Materials, Inc. Wafer dicing using hybrid laser scribing and plasma etch approach with mask application by vacuum lamination
US9130057B1 (en) 2014-06-30 2015-09-08 Applied Materials, Inc. Hybrid dicing process using a blade and laser
US9093518B1 (en) 2014-06-30 2015-07-28 Applied Materials, Inc. Singulation of wafers having wafer-level underfill
US9349648B2 (en) 2014-07-22 2016-05-24 Applied Materials, Inc. Hybrid wafer dicing approach using a rectangular shaped two-dimensional top hat laser beam profile or a linear shaped one-dimensional top hat laser beam profile laser scribing process and plasma etch process
US9196498B1 (en) 2014-08-12 2015-11-24 Applied Materials, Inc. Stationary actively-cooled shadow ring for heat dissipation in plasma chamber
US9117868B1 (en) 2014-08-12 2015-08-25 Applied Materials, Inc. Bipolar electrostatic chuck for dicing tape thermal management during plasma dicing
US9281244B1 (en) 2014-09-18 2016-03-08 Applied Materials, Inc. Hybrid wafer dicing approach using an adaptive optics-controlled laser scribing process and plasma etch process
US9177861B1 (en) 2014-09-19 2015-11-03 Applied Materials, Inc. Hybrid wafer dicing approach using laser scribing process based on an elliptical laser beam profile or a spatio-temporal controlled laser beam profile
US11195756B2 (en) 2014-09-19 2021-12-07 Applied Materials, Inc. Proximity contact cover ring for plasma dicing
US9196536B1 (en) 2014-09-25 2015-11-24 Applied Materials, Inc. Hybrid wafer dicing approach using a phase modulated laser beam profile laser scribing process and plasma etch process
US9130056B1 (en) 2014-10-03 2015-09-08 Applied Materials, Inc. Bi-layer wafer-level underfill mask for wafer dicing and approaches for performing wafer dicing
DE102014114613B4 (de) 2014-10-08 2023-10-12 OSRAM Opto Semiconductors Gesellschaft mit beschränkter Haftung Strahlungsemittierender Halbleiterchip, Verfahren zur Herstellung einer Vielzahl an strahlungsemittierenden Halbleiterchips und optoelektronisches Bauelement mit einem strahlungsemittierenden Halbleiterchip
US9245803B1 (en) 2014-10-17 2016-01-26 Applied Materials, Inc. Hybrid wafer dicing approach using a bessel beam shaper laser scribing process and plasma etch process
US10692765B2 (en) 2014-11-07 2020-06-23 Applied Materials, Inc. Transfer arm for film frame substrate handling during plasma singulation of wafers
GB201420935D0 (en) 2014-11-25 2015-01-07 Spts Technologies Ltd Plasma etching apparatus
CN104465336B (zh) * 2014-12-02 2017-05-17 国家纳米科学中心 一种低频bosch深硅刻蚀方法
US9330977B1 (en) 2015-01-05 2016-05-03 Applied Materials, Inc. Hybrid wafer dicing approach using a galvo scanner and linear stage hybrid motion laser scribing process and plasma etch process
US9159624B1 (en) 2015-01-05 2015-10-13 Applied Materials, Inc. Vacuum lamination of polymeric dry films for wafer dicing using hybrid laser scribing and plasma etch approach
US9355907B1 (en) 2015-01-05 2016-05-31 Applied Materials, Inc. Hybrid wafer dicing approach using a line shaped laser beam profile laser scribing process and plasma etch process
US9601375B2 (en) 2015-04-27 2017-03-21 Applied Materials, Inc. UV-cure pre-treatment of carrier film for wafer dicing using hybrid laser scribing and plasma etch approach
US9478455B1 (en) 2015-06-12 2016-10-25 Applied Materials, Inc. Thermal pyrolytic graphite shadow ring assembly for heat dissipation in plasma chamber
US9721839B2 (en) 2015-06-12 2017-08-01 Applied Materials, Inc. Etch-resistant water soluble mask for hybrid wafer dicing using laser scribing and plasma etch
US9972575B2 (en) 2016-03-03 2018-05-15 Applied Materials, Inc. Hybrid wafer dicing approach using a split beam laser scribing process and plasma etch process
US9852997B2 (en) 2016-03-25 2017-12-26 Applied Materials, Inc. Hybrid wafer dicing approach using a rotating beam laser scribing process and plasma etch process
US9793132B1 (en) 2016-05-13 2017-10-17 Applied Materials, Inc. Etch mask for hybrid laser scribing and plasma etch wafer singulation process
GB201608926D0 (en) * 2016-05-20 2016-07-06 Spts Technologies Ltd Method for plasma etching a workpiece
JP2018110156A (ja) 2016-12-28 2018-07-12 キヤノン株式会社 半導体装置、その製造方法およびカメラ
US11158540B2 (en) 2017-05-26 2021-10-26 Applied Materials, Inc. Light-absorbing mask for hybrid laser scribing and plasma etch wafer singulation process
US10363629B2 (en) 2017-06-01 2019-07-30 Applied Materials, Inc. Mitigation of particle contamination for wafer dicing processes
US10535561B2 (en) 2018-03-12 2020-01-14 Applied Materials, Inc. Hybrid wafer dicing approach using a multiple pass laser scribing process and plasma etch process
GB201810387D0 (en) 2018-06-25 2018-08-08 Spts Technologies Ltd Method of plasma etching
JP2020009840A (ja) * 2018-07-04 2020-01-16 東京エレクトロン株式会社 エッチング方法及び基板処理装置
US11355394B2 (en) 2018-09-13 2022-06-07 Applied Materials, Inc. Wafer dicing using hybrid laser scribing and plasma etch approach with intermediate breakthrough treatment
DE102019116019A1 (de) * 2019-06-12 2020-12-17 X-Fab Semiconductor Foundries Gmbh Herstellung von Bauelementen in Substraten über einen mehrstufigen Ätzprozess
US11011424B2 (en) 2019-08-06 2021-05-18 Applied Materials, Inc. Hybrid wafer dicing approach using a spatially multi-focused laser beam laser scribing process and plasma etch process
US11342226B2 (en) 2019-08-13 2022-05-24 Applied Materials, Inc. Hybrid wafer dicing approach using an actively-focused laser beam laser scribing process and plasma etch process
US10903121B1 (en) 2019-08-14 2021-01-26 Applied Materials, Inc. Hybrid wafer dicing approach using a uniform rotating beam laser scribing process and plasma etch process
US20210118734A1 (en) * 2019-10-22 2021-04-22 Semiconductor Components Industries, Llc Plasma-singulated, contaminant-reduced semiconductor die
US11600492B2 (en) 2019-12-10 2023-03-07 Applied Materials, Inc. Electrostatic chuck with reduced current leakage for hybrid laser scribing and plasma etch wafer singulation process
US11177137B2 (en) * 2020-01-17 2021-11-16 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer etching process and methods thereof
CN111257596B (zh) * 2020-02-25 2021-09-14 西南交通大学 一种扫描探针显微镜狭小实验腔环境气氛精确控制装置
US11373877B2 (en) 2020-04-13 2022-06-28 Applied Materials, Inc. Methods and apparatus for in-situ protection liners for high aspect ratio reactive ion etching
US11262506B1 (en) * 2020-08-07 2022-03-01 Advanced Semiconductor Engineering, Inc. Recessed portion in a substrate and method of forming the same
CN113140455A (zh) * 2021-04-14 2021-07-20 北京北方华创微电子装备有限公司 倾斜通孔的刻蚀方法

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0048175B1 (en) * 1980-09-17 1986-04-23 Hitachi, Ltd. Semiconductor device and method of manufacturing the same
JPS6050923A (ja) * 1983-08-31 1985-03-22 Hitachi Ltd プラズマ表面処理方法
US4599135A (en) * 1983-09-30 1986-07-08 Hitachi, Ltd. Thin film deposition
US4533430A (en) * 1984-01-04 1985-08-06 Advanced Micro Devices, Inc. Process for forming slots having near vertical sidewalls at their upper extremities
US4512841A (en) * 1984-04-02 1985-04-23 International Business Machines Corporation RF Coupling techniques
US4784720A (en) * 1985-05-03 1988-11-15 Texas Instruments Incorporated Trench etch process for a single-wafer RIE dry etch reactor
US4855017A (en) * 1985-05-03 1989-08-08 Texas Instruments Incorporated Trench etch process for a single-wafer RIE dry etch reactor
ATE68912T1 (de) * 1985-09-27 1991-11-15 Unisys Corp Verfahren zur herstellung einer konischen kontaktoeffnung in polyimid.
JPS62136066A (ja) * 1985-12-09 1987-06-19 Mitsubishi Electric Corp 半導体装置の製造方法
EP0246514A3 (en) * 1986-05-16 1989-09-20 Air Products And Chemicals, Inc. Deep trench etching of single crystal silicon
JP2502536B2 (ja) * 1986-08-08 1996-05-29 松下電器産業株式会社 パタ―ン形成方法
KR900007687B1 (ko) * 1986-10-17 1990-10-18 가부시기가이샤 히다찌세이사꾸쇼 플라즈마처리방법 및 장치
US4707218A (en) * 1986-10-28 1987-11-17 International Business Machines Corporation Lithographic image size reduction
NL8701867A (nl) * 1987-08-07 1989-03-01 Cobrain Nv Werkwijze voor het behandelen, in het bijzonder droog etsen van een substraat en etsinrichting.
US5007982A (en) * 1988-07-11 1991-04-16 North American Philips Corporation Reactive ion etching of silicon with hydrogen bromide
JP2918892B2 (ja) * 1988-10-14 1999-07-12 株式会社日立製作所 プラズマエッチング処理方法
IT1225636B (it) * 1988-12-15 1990-11-22 Sgs Thomson Microelectronics Metodo di scavo con profilo di fondo arrotondato per strutture di isolamento incassate nel silicio
KR900013595A (ko) * 1989-02-15 1990-09-06 미다 가쓰시게 플라즈마 에칭방법 및 장치
JPH03126222A (ja) * 1989-10-12 1991-05-29 Canon Inc 堆積膜形成方法
JPH03129820A (ja) * 1989-10-16 1991-06-03 Seiko Epson Corp 半導体製造装置及び半導体装置の製造方法
KR910010516A (ko) * 1989-11-15 1991-06-29 아오이 죠이치 반도체 메모리장치
US5474650A (en) * 1991-04-04 1995-12-12 Hitachi, Ltd. Method and apparatus for dry etching
JP2913936B2 (ja) * 1991-10-08 1999-06-28 日本電気株式会社 半導体装置の製造方法
US5368685A (en) * 1992-03-24 1994-11-29 Hitachi, Ltd. Dry etching apparatus and method
JP2661455B2 (ja) * 1992-03-27 1997-10-08 株式会社日立製作所 真空処理装置
JPH0612767A (ja) * 1992-06-25 1994-01-21 Victor Co Of Japan Ltd 自動再生装置
DE4241045C1 (de) * 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
JPH07226397A (ja) * 1994-02-10 1995-08-22 Tokyo Electron Ltd エッチング処理方法
US5605600A (en) * 1995-03-13 1997-02-25 International Business Machines Corporation Etch profile shaping through wafer temperature control

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001505001A (ja) * 1997-08-21 2001-04-10 ローベルト ボツシユ ゲゼルシヤフト ミツト ベシユレンクテル ハフツング シリコンの異方性エッチングのための方法
JP4674368B2 (ja) * 1997-08-21 2011-04-20 ローベルト ボツシユ ゲゼルシヤフト ミツト ベシユレンクテル ハフツング シリコンの異方性エッチングのための方法
US6660647B1 (en) * 1998-03-12 2003-12-09 Hitachi, Ltd. Method for processing surface of sample
WO1999046810A1 (fr) * 1998-03-12 1999-09-16 Hitachi, Ltd. Procede permettant de traiter la surface d'un echantillon
KR100829288B1 (ko) * 1998-12-11 2008-05-13 서페이스 테크놀로지 시스템스 피엘씨 플라즈마 처리장치
US7491649B2 (en) 1998-12-11 2009-02-17 Surface Technology Systems Plc Plasma processing apparatus
JP2002543611A (ja) * 1999-04-30 2002-12-17 ローベルト ボツシユ ゲゼルシヤフト ミツト ベシユレンクテル ハフツング 半導体の異方性プラズマエッチング方法
JP2005515631A (ja) * 2002-01-03 2005-05-26 アルカテル シリコンに高アスペクト比の異方性エッチングを行う方法および機器
JP2006507664A (ja) * 2002-08-16 2006-03-02 ウナクシス ユーエスエイ、インコーポレイテッド 任意ガススイッチング法を用いた高アスペクト比/深いエッチングの側壁平滑化
JP2006523041A (ja) * 2003-04-07 2006-10-05 ウナクシス ユーエスエイ、インコーポレイテッド 時分割多重方式(tdm)エッチング・プロセスにおけるプロセス制御のための方法および装置
JP2005086079A (ja) * 2003-09-10 2005-03-31 Denso Corp 半導体装置の製造方法
US7563379B2 (en) 2003-12-15 2009-07-21 Japan Aviation Electronics Industry Limited Dry etching method and photonic crystal device fabricated by use of the same
JP4707178B2 (ja) * 2005-06-29 2011-06-22 キヤノンマーケティングジャパン株式会社 エッチング方法およびエッチング装置
JP2007012763A (ja) * 2005-06-29 2007-01-18 Canon Marketing Japan Inc エッチング方法およびエッチング装置
KR101411797B1 (ko) * 2006-10-10 2014-06-24 램 리써치 코포레이션 탈불소화 프로세스
JP2008205436A (ja) * 2007-01-26 2008-09-04 Toshiba Corp 微細構造体の製造方法
US9123509B2 (en) 2007-06-29 2015-09-01 Varian Semiconductor Equipment Associates, Inc. Techniques for plasma processing a substrate
JP2009239054A (ja) * 2008-03-27 2009-10-15 Sumitomo Precision Prod Co Ltd シリコン構造体の製造方法及びその製造装置並びにその製造プログラム
JP2013535074A (ja) * 2010-06-11 2013-09-09 バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド 基板プラズマ処理技術
JP2017112350A (ja) * 2015-11-04 2017-06-22 ラム リサーチ コーポレーションLam Research Corporation プラズマ電力レベルに応じて二様態プロセスガス組成を使用するプラズマエッチングのための方法及びシステム
JP2021093548A (ja) * 2015-11-04 2021-06-17 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理システム
KR20180062404A (ko) * 2016-11-30 2018-06-08 도쿄엘렉트론가부시키가이샤 플라즈마 에칭 방법
JP2020021765A (ja) * 2018-07-30 2020-02-06 株式会社アルバック 半導体素子の製造方法

Also Published As

Publication number Publication date
ATE251341T1 (de) 2003-10-15
DE69725245D1 (de) 2003-11-06
EP0822582A2 (en) 1998-02-04
JP4550408B2 (ja) 2010-09-22
EP0822582A3 (en) 1998-05-13
DE69725245T2 (de) 2004-08-12
EP1357584A3 (en) 2005-01-12
EP0822582B1 (en) 2003-10-01
EP1357584A2 (en) 2003-10-29
US6051503A (en) 2000-04-18
JP3540129B2 (ja) 2004-07-07
JP2004119994A (ja) 2004-04-15

Similar Documents

Publication Publication Date Title
JPH10135192A (ja) 半導体基盤の表面処理方法
JP4237281B2 (ja) 半導体基盤の表面処理方法
JP6352771B2 (ja) 低減されたトリミングレートで炭素含有膜をトリミングする方法
JP4601113B2 (ja) 基板の異方性エッチング方法
US5021121A (en) Process for RIE etching silicon dioxide
US4855017A (en) Trench etch process for a single-wafer RIE dry etch reactor
US6784108B1 (en) Gas pulsing for etch profile control
US5354417A (en) Etching MoSi2 using SF6, HBr and O2
US6569774B1 (en) Method to eliminate striations and surface roughness caused by dry etch
US6291357B1 (en) Method and apparatus for etching a substrate with reduced microloading
JP4852196B2 (ja) 深開口部を形成するためにプラズマ処理室内でシリコン層をエッチングする方法
EP1129990A1 (en) Process for controlled growth of carbon nanotubes
JPH05275392A (ja) SiO2 膜のエッチング方法
JP2007129260A (ja) ケイ素の異方性エッチング法
US5108542A (en) Selective etching method for tungsten and tungsten alloys
EP2022106A2 (en) Methods for minimizing mask undercuts and notches for plasma processing system
CN103578973B (zh) 氮化硅高深宽比孔的循环刻蚀方法
US6120697A (en) Method of etching using hydrofluorocarbon compounds
US6828251B2 (en) Method for improved plasma etching control
KR980011729A (ko) 높은 포토레지스트 선택도와 개선된 폴리머 접착을 위한 플라즈마 반응기 처리 방법
WO1997024750A1 (en) Method for etching silicon dioxide using unsaturated fluorocarbons
JP3301157B2 (ja) ドライエッチング方法
JPH04298035A (ja) プラズマエッチング方法
JPH06163474A (ja) ドライエッチング方法
JPH0794482A (ja) ドライエッチング方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20031219

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20040113

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20040310

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20040324

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080402

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080402

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090402

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100402

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110402

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120402

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130402

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140402

Year of fee payment: 10

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term