JP4163857B2 - 基板をエッチングするための方法と装置 - Google Patents

基板をエッチングするための方法と装置 Download PDF

Info

Publication number
JP4163857B2
JP4163857B2 JP2000580243A JP2000580243A JP4163857B2 JP 4163857 B2 JP4163857 B2 JP 4163857B2 JP 2000580243 A JP2000580243 A JP 2000580243A JP 2000580243 A JP2000580243 A JP 2000580243A JP 4163857 B2 JP4163857 B2 JP 4163857B2
Authority
JP
Japan
Prior art keywords
etching
plasma
substrate
bias
frequency
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2000580243A
Other languages
English (en)
Other versions
JP2002529913A (ja
Inventor
,イヨッティ,キロン バハードワイ
アシュラフ,ヒュマ
ホプキンズ,ジャネット
リア,レズリー,マイケル
ハインズ,アラン,マイケル
ジョンストン,イアン,ロナルド
Original Assignee
サーフィス テクノロジー システムズ ピーエルシー
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from GBGB9824077.3A external-priority patent/GB9824077D0/en
Priority claimed from GBGB9901867.3A external-priority patent/GB9901867D0/en
Priority claimed from GBGB9912376.2A external-priority patent/GB9912376D0/en
Application filed by サーフィス テクノロジー システムズ ピーエルシー filed Critical サーフィス テクノロジー システムズ ピーエルシー
Publication of JP2002529913A publication Critical patent/JP2002529913A/ja
Application granted granted Critical
Publication of JP4163857B2 publication Critical patent/JP4163857B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Description

【0001】
本発明は、基板たとえば半導体基板に形状(feature)をプラズマエッチングする方法と装置に関する。また、特に、専らというわけではないが、基板の局所的エッチング(“ノッチング”)、すなわち絶縁性下層との界面における電荷損傷、または基板内溝の側壁の局所的エッチング、を減少または排除する方法に関する。
【0002】
絶縁性下層(たとえば二酸化ケイ素)によって絶縁されているシリコン(または他の任意の材料)をエッチングする場合、シリコンには、工程中にプラズマから引き出される電流により、電荷が蓄積される。この合計電荷量は、電流、表面積、および酸化物層の上のシリコンの厚さに比例する。考慮すべき他の要因は、シリコンと酸化物層上の表面電荷成分の蓄積である。この電荷が増大すると、この増大に比例してイオン飛跡角度に対する影響が増大しうる、言い換えれば、シリコンのエッチングプロフィールへ影響し、特にシリコンと酸化物との界面において影響する。過剰なイオン帯電による正味の結果は、酸化物界面におけるシリコンの局所的エッチングすなわち“ノッチング”である。酸化物(または他の誘電体)層を導電層で置き換えると“ノッチング”が観察されない、ということがわかっている。
【0003】
いくつかの用途においては、このノッチングは、後の処理ステップ(たとえば、シリコン分離溝の再充填)における問題を発生させたり、またはデバイス性能の面におけるプロフィール劣化に影響を及ぼす原因となり、非常に好ましくないものである。
【0004】
この問題に対していくつかの解決策が提案されている。大部分は、蓄積表面電荷を“中和”させる方法、または直接にシリコンのイオン帯電を減少させる方法によるものである。たとえば、ノザワ トシヒサほか(1994 Dry Process Symposium、Tokyo、I-8、p37ff)は、負イオン濃度を増大することにより蓄積された表面電荷を“中和”するように、比較的高い圧力で運転することによりイオン帯電を減少させることについて述べている。この方法の使用はある程度の成功をおさめているが、このような圧力での運転はエッチングプロフィールにある種の制限を与えるものであり、したがって全てのエッチングにとって適当というわけではない。実際、割合に高い圧力を使用する方法は、シリコンが酸化物界面をエッチングで除去しはじめる前において、シリコン界面に近づくための第二のエッチングステップとしてしか使用できない。
【0005】
モリオカほか、J. Vac. Sci. Technol. A 16(3)、 May/Jun 1998、 pp 1588-1593 は、ノッチと電荷損傷を小さくするための、低周波数の使用を開示している。
【0006】
キノシタほかの“Simulation of Topography Dependent Charging with Pulse Mod-ulated Plasma"、 Proc.Sym. On Dry Process、 Vol.18、 I-6、 pp 37-42、1996 は、ノッチと電荷損傷の減少または排除の実現のために連続波(CW)バイアスを使用するソースパルス化を開示している。
【0007】
ノッチを減少させるソースのパルス化の理論は、オフ状態におけるアフターグロープラズマの結果としてのバイアス低下に関連している。キノシタほかを参照されたい。この方法は低圧HDP処理の場合には非常に良く機能しており、同様に固有の限界を有する。主要な欠点は、この方法はプラズマが完全に消滅した場合にのみ機能する、ということである。プラズマは本質的に二つの励起成分を含み、第一のものはソース(ICP、ECRその他)から得られるものであり、第二のものはRFバイアスから生じるものである。これらの二つの成分は、それぞれ、プラズマ密度およびイオン加速のための自己バイアス電位を制御する。ソースパワーが低下すると、それに比例して自己バイアスが増大する。したがって、ソースパワーを切ると、プラズマが消えるまで又は消えない間は、極端に大きな自己バイアス電圧が得られる。もし、プラズマが消えるならば(これは、キノシタを含む先行技術引用文献に述べられている、低圧且つ低バイアスパワー運転の場合、確かに正しい)、ソースのパルス化は有効な解決策である。しかし、割合に圧力の高いプラズマ(約10mTorr以上)のときには、プラズマは低バイアスRFパワーレベルの場合でも消えない。そのような場合、ソースのパルス化法は適当でない。
【0008】
連続波RFバイアスを使用する場合でソースパワーを切り換える時は、前記の理由により、ソースパワーが切られたとき大きな自己バイアス過渡電圧が避けられない。これらの過渡は電荷損傷をもたらしうるものである。エッチング速度の増大のためにソースパワーレベルが増大させられると、この効果が大きくなり、許容できない電荷損傷の原因となり、また場合によってはさらに大きなノッチの原因とも成りうる。
【0009】
マルヤマほか、"Reduction in charge build-up with pulse-modulated bias in pulsed ECR plasma"、IEE Proc.Dry Process Sym.Japan、I-4 pp21-26、1997 は、13.56MHz(高周波数)のパルス化バイアスの使用を開示しているが、最善の場合でも残留ノッチ寸法が少なくとも70nmであることを報告している。
【0010】
“ノッチング”に似た問題は、“ビーキング効果”である。これは、側壁の局所的エッチングであり、電極面に亘る電位勾配と基板上のマスク層の局所的負帯電とによる。
【0011】
本発明の方法は、少なくともいくつかの実施態様においては、前記の種々の問題に取り組むものであり、あるいはそれらを軽減するものである。
【0012】
本発明の第一の側面によれば、チャンバー内の絶縁性下層付き基板に形状をエッチングする方法であって、プラズマによるエッチング・ステップとプラズマによる不動態化層の蒸着ステップとを交互に繰り返し行うことを含み、基板に、少なくともエッチング・ステップ期間中にプラズマ中に存在するイオン群の主要成分のイオンプラズマ周波数以下であり且つパルスオフ時間が全時間の10〜90%となるように繰り返しパルス化されるバイアス周波数を印加して基板の局所的エッチング又は絶縁性下層との界面における電荷損傷を減少又は排除することを特徴とする方法が提供される。
【0017】
イオンプラズマ周波数を、ωpiで示す。
【0018】
バイアス周波数は、RFまたはDCバイアス電源によって発生させることができ、好ましくは、基板を配置することのできる支持体またはプラテンに印加される。パルス化されたDCバイアスは、基板が十分に導電性である場合、または薄い絶縁性の層が存在しても良いが大部分の材料が導電性である構造を有する場合に、特に適している。DCバイアスは、連続波またはパルス化RFバイアスとの組合せでパルス化することができる。
【0019】
基板は、好ましくは半導体であり、たとえばシリコンである。特に好ましい実施形態の場合、基板は絶縁性の下層を有する。この下層は酸化物であってもよい。半導体としてシリコンを使用する実施形態の場合、二酸化ケイ素がこの下層である。しかし、たとえば、本発明は、ゲート酸化物上のポリシリコン及びその他の導体(たとえば、WSi2またはさらに他の金属のケイ化物)のエッチングにも関する。これらの代替物質は当業者に周知である。基板はその上表面の上にマスク層を有していてもよく、このマスク層は絶縁体であってもよい。
【0020】
低いバイアス周波数および/またはパルス化周波数の使用により、“ノッチング”、“ビーキング効果”、または電荷損傷の減少を実現することができる。好ましい実施態様においては、バイアス周波数は4MHz以下であり、さらに好ましくは50〜380kHzの範囲内にある。
【0021】
この方法は、エッチング、またはエッチングと蒸着とを交互に行うステップの場合よりも高い圧力で実施されるもう一つのエッチングと不動態化のステップ(ステップ2)とを含むことができる。このもう一つのステップは交互に周期的に実施してもよいし、そうでなくてもよい。特に、高い圧力で実施されるもう一つのステップは、下層に近づいた時に開始される。たとえば、一つの実施態様においては、シリコンが酸化物界面からエッチングにより除去されはじめる時の直前または除去され始めた直後までの任意の時刻に開始される。
【0022】
たとえば、絶縁体上の厚いシリコン(数ミクロン以上)をエッチングする場合、ステップ1は、シリコンの基板の縁にあってクリティカルな形状のエッチングすべき残り厚さが約0.5μmになるまで、使用される。縁は基板中心よりも速くエッチングされる。中心においては、エッチングすべきシリコンが約1μm残っている。このあと、ステップ2を、基板のすべての点において酸化物に到達するまで使用し、またこれを確実にするために十分なオーバーエッチングを使用することができる。
【0023】
このもう一つのエッチングと不動態化とのステップにより、オーバーエッチング時間の関数としてのノッチ寸法の増大速度が低下する。好ましくは、この実施態様において、この方法は、さらに、エッチング、またはエッチングと蒸着とを交互に行なう第一のステップから、もう一つのエッチングと不動態化のステップに、切換える時を決定する終点検出ステップを含む。
【0024】
しかし、バイアス周波数をパルス化する場合は、高い圧力におけるもう一つのエッチングと不動態化のステップは必要でなく、したがってプロセスウィンドウがずっと幅広くなって大きな平均エッチング速度が可能になり、またプロフィールの劣化が避けられる。さらに、この実施態様の場合、終点の検出は必要でなく、ずっと広範囲の形状寸法にわたって大きく改良されたノッチ幅制御が実現される。実際、ノッチはこの方法により消滅しうる。
【0025】
デューティサイクルを、パルスオン時間(またはパルス幅)とパルスオフ時間の比として定義する。パルス幅とデューティサイクルは、理論的に必要であるが、バイアス周波数と酸化物界面に蓄積される電荷に関連するRC時定数とに依存する。先行技術におけるような著しく強力な電源をでなく、バイアス印加に使用される低出力の電源をパルス化するのは工業的にはより魅力的である、ということは注目すべきことである。
【0026】
放電の程度を決定するのはパルスオフ持続時間である。
【0027】
パルスオフ時間は、好ましくは100μs以上である。パルスオフ時間は、好ましくは全時間の10〜90%(すなわち、デューティサイクルが10〜90%)とする。好ましくは、デューティサイクルはパルスオフ時間に応じて制御される。パルスオフ時間が短い程、デューティサイクルは大きくなり、その逆も言える。
【0028】
イギリス特許出願第9827196.8号明細書に記載されているような磁気フィルターを使用して、プラズマが生成されるチャンバーの空間部分を、ウェーハまたは加工物支持体が配置される空間部分から、部分的または完全に分離することができる。このフィルターは、プラズマ密度を低下させる効果を有するが、また電子温度をも低下させて、多数の負イオンがウェーハ近辺に到達するのに有利な条件を作り出す。ウェーハ支持体に十分に低い周波数のバイアスを結合して使用した場合、正イオンはサイクルのより負の部分の間においてウェーハに向かって加速される。負イオンと電子はサイクルのより正の間においてウェーハに向って加速される。電子の割合が極端に小さくないかぎり、付加的な負の自己バイアスが形成される。サイクルの相当部分の間ではウェーハに到達する負イオン束は、正イオンと同様にして材料をエッチングするが、正イオンによって形成される帯電を減少させるようにも作用する。必要であれば、バイアスのパルス化を使用することができる。
【0029】
本発明のもう一つの側面によれば、前記方法の任意のものを実施する装置が提供され、その装置は、基板のための支持体とプラズマにより基板に形状をエッチングする手段とを有するチャンバーを包含する。
【0030】
本発明の方法に応じて、前記装置は、また、交互に繰り返し行われる、プラズマによる基板のエッチング・ステップとプラズマによる不動態化層の蒸着ステップとを交互に繰り返し行なう手段、少なくともエッチング・ステップ期間中にプラズマ中に存在するイオン群の主要成分のイオンプラズマ周波数以下であり且つパルスオフ時間が全時間の10〜90%となるように繰り返しパルス化されるバイアス周波数を基板に与えて基板の局所的エッチング又は絶縁性下層との界面における電荷損傷を減少又は排除する手段を有することができる。
【0031】
以上、本発明を定義したが、本発明は前記または下記の説明に示す特徴の任意の発明的組合せを含むと理解すべきである。
【0032】
本発明はいろいろなやり方で実施することができる。以下、添付の図面を参照しつつ例として特定実施形態について説明する。
【0033】
図1Aおよび1Bについて、基板の全体が1で示されている。基板1は、二酸化ケイ素の下層3を有するシリコン半導体2を含んでいる。半導体2の表面の上にはマスキング層4がある。たとえばWO−A−94/14187号明細書に開示されている方法によりプラズマ中で基板をエッチングする場合、溝5が形成される。イオンを6で示し、電子を7で示す。
【0034】
本発明は、ノッチを減少または排除しようとするものである。
【0035】
RFバイアスをプラテンに印加する時、イオンはRFサイクルの大部分の期間においてウェーハ/加工物に向って加速される。電子は、ウェーハに到達してイオン束とつり合うようになければならないが、RFサイクルの正の部分の大部分においてはウェーハに到達するだけである。
【0036】
ωpiよりも大きな高周波数の場合、イオンは瞬間電位に応答することができず、大体Vpp/2の程度の時間平均電位によって加速される。ウェーハに到達するイオンは、一般に、Vpp/2付近を中心とする割合に幅の狭いエネルギー分布関数を有する。
【0037】
ωpiよりも小さな低周波数の場合、イオンはある程度瞬間RF電位に応答することができ、周波数が減少するにつれてますますそうなる。したがって、イオンのエネルギー分布関数は、周波数の低下につれて徐々に幅広になり、エネルギーは、Vpp/2近くの中心のまわりで、上限Vppと0に近い下限とに向って広がる。
【0038】
高周波数の場合、イオンのエネルギー分布は割合に多数の高エネルギーイオンを有し、これらのイオンのうち大部分が深い溝の底に到達することができる。エッチングは絶縁性層まで進行して絶縁性層は正に帯電し、それ以後のイオンを偏向させてノッチを生じさせる。この大きな正電位の上昇は、その正電荷の蓄積につれてますます多く引きつけられる電子によって制限される。電子の主要な作用は、その等方的方向性により溝の上表面(特に縁)を負に帯電させることである。このことは、表面の負電荷が増大するにつれて、溝内にはいっていく電子の数を高効率で減少させる “電位障壁”として作用する。逆に、イオンは狭い角度広がりと強い指向性とを有する。アスペクト比が増大すると、電子は溝の底に蓄積した正電荷からますます強く遮蔽される。というのは、この正電荷による正味の電場効果が低下するからである。
【0039】
低エネルギーのイオンは小さな指向性、言い換えると、大きな方向的等方性を有する。そのため、これらのイオンは表面電子電荷を中和する能力が大きい。低周波数の場合、イオンエネルギー分布関数は幅が広がり、したがって低エネルギーイオンの数が増大する。そうすると、溝の頂部における負電荷の中和がある程度起り、したがってさらに少しだけ“電位障壁”が低下して、さらに多くの電子が溝の底に到達できるようになり、絶縁性層上に蓄積している正電荷が減少する。すると、溝の底の電位が下がり、したがってまたノッチの程度も小さくなる。
【0040】
プラテンに印加されるRFバイアスがパルス的にオン・オフされる場合、オフ時間中はイオンシースが弱まって定常的DC状態になり、この状態のとき、ウェーハは通常のプラズマ浮遊電位に到達する。すべてのイオンは、RFバイアスの場合に比して、ずっと小さなエネルギーまでしか加速されず、大きな角度分布を有する。したがって、さらに溝の頂部付近の負電荷を減少させる能力が増大し、また溝の底における絶縁性層の正帯電のさらなる低下がもたらされる。その結果、図1Bに示すように、ノッチが減少する。溝の底における正電位は、さらなる電位障壁の低下により絶縁性表面への電子の接近が容易になるので、さらに低下する。RFがオフの時間中は、低エネルギーの正イオンは溝の底における不動態化層の除去には不十分であり、不動態化層はRFバイアスがオンに戻されたときの高エネルギーイオンによってのみ除去される。
【0041】
したがって、容易にわかることであるが、ノッチングの減少と絶縁性表面における高い電位の引き下げは、プラテンのRFバイアス周波数の減少によって実現することができ、またさらなる減少と引き下げは、RFバイアスをオン・オフをパルス化することによって実現される。このとき、RFバイアスのオフ時間は、酸化物表面帯電の指標となるRC時定数よりも大きくなければならない。このオフ時間は、低周波数(380kHz)の場合は数ミリ秒の程度、高周波数(13.56MHz)の場合は数百マイクロ秒の程度と評価される。
【0042】
以上をまとめると、図1Aは次のことを示す。
1.加速されたイオンは指向性であり、電子は等方的である。
2.指向性イオン
【外1】
Figure 0004163857
は溝の底に到達し、酸化物上に電荷の蓄積をもたらし、電位V+を生じる。
3.方向的に等方的な電子は、溝の表面を帯電させ、わずかな電子のみが底まで到達して、イオン電荷を中和する。
4.酸化物上のイオン電荷による電位V+はイオンエネルギー分布関数(IEDF)によって決定される。キノシタほかを参照されたい。
5.V+より大きなエネルギーを持ったイオン
【外2】
Figure 0004163857
はV+の上昇に寄与する。
6.V+より小さいエネルギーを持ったイオン
【外3】
Figure 0004163857
(影付き)は、V+によって側壁方向に偏向され、ノッチングに寄与する。
【0043】
図1Bは次のことを示している。
1.IEDFの変化により、多数の低エネルギーイオン
【外4】
Figure 0004163857
(影付き)が与えられる。
2.これらのイオン
【外5】
Figure 0004163857
(影付き)は、方向的等方性が大きく、かつ小さな平均イオンエネルギーを有する。
3.これは、溝の頂部における電子帯電の低下をもたらし、したがって溝の底に到達して電荷を中和する電子e−の数を増大させる。
4.溝の底に到達するイオンは、小さなエネルギーを有するが、なお指向性を有している。RFバイアスがオフ状態にあるときは、イオンはプラズマと浮遊電位との間の電位差に相当するエネルギーを得る。
5.これらの効果により、電荷による電位V+が低下する。
6.この電荷による電位は酸化物に損傷の起る閾値よりも小さくすることができる。
7.低エネルギーのイオンは、V+により、大きな角度分布で、側壁に向って偏向される。
8.これらのイオンはノッチングを引き起こすのに十分なエネルギーを持っていない。
【0044】
注意すべきことは通常の非切換のプラズマエッチング手段も使用することができるということであり、それらは当業者に周知のものである。
【0045】
パルスサイクル中、溝の底における絶縁性層の電荷と電位は、図14に実線で示す傾向に従う。RFバイアスは、t=0からt=t1までの時間、プラテンに印加される。正の電荷が溝の底に多くなると、正の電位により、電子の一部を引きつける。破線で示すように、それ以上の正イオンが溝の底に到達するのを妨げるレベルに電位が達した時、電荷と電位が飽和に達する。時刻t1において、RFバイアスのスイッチが切られ、大きな角度の広がりを有する低エネルギーの正イオンが溝の頂部近くの負電荷を中和し始め、より多くの電子が溝の底に到達できるようになる。電子束と正イオン束がつり合いに達するまで、溝の底の正電荷はどんどん減少し、電位が低下する。
【0046】
溝の底の蓄積した電荷と電位の大きさを減少させるためには、RFバイアスのゲートパルス長を、放電がはじまる前に、図14に示すものから減少させるのが一般に好ましい。
【0047】
図2Aについて、先行技術に関して前述したような、酸化物との界面におけるシリコンのノッチングを示すSEM像が図2Aに示してある。これらは、13.56MHzのバイアス周波数で周期的エッチング/不動態化(ステップ1と呼ぶ)のみを用いて得られた結果である。図2Bは、二つのステップ(ステップ1とステップ2)プロセスを用いて得られたノッチが無いプロフィールである。このステップ2のプロセスは、前述のように、高い圧力と大きな不動態化レベルとにより実施したものである。13.56MHzのバイアス周波数を使用した。ステップ1における溝のエッチングには、WO−A−94/14187号明細書に開示されている方法(周期的エッチング/不動態化)を用いた。ステップ2は同じやり方で切換えてもそうでなくても良い。ここに示すものは切り替えたものであるが、高い圧力において且つ大きな不動態化濃度を用いてノッチを減少させるために、交互に行うプロセス条件は次の通りとすることができる。
【0048】
ステップ1
エッチングステップ:
130sccm SF6(8sサイクル オン タイム)、600Wコイルパワー(13.56MHz)、8〜12Wプラテンパワー(13.56MHz)、20mTの圧力、20℃のプラテン温度。
不動態化ステップ:
100sccm C48(5sサイクル オン タイム)、600Wコイルパワー(13.56MHz)、0Wプラテンパワー(13.56MHz)、17mTの圧力、20℃のプラテン温度。
ステップ2
エッチングステップ:
100sccm SF6+60sccm C4F8(12sサイクル オン タイム)、600〜800Wコイルパワー(13.56MHz)、12〜17Wプラテンパワー(13.56MHz)、65〜75mTの圧力、20℃のプラテン温度。
不動態化ステップ:
120sccm C48(5sサイクル オン タイム)、600Wコイルパワー(13.56MHz)、0Wプラテンパワー(13.56MHz)、55mTの圧力、20℃のプラテン温度。
【0049】
このステップ2は、典型的には数ミクロンまでのエッチング深さに適している。図3に示すSEMは、これよりも大きいエッチング深さの場合にステップ2を使用した結果であり、この第二のステップの限界を示している。典型的には、この第二のステップは、エッチング速度が小さく(1/3または1/4)、また深さが大きくなるとエッチング終端にグラス生成が起るという点で、第一のステップと異なっている。このように、第一のステップは割合に大きなエッチング速度を有し、また残留物またはグラス生成による深さ制限がない。どちらの効果も第二のステップにおける強力な不動態化の結果であり、この不動態化はノッチングを減少させるためには高い圧力を用いるのが必要である。したがって、第一のステップは正味の蒸着蓄積が無いように蒸着よりもエッチングが勝るようにつり合わせ、第二のステップは正味の蒸着蓄積があるようにつり合わせる。第一のステップはどんどんノッチを形成させるように作用するので、ノッチングが起る前に、このステップを適切に停止させ、第二のステップに切換えることが重要である。この切換どきは、典型的には、酸化物層に到達した瞬間を検出するか又は界面に近づきつつあるときに残りのシリコン厚を検出することができるような終点検出システムによって、検出される。第一のステップから第二のステップへの切り換えを容易にする公知の方法の中でウェーハ間での再現性を確保するためには、この終点検出法を使用することが必須である。酸化物上の初期のシリコン厚さが変化する場合には特にそうである。
【0050】
第二のステップは、また、オーバーエッチングを許容しなければならない。オーバーエッチングは、酸化物の最初の領域が基板(ウェーハ)の任意の部分で(シリコンが全てエッチングにより除去されて)露出した後、さらに行われるエッチングの時間と定義される。エッチング速度は、基板表面の横断方向で、通常は半径方向で、変化するので、一部の領域では他の領域より先に界面露出が起る。これが意味することは、基板のすべての領域からシリコンを除去するためには、ある程度のオーバーエッチングが必要であるということである。さらに、他の公知の効果たとえば“RIE(反応性イオンエッチング)遅れ”および“マイクロローディング(Microloading)”が意味するところは、エッチング速度をエッチング形状の寸法と、基板ウェーハの表面領域のシリコン露出に対するマスクの比の違いとによってさらに変化させることが出来る、ということである。このことから、オーバーエッチングすることとオーバーエッチングの間エッチングしたプロフィールを保持することの必要性を特に重要視すべきである。
【0051】
本発明は、一つの実施形態において、パルス化された低周波数RFバイアス電源を使用して酸化ケイ素のイオン帯電を著しく低下させることにより、ノッチングを排除するものである。
【0052】
公知の方法においては、RFバイアスは、2、3MHz〜40MHzの範囲、代表的には13.56MHzで、印加されている。しかし、この周波数は、イオンプラズマ周波数よりも大きく、したがってイオンがこのRF場に応答しない、ということがわかっている。イオンはDC自己バイアス電位にのみ応答する。電子は、寸法と質量が小さいため、ずっと大きな可動性を有し、RF励起に応答する。
【0053】
本発明の一つの例においては、380と187kHzのバイアス周波数が使用される。図4に示す3つのSEM像が示すのは、どれかの低い励起周波数を使用したときにシリコン/酸化物界面で得られた最善の結果である。
【0054】
低周波数のみの(非パルス化)プロセス条件は、次のものとすることができる。ステップ1
エッチングステップ:
100sccm SF6(5sサイクル オン タイム)、600Wコイルパワー(13.56MHz)、4〜8Wプラテンパワー(380kHz)、20mTの圧力、20℃のプラテン温度。
不動態化ステップ:
130sccm C48(5sサイクル オン タイム)、600Wコイルパワー(13.56MHz)、0Wプラテンパワー(380kHz)、約22mTの圧力、20℃のプラテン温度。
ステップ1のプロセス時間は合計で8分。
ステップ2
エッチングステップ:
100sccm SF6+60sccm C48(10sサイクル オン タイム)、800Wコイルパワー(13.56MHz)、6〜8Wプラテンパワー(380kHz)、約60〜75mTの圧力、20℃のプラテン温度。
不動態化ステップ:
130sccm C48(5sサイクル オン タイム)、800Wコイルパワー(13.56MHz)、0Wプラテンパワー、約45mTの圧力、20℃のプラテン温度。
【0055】
図4Aはステップ1においてのみ低周波数バイアスを使用した結果を示す。図4Bはステップ1とステップ2との両方において低周波数バイアスを使用した結果を示す。図4Cはシリコン−酸化物界面における溝の底部の拡大図である。低周波数の場合でも、図4Bに示す無ノッチの結果を実現するためには、高不動態化濃度の高圧力プロセスの使用が必要であることがわかった。この場合も、この高圧力プロセス/高不動態化ステップは、エッチング深さが約5μmを越えると表面残留物すなわち“グラス(grass)”が出現するため、エッチング深さ全体にわたっては使用できなかった。先行技術の高周波数バイアスの場合と同様に、この第二のステップのエッチング速度は小さく、さらに第二のステップの処理をそのまま長く続けるとプロフィール湾曲がもたらされる。それでもなお、本発明の低周波数バイアス印加には利点がある。このことは、図5に示すように、オーバーエッチング時間の関数としてノッチ寸法の増大速度を低下することであると要約することができる。この利点は、高周波数の場合に比して、ノッチ寸法のより良い制御を可能にする。
【0056】
ステップ1からステップ2への切換どきの決定のためにはやはり終点検出が必要である。
【0057】
イオンプラズマ周波数は下記の式によって与えられる。
【化1】
Figure 0004163857
この式で、ni 、miはイオン密度と質量、Zはイオン価、eは電気素量、ε0は真空の誘電率である。
【0058】
エッチングステップは、典型的には、SF6またはNF3のようなフッ素を大量に放出するガスを用いて実施し、一方、蒸着はC48のような重合性ガスを用いて実施する。O2をエッチングステップ時にのみ添加することができる。たとえばエッチングガスがSF6である場合、前記式を使用して、下記の表1に示すようなωpiを計算することができる。
【0059】
下記の表1は、シリコンのエッチングに使用されるSF6 -プラズマ中に存在しうる一連の一価イオンのイオンプラズマ周波数値を示す。このデータによれば、イオンプラズマ周波数は5〜10MHzの範囲にある。しかし、ここで問題になる割合に低い電子温度と低い圧力とのプラズマの場合、主要なイオンはSF4 +およびSF5 +であると考えられる。したがって、低い値のイオン周波数がもっとも適切である。ここでは、ωpi(MHz単位)を5MHzよりも小さくとる。
【0060】
イオン帯電は、イオンがRF場に強く応答するとき、すなわちイオンプラズマ周波数付近またはそれ以下の周波数のとき、低下すると考えられる。たとえば、バイアス周波数が4MHzより小さいとき、RF場に対するイオンの応答はシリコン表面のイオン帯電効果を低下させる。すなわち、RFサイクルの一部において、イオンは、カソードとして作用するプラテンに向かって加速されず、したがって電子は蓄積正電荷の一部分しか中和できない。
【0061】
低周波数バイアスのパルス変調の結果を図5に、SEM像を図6Aと6Bに示す。このデータは、380kHzバイアス周波数、2.5〜5msのパルス幅、50%未満のデューティサイクルを使用した場合に、ノッチ寸法が劇的に減少するということ(すべての場合に、縁あたり150nm未満)を示す。このSEM像とグラフは、オーバーエッチング時間の関数としてのノッチ寸法の増大速度が著しく減少するということを示している。このノッチングの減少は低圧力で、且つ不動態化の促進なしで実現されているので、この方法においては追加ステップがもはや必要でないということになる。もっとも重要なことは、SEM像が、大きくオーバーエッチングしたあとでもシリコンにノッチングを与えることなしに高速でエッチングする単一のステップの結果が得られること、を示しているということである。これはまた、もはや終点検出の必要がなく、第二のステップがないということをも意味する。
【0062】
実験はパルス幅(100μs〜5ms)且つデューティサイクル(30〜100%)の範囲で実施した。その結果は、ノッチングが、パルス幅2.5ms未満、デューティサイクル50%未満の場合のとき、言い換えるとパルスオフ時間が2.5ms超のとき、もっともうまく排除される、ということを示している。
【0063】
図7は、バイアスのパルス幅が2.5msおよび5msの場合について、デューティサイクルの関数としてノッチ寸法を示したものである。このデータは、3μm、アスペクト比が5:1超の溝の場合に対するものである。パルス幅が大きくなると、ノッチングの排除には小さなデューティサイクルが必要である。このことは、帯電モデルと一致して、大きなパルス幅の場合には表面電荷が多くなり、換言すればその減少のために長いオフ時間が必要であるということを示す。
【0064】
パルス化RFバイアスは、ノッチング減少と電荷損傷減少のほかに、加工物またはウェーハへの高水準(エネルギーおよび/または密度)のイオン衝撃が有害な効果を及ぼさないというさらなる利点をも有する。これらのいくつかの利点の例は、マスクおよび/または下層材料に対する高い選択性、およびRIE遅れ効果の著しい低下がある。
【0065】
シリコン(単結晶または多結晶)のエッチングの場合、マスク材料は典型的にはフォトレジストまたは酸化ケイ素であり、通常下層も酸化物である。これらの材料はどちらも、プラズマエッチング速度はイオンエネルギーと密度に依存する。イオン衝撃の役割は、酸化物または架橋ポリマーフォトレジスト材料のいずれにおいても化学結合および物理結合を切断することである。イオンエネルギーが閾値よりも低い場合、典型的には数十eVよりも小さい(20eV未満)の場合、これらの材料に対しては非常に小さなエッチング速度しか得られない。もし材料の結合が切断されない場合は、化学エッチングの進行がより困難になる。さらに、イオンエネルギーが閾値以上である場合、侵食速度はイオンのパルス化によって低下しうる。この場合、侵食は、イオンが表面をたたくサイクル期間のみに大いに起るからである。一般に、デューティサイクルが小さいほど、これらの材料に対するエッチング選択性が高くなる。図8は、デューティサイクルが減少するにつれてシリコンとフォトレジストとの間のエッチングのエッチング選択性が増大することを示す。これは、この図に示されている条件下でのパルス幅2.5msに対するものである。同様の結果は酸化物に対しても得られている。結局、これが意味するところは、低周波数のパルス化バイアスはノッチングの排除または減少のために使用できるが、また一方で大きなマスクと下層の選択率を可能とするということである。ここでパルス化するということは、エッチング/不動態化を交互に行う周期的プロセスを行う電源供給が“オン”の部分の間で、RF(バイアス)パワーをパルスすることをオン−オフ繰り返し切換える(パルス幅とデューティサイクルによって定められる)ことである。
【0066】
アスペクト比依存のエッチング即ちARDEとも呼ばれるRIE遅れは、異なる開口寸法またはクリティカルディメンションを有する形状に見られるエッチング深さの違いを定める。RIE遅れは、アスペクト比が大きくなるにつれて、反応性前駆物質および生成物種のエッチングの前面すなわち溝底部への到達及びそこからの離散の困難さが増大すること、によって生じる。正味の効果は、大アスペクト比の形状は低アスペクト比の形状よりも低速でエッチングされるということである。本発明はRIE遅れの減少あるいは事実上排除を提供するものである。デューティサイクルが減少すると、シリコンのエッチング速度の低下が観察される。図9を参照されたい。この図は、パルス幅2.5ms且つ溝幅3〜50μmの場合のデータを示す。しかし、エッチング速度はすべてのアスペクト比に関して同じ割合で減少するわけではない。実際、この傾向によれば、パルス幅2.5msにおけるデューティサイクル40%の場合、すべての形状が同じ速度でエッチングされ、RIE遅れすなわちARDEがゼロになる。その理由を明らかにするには、周期的なエッチングと不動態化のプロセスの詳細を解明する必要がある。不動態化ステップ中、保護ポリマーが、プラズマに曝されるすべての表面に蒸着される。しかし、溝の底部への蒸着厚さおよびステップカバレージは両方ともアスペクト比に依存する。アスペクト比が増大すると、輸送の制限により、溝の底部における不動態化が確実に減少する。言い換えると、幅広の溝には幅の狭い溝に比してより厚い蒸着がなされる。すると、今度は、幅広の溝においては、シリコンエッチングを行ないうるようになる前に、より多くの蒸着物質を除去しなければならないということになる。したがって、幅広の形状においてはエッチング速度は実際にずっと高いが、エッチングと不動態化の(除去と蒸着)の差引きの効果は、狭い形状と広い形状のエッチング速度を均衡させるということである。このRIE遅れの補償効果は、同じ効果をもたらすエッチングと蒸着の条件の上手なつり合いを可能にするいくつかの方法で実現することができる。パルス化したRFバイアスもこの効果を表現する。図9は、溝幅3〜50μm、パルス幅2.5msの場合のデューティサイクルの関数としてのエッチング速度を示す。エッチング速度はデューティサイクルの減少につれて減少している。その減少の割合は、デューティサイクルが減少するにつれて、溝幅とともに小さくなって行くが、デューティサイクルが40%になるまではゼロRIE遅れすなわちARDEの場合と同程度である。
【0067】
RIE遅れの減少と排除の方法については前に論議した。重要なステップは、狭い溝に比して広い溝では蒸着の比率を大きくすることである。典型的には、この比率は1以上であり、ここで開示する方法ではこの比率をさらに大きくする。その実現には、パルス化バイアス印可を使用する。同じ効果、したがって同じ結果の実現には他の手段たとえばパラメータ傾斜を使用することも可能である。
【0068】
図20aは、40μmの深さまでエッチングした幅2μmと5μmの溝におけるRIE遅れの違いを示す。図20bは、狭い溝の場合に対する広い溝の場合での蒸着比率を大きくするという方法用いてRIE遅れを排除したことを示す。図20cは、この比率をさらに大きくすることによって生じる逆RIE遅れを示す。これは、パラメータ傾斜(たとえば、EP−A−0822582またはEP−A−0822584号明細書に開示されている)を使用して、実現したものである。下記の表は、これを実現するためのプロセス条件(傾斜)を示す。
【表2】
Figure 0004163857
【0069】
図21は、低周波数パルス化バイアス印加を使用して得られた結果を示す。図21a〜21dは、パルス幅2〜5msにおいてデューティサイクルが70%から40%に減少するときのRIE遅れの減少を示す。エッチングされた溝のプロフィールの変化にも注意されたい。
【0070】
ウェーハへのイオン衝撃は側壁(ステップカバレージの一部)における不動態化にも影響する。これは、言い換えれば、エッチングされた溝の側壁角度の制御に重要な役割を演じる。一般に、強力な不動態化を行うか、又は不動態化の除去速度を低下させるかすると、溝の角度が減少する。ここで、90度よりも小さな角度は正のテーパを有する溝を示し、90度よりも大きな角度は凹角のテーパを有する溝を示す。3〜50μmの溝幅の場合についての(2.5msパルスの)デューティサイクルの減少によるプロフィールへの影響を、図10に示す。これは、RFバイアスのパルス化が溝の側壁の精密な制御に有効に使用できることを示している。非常に良く似た結果がパルス幅5msの場合にも得られた。これらの傾向は、デューティサイクルを減少させることにより、イオンによる溝の側壁からの不動態除去が有効に減少させられることを示す。
【0071】
図11は、プラテンに供給するRFをパルス化するための回路を示す。
【0072】
この装置は、プラテン9を備えたチャンバー8を有し、そのプラテン9上にはウェーハすなわち加工物10が配置される。チャンバー8は、誘導結合プラズマ(ICP)コイル12で包囲された誘電体窓11をも有する。ガスは、入口13からチャンバー8内に送ることができ、また出口14からポンプ排気することができる。示されている実施形態の場合、ICPコイル12は整合ユニット15に接続してあり、該回路は連続波RF電源16に接続されている。プラテン9は整合ユニット17に接続されており、該ユニットはRF電源18に接続され、該電源はパルス発生器19に接続されている。
【0073】
加工物が十分に導電性である場合、または薄い絶縁性層が構造内に存在しても良いが材料の大部分が導電性である構造である場合は、プラテンに印加されるパルス化RFの代りに、プラテンに印加されるパルス化直流電位を、使用することができる。
【0074】
図12に示す回路は、プラテンに印加するDC電位を二つの値の間でパルス化することができる。典型的には、プラズマ加工装置においては、電位を次のようにすることができる。
1)プラズマ電位に対して十分に負とし、正イオンを加工物表面に向って加速し、正イオンに狭い角度分布と材料のエッチングに適当なエネルギーとを与えるようにする。
2)プラズマ電位に対してわずかに負(浮遊電位に近い)とし、イオンが加速されるシースを形成するようにはするが、比較的低エネルギーであり、イオンの角度分布が大きくなるようにしてイオンが負電荷中和に重要な役割を演ずることができるようにする。
【0075】
より負のバイアスレベルにおける“オン タイム”、およびプラテンがそれぞれのDC電位にバイアスされる時間の相対長さは、プラテンのパルス化RFバイアスにおけると同様に選択することができる。低い方の負の電位は、一般に、パルス化RFバイアスのオフ時間中に到達する浮遊電位に近づけるように選択することができるが、図12に示す回路は、電位したがってイオンのエネルギーと角度分布の他の選択も可能である。
【0076】
場合によっては、パルスサイクルの低い方の負の電圧の代りに正の電位を使用して電子と負電位のイオンとを加工物に向って意図的に加速し、放電機構を助け、正イオンを弾き飛ばすようにすることができる。電子の加工物への加速は、放電の実施に必要な時間の減少をもたらしうるものであり、したがってパルス化サイクルのエッチング部分の増大を可能とし、高い総合エッチング速度をもたらす。
【0077】
図12においては、図11と同じ要素が存在する場合、それらの要素には同じ参照番号で示す。図12の異なる点は、プラテン9に接続されたDC電力増幅器20が備えられているということである。パルス発生器21がその増幅器20に接続されている。
【0078】
図12に示す回路の代替回路においては、より負のバイアス電位を与えるためにDC電源を使用することができる。このとき、この電源とプラテンとの間に適当な電子スイッチが接続される。このスイッチは、パルス発生器によって制御され、閉鎖状態と開放状態との間の切換を行う(開放状態のとき、プラテン電位は局所的な浮遊電位に達する)か、またはバイアスが代りに第二のDC電源によって供給されるように切り換えを行う。
【0079】
選択できるバイアスの詳細を図13に示す。
【0080】
プラテンへのパルス化DC電位の印加は、導電性または半導電性の加工物の処理の場合に利点を有する。この技術は、薄い絶縁性層を有し、この層のキャパシタンスが電圧パルス化の反復速度の程度のRC時定数とするのに十分な大きさであるような加工物にも、使用することができる。
【0081】
一例として、ウェーハは1μm程度の薄い酸化ケイ素層を有するシリコンから成ることができる。この層の上部にはさらに厚いシリコン層がある。
【0082】
平行板コンデンサー:C=ε0εrA/d
ここでA=平板面積、
d=平板間の距離、
ε0=真空の誘電率、
εr=比誘電率。
150mmウェーハの場合、A=0.0177m2、d=1×10-6
ε0=8.854×10-12F/m、εr=4、酸化物層の代表的な値である、
しかし材料により異なる。
したがって、C=6.3×10-7
約10Ωのプラズマ抵抗の場合、RC時定数=6×10-6sec。
プラズマに曝される表面の電位が妥当な程度の印加電位に追随することを確実にするためには、パルス長は約10μsとすることが必要であろう。もっと薄く、したがってキャパシタンスの大きな絶縁層の場合、パルス長は大きくすることができるであろう。
【0083】
本発明は、プラズマエッチングの間に蓄積しうる表面電荷を減少させる方法に関する。したがって、本発明は、ガラス、石英、サファイア、ジルコニアなどの電気絶縁性の基材をエッチングするときに蓄積電荷が有害な影響を引き起こす場合にも適用することができる。プラズマ放電によってこれらの材料をエッチングする場合、有害な効果たとえば“ピット形成”損傷または侵食(図15に示すようなもの)およびプロフィール異方性の低下(図16に示すようなもの)が表面帯電の結果として発生しうる。この表面電荷を減少させるためのRFバイアスのパルス化によって、これらの有害な効果が両方とも減少することにより、ただちにエッチング結果が改善される。図17は石英の深いエッチングの場合についてのこの事実を表しており、ここでは、パルスオフ幅12ms且つデューティサイクル50%の低周波数RFバイアスのパルス化を使用したが、やはりプロフィールの異方性が保たれている。
【0084】
プロセス条件
【表3】
Figure 0004163857
【0085】
平行な側壁を有する溝のエッチングを実行するためには、イオンが表面に垂直に基板に入射することが重要である。基板上のすべての位置でそうなるようにするためには、イオンはすべて、基板の表面に平行な成分を殆どまたは全く有しない電場によって加速されなければならない。
【0086】
誘導結合プラズマ装置における通常の動作条件下では、(また、ECR、ヘリコン、ヘリカル共振器などの他のプラズマ発生源を使用する装置の場合でも正しい)、基板を支える電極はRF電源によって駆動される。負のバイアスが基板に与えられ、イオンが、プラズマから空間電荷シースを横断して基板の表面に向かって加速される。理想的な状況では電位は基板表面のすべての点で同じになるであろう。しかし、実際の状況下では、電位に変化があり、したがって基板表面を横断する電場成分が生じる。基板表面に平行な電場成分は、基板表面にもはや垂直でないイオン飛跡をもたらす。
【0087】
溝のエッチングに関与するイオンが基板表面に平行な電場成分によって偏向させられると、それらのイオンは溝の側壁に入射することがある。これにより、直接または間接に側壁の局所的エッチングが起り、図18に示すような、プロフィール非対称性を伴う“ビーキング効果”が生じる。低いRFバイアス電力レベルを使用すると、この効果は、例えばEP−A−0822582号とEP−A−0822584号明細書に示されているようなエッチング/蒸着の交互ステップの場合には甚だしく悪くなる。
【0088】
RF駆動の電極の構成は、真空の完全性と、RF駆動される要素と接地される要素との間の電気絶縁の備えに関する一定の基準とを満たさなければならない。実用される電極の場合、RFパワーの接続は電極の中心付近でなされるであろうし、駆動される要素と接地される要素との間に絶縁体を挟んでする締め付けは、縁付近でなされるであろう。この構成は、組立てるのに実用的であるが、RFパワーが駆動されるプラテン(基板を支持する電極頂部)から電極アセンブリの縁にある接地要素に容量結合されることになりうる、という潜在的欠点を有する。電極の中心近くへのRFパワーの供給と、接地するためにこのパワーの一部が縁近くへ容量結合されることにより、電極の中心と縁との間にかなりの電位勾配が発生しうる。もっと詳しく言えば、これは、この配置が、RFパワーが供給される点と電極アセンブリの接地要素との間のインピーダンスの相対的大きさによって決定される電極の特定位置の電位による分圧器として、作用するからである。RF駆動要素と電極の縁にある接地要素との間のキャパシタンスは、形態的変更によりある程度低下させることができるが、実際的な限界がある。単純にRFパワーを電極の縁付近に供給するということにより、この問題を小さくすることができるが、適切な解決策ではない。RFパワーが高周波数(13.56MHz以上)である場合には、特にそうである。RF周波数が低下すると、電極縁における、駆動要素と接地要素との間の容量結合の程度は低下する。これは、このキャパシタンスによるリアクタンスが1/(ωC)で変化するからである。この式でωは角周波数(ω=2πf)、Cはキャパシタンスである。周波数が減少すると、電極縁付近のRF駆動要素と接地要素との間のリアクタンスが増大し、したがって前記の分圧器効果によつて、電極面に亘る電位変化は小さくなるであろう。
【0089】
電極面に亘る電位変化により電場が発生し、この電場によりイオンが電場の方向に偏向させられる。エッチングされた溝には、両方の側壁にある程度非対称の“ビーキング効果”が見られるので、前記の電極面に亘る電位勾配は結果の原因のすべてであるとは言えない。“ビーキング効果”(溝の両側壁、マスクまたはレジスト層の下およびこれらの近くのノッチ)の少なくとも一部は、レジストまたはマスク層の縁の帯電という二次要因による、と考えられる。この層は、通常絶縁体であるか、または下にあるシリコンよりも導電性の低い材料であり、したがって局所的帯電を維持しうる。この場合は、溝の底部の絶縁性層におけるノッチの場合と同様に、シリコンの上にあるレジストまたはマスクの縁が電子の局所的過剰により負に帯電すると考えられる。その理由は、本質的には、基板表面と溝内へのより大きな指向性を有するイオンに関して前述したものと同じであるが、電子はより等方的な方向性を有する。レジスト/マスクの縁にある局所的負電荷は、正イオンを溝の側壁に向って且つ中に偏向させる。これらのイオンは、シリコンを直接に除去して“ビーキング効果”をもたらすか、またはEP−A−0822582号とEP−A−0822584号明細書に記載のプロセスの場合、不動態化層を局所的に除去してシリコンの局所的化学エッチングを可能にすることができるか、であろう。厳密には、その理由は溝の底部の絶縁性層におけるノッチングの問題に関して述べたものとまったく同じ理由で、RFバイアスとして低周波数を選択することにより、大きな広がりのエネルギーと方向を有するイオンが得られ、これらのイオンの一部はレジスト/マスクの縁に入射して、負電荷を部分的に中和する。レジスト/マスクの縁における負電荷の減少により、“ビーキング効果”の減少がもたらされる。溝の底部の絶縁性層におけるノッチングに関して前述したものと同じ理由により、バイアス印加のパルス化によって“ビーキング効果”がさらに減少すると期待される。
【0090】
“ビーキング効果”は、プラズマ密度を増大させてより大きなエッチング速度の達成するにつれて、より著しくなる。したがって、高いプラズマ密度で“ビーキング効果”の低下を実現するためには、低周波数での電極バイアス印加とそのパルス化が必要であると予想さられる。図18は30μm幅の溝の場合における“ビーキング効果”を示す。“ビーキング効果”は、溝の幅と溝の配置の稠密度によることが観察されている。すべての溝の構成における“ビーキング効果”の排除または減少のためには、低周波数バイアスまたはRF供給のパルス化のどちらかだけで十分であるとは考えられず、これらの両方が必要であろう。
【0091】
前述のように、二つの異なる要因が“ビーキング効果”に寄与していると考えられる。すなわち、(1)電極面に亘る電位勾配、(2)レジスト/マスクの局所的負帯電、の二つである。電極バイアスを与えるために使用するRFパワーの周波数を小さくすることにより、これら二つ要因がいずれも小さくなる。このことに関する結果を、下記の表に示す。これは、13.56MHzと380kHzのRF周波数で実施した実験の測定値の要約である。この表、13.56MHzのRF周波数の場合の図18のSEM像および380kHzの場合の図19のSEM像から明らかなように、“ビーキング”と非対称性の程度は、低周波数運転に移行することにより、大幅に減少する。
【表4】
Figure 0004163857

【図面の簡単な説明】
【図1A】ノッチングを説明する模式断面図である。
【図1B】ノッチングを説明する模式断面図である。
【図2A】先行技術の方法によるエッチングを示す走査電子顕微鏡写真(SEM像)である。
【図2B】先行技術の方法によるエッチングを示す走査電子顕微鏡写真(SEM像)である。
【図3】グラス(grass)生成が起った先行技術の別のSEM像である。
【図4A〜C】本発明で得られたSEM像である。
【図5】ノッチ寸法の増大をオーバーエッチング時間の関数として示すグラフである。
【図6A】本発明の方法によるエッチングを示すSEM像である。
【図6B】本発明の方法によるエッチングを示すSEM像である。
【図7】いろいろなパラメータのデューティサイクルによる変化を示すグラフである。
【図8】いろいろなパラメータのデューティサイクルによる変化を示すグラフである。
【図9】いろいろなパラメータのデューティサイクルによる変化を示すグラフである。
【図10】いろいろなパラメータのデューティサイクルによる変化を示すグラフである。
【図11】本発明での使用のためにどちらか選択される二つの回路を示す図である。
【図12】本発明での使用のためにどちらか選択される二つの回路を示す図である。
【図13】選択できるバイアスの詳細を示す図である。
【図14】電荷と電位と時間との関係を示すグラフである。
【図15】絶縁性基板のエッチングにおよぼされる典型的な影響を示す。
【図16】絶縁性基板のエッチングにおよぼされる典型的な影響を示す。
【図17】絶縁性基板のエッチングにおけるRFバイアスパルス化の結果を示す。
【図18】“ビーキング効果”を示すSEM像を示す。
【図19】本発明で得られたSEM像である。
【図20(a)〜(c)】RIE遅れの制御を示すSEM像である。
【図21(a)〜(d)】低周波数パルス化バイアス印加によって得られる結果を示すSEM像である。
【符号の説明】
1 基板
2 シリコン半導体
3 二酸化ケイ素下層
4 マスク層
5 溝
6 イオン
7 電子
8 チャンバー
9 プラテン
10 ウェーハまたは加工物
11 誘電体窓
12 誘導結合プラズマコイル
13 入口
14 出口
15 整合ユニット
16 連続波RF電源
17 整合ユニット
18 RF電源
19 パルス発生器
20 DC電力増幅器
21 パルス発生器

Claims (6)

  1. チャンバー内の絶縁性下層付き基板に形状をエッチングする方法であって、プラズマによるエッチング・ステップとプラズマによる不動態化層の蒸着ステップとを交互に繰り返し行い、少なくともエッチング・ステップ期間中にプラズマ中に存在するイオン群の主要成分のイオンプラズマ周波数以下であり且つパルスオフ時間が全時間の10〜90%となるように繰り返しパルス化されるバイアス周波数を基板に印加して基板の局所的エッチング又は絶縁性下層との界面における電荷損傷を減少又は排除することを特徴とする方法。
  2. チャンバー内の絶縁性下層付き基板に形状をエッチングする方法であって、プラズマによるエッチング・ステップとプラズマによる不動態化層の蒸着ステップとを交互に行う第一ステップ及びそれよりも高い圧力で実施されるエッチング及び不動態化ステップからなる第二ステップを含み、両ステップの少なくともエッチング・ステップ期間中にプラズマ中に存在するイオン群の主要成分のイオンプラズマ周波数以下のバイアス周波数を基板に印加して基板の局所的エッチング又は絶縁性下層との界面における電荷損傷を減少又は排除することを特徴とする方法。
  3. 下層に近づいたときに、高い圧力で実施されるエッチング及び不動態化ステップからなる第二ステップが開始されることを特徴とする請求項2に記載の方法。
  4. 第一ステップから第二ステップに切り換えるべき時を決定するための終点検出ステップをさらに含むことを特徴とする請求項2または3に記載の方法。
  5. 幅が狭い溝における蒸着に対して幅が広い溝における蒸着の比を増加させて、その結果、RIE遅れを減少しまたは排除することを特徴とする請求項2から4のいずれか1つに記載の方法。
  6. 絶縁性下層付き基板に形状をエッチングする装置であって、チャンバー、プラズマによる基板のエッチング・ステップとプラズマによる不動態化層の蒸着ステップとを交互に繰り返し行なう手段、および少なくともエッチング・ステップ期間中にプラズマ中に存在するイオン群の主要成分のイオンプラズマ周波数以下であり且つパルスオフ時間が全時間の10〜90%となるように繰り返しパルス化されるバイアス周波数を基板に与えて基板の局所的エッチング又は絶縁性下層との界面における電荷損傷を減少又は排除する手段、を含むことを特徴とする装置。
JP2000580243A 1998-11-04 1999-11-03 基板をエッチングするための方法と装置 Expired - Lifetime JP4163857B2 (ja)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
GB9824077.3 1998-11-04
GBGB9824077.3A GB9824077D0 (en) 1998-11-04 1998-11-04 A method and apparatus for etching a substrate
GB9901867.3 1999-01-29
GBGB9901867.3A GB9901867D0 (en) 1999-01-29 1999-01-29 A method and apparatus
GBGB9912376.2A GB9912376D0 (en) 1999-05-28 1999-05-28 A method and apparatus for etching a substrate
GB9912376.2 1999-05-28
PCT/GB1999/003630 WO2000026956A1 (en) 1998-11-04 1999-11-03 A method and apparatus for etching a substrate

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2006013130A Division JP2006148156A (ja) 1998-11-04 2006-01-20 基板をエッチングするための方法と装置

Publications (2)

Publication Number Publication Date
JP2002529913A JP2002529913A (ja) 2002-09-10
JP4163857B2 true JP4163857B2 (ja) 2008-10-08

Family

ID=27269536

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2000580243A Expired - Lifetime JP4163857B2 (ja) 1998-11-04 1999-11-03 基板をエッチングするための方法と装置
JP2006013130A Pending JP2006148156A (ja) 1998-11-04 2006-01-20 基板をエッチングするための方法と装置

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2006013130A Pending JP2006148156A (ja) 1998-11-04 2006-01-20 基板をエッチングするための方法と装置

Country Status (6)

Country Link
EP (1) EP1131847B1 (ja)
JP (2) JP4163857B2 (ja)
KR (1) KR100514150B1 (ja)
AT (1) ATE458273T1 (ja)
DE (1) DE69942034D1 (ja)
WO (1) WO2000026956A1 (ja)

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002025714A1 (en) * 2000-09-20 2002-03-28 Infineon Technologies Sc300 Gmbh & Co. Kg A process for dry-etching a semiconductor wafer surface
US6562190B1 (en) * 2000-10-06 2003-05-13 Lam Research Corporation System, apparatus, and method for processing wafer using single frequency RF power in plasma processing chamber
US6402301B1 (en) 2000-10-27 2002-06-11 Lexmark International, Inc Ink jet printheads and methods therefor
US20020139771A1 (en) * 2001-02-22 2002-10-03 Ping Jiang Gas switching during an etch process to modulate the characteristics of the etch
US6905626B2 (en) * 2002-07-24 2005-06-14 Unaxis Usa Inc. Notch-free etching of high aspect SOI structures using alternating deposition and etching and pulsed plasma
US6902867B2 (en) 2002-10-02 2005-06-07 Lexmark International, Inc. Ink jet printheads and methods therefor
US20040097077A1 (en) * 2002-11-15 2004-05-20 Applied Materials, Inc. Method and apparatus for etching a deep trench
US20050112891A1 (en) * 2003-10-21 2005-05-26 David Johnson Notch-free etching of high aspect SOI structures using a time division multiplex process and RF bias modulation
TWI249767B (en) * 2004-02-17 2006-02-21 Sanyo Electric Co Method for making a semiconductor device
WO2006012297A1 (en) * 2004-06-29 2006-02-02 Unaxis Usa Inc. Method and apparatus for reducing aspect ratio dependent etching in time division multiplexed etch processes
JP2007019758A (ja) * 2005-07-06 2007-01-25 Toshiba Corp 薄膜圧電共振素子の製造方法及び薄膜圧電共振素子
JP4593402B2 (ja) * 2005-08-25 2010-12-08 株式会社日立ハイテクノロジーズ エッチング方法およびエッチング装置
WO2007031778A1 (en) * 2005-09-16 2007-03-22 Aviza Technology Limited A method of etching a feature in a silicone substrate
JP5491648B2 (ja) * 2006-10-06 2014-05-14 東京エレクトロン株式会社 プラズマエッチング装置およびプラズマエッチング方法
JP5192209B2 (ja) 2006-10-06 2013-05-08 東京エレクトロン株式会社 プラズマエッチング装置、プラズマエッチング方法およびコンピュータ読取可能な記憶媒体
US7718538B2 (en) * 2007-02-21 2010-05-18 Applied Materials, Inc. Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates
JP4660498B2 (ja) 2007-03-27 2011-03-30 株式会社東芝 基板のプラズマ処理装置
US7629255B2 (en) * 2007-06-04 2009-12-08 Lam Research Corporation Method for reducing microloading in etching high aspect ratio structures
JP4607930B2 (ja) 2007-09-14 2011-01-05 株式会社東芝 プラズマ処理装置およびプラズマ処理方法
US7846846B2 (en) * 2007-09-25 2010-12-07 Applied Materials, Inc. Method of preventing etch profile bending and bowing in high aspect ratio openings by treating a polymer formed on the opening sidewalls
JP5308080B2 (ja) 2008-06-18 2013-10-09 Sppテクノロジーズ株式会社 シリコン構造体の製造方法及びその製造装置並びにその製造プログラム
JP5295833B2 (ja) * 2008-09-24 2013-09-18 株式会社東芝 基板処理装置および基板処理方法
JP5288555B2 (ja) * 2009-05-27 2013-09-11 サムコ株式会社 誘導結合プラズマ処理装置及びプラズマエッチング方法
US8633116B2 (en) 2010-01-26 2014-01-21 Ulvac, Inc. Dry etching method
JP5662079B2 (ja) * 2010-02-24 2015-01-28 東京エレクトロン株式会社 エッチング処理方法
JP5223878B2 (ja) * 2010-03-30 2013-06-26 株式会社デンソー 半導体装置の製造方法
JP5542509B2 (ja) * 2010-04-05 2014-07-09 株式会社東芝 プラズマ処理装置およびプラズマ処理方法
US8133349B1 (en) * 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
US8802545B2 (en) * 2011-03-14 2014-08-12 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
JP6047281B2 (ja) * 2011-10-27 2016-12-21 神港精機株式会社 プラズマエッチング方法
CN104067375B (zh) * 2012-02-01 2016-05-11 东京毅力科创株式会社 等离子体蚀刻方法和等离子体蚀刻装置
KR102034556B1 (ko) * 2012-02-09 2019-10-21 도쿄엘렉트론가부시키가이샤 플라즈마 처리 방법
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US10325759B2 (en) 2012-02-22 2019-06-18 Lam Research Corporation Multiple control modes
GB2499816A (en) 2012-02-29 2013-09-04 Oxford Instr Nanotechnology Tools Ltd Controlling deposition and etching in a chamber with fine time control of parameters and gas flow
US20140263182A1 (en) * 2013-03-15 2014-09-18 Tokyo Electron Limited Dc pulse etcher
JP6173086B2 (ja) * 2013-07-19 2017-08-02 キヤノン株式会社 シリコン基板のエッチング方法
JP5918886B2 (ja) * 2015-07-01 2016-05-18 株式会社日立ハイテクノロジーズ プラズマ処理方法
JP6697372B2 (ja) 2016-11-21 2020-05-20 キオクシア株式会社 ドライエッチング方法及び半導体装置の製造方法

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2918892B2 (ja) * 1988-10-14 1999-07-12 株式会社日立製作所 プラズマエッチング処理方法
JP3799073B2 (ja) * 1994-11-04 2006-07-19 株式会社日立製作所 ドライエッチング方法
EP1357584A3 (en) * 1996-08-01 2005-01-12 Surface Technology Systems Plc Method of surface treatment of semiconductor substrates
JP3550466B2 (ja) * 1996-08-30 2004-08-04 株式会社日立製作所 プラズマ処理方法

Also Published As

Publication number Publication date
EP1131847B1 (en) 2010-02-17
JP2002529913A (ja) 2002-09-10
KR20010080937A (ko) 2001-08-25
EP1131847A1 (en) 2001-09-12
DE69942034D1 (de) 2010-04-01
KR100514150B1 (ko) 2005-09-13
JP2006148156A (ja) 2006-06-08
WO2000026956A1 (en) 2000-05-11
ATE458273T1 (de) 2010-03-15

Similar Documents

Publication Publication Date Title
JP4163857B2 (ja) 基板をエッチングするための方法と装置
US6187685B1 (en) Method and apparatus for etching a substrate
EP0710977B1 (en) Surface treatment method and system
US8419958B2 (en) Using positive DC offset of bias RF to neutralize charge build-up of etch features
US7297637B2 (en) Use of pulsed grounding source in a plasma reactor
US6143649A (en) Method for making semiconductor devices having gradual slope contacts
KR100604741B1 (ko) 플라즈마 에칭 중 마스크 부식을 감소시키는 방법
US20070175856A1 (en) Notch-Free Etching of High Aspect SOI Structures Using A Time Division Multiplex Process and RF Bias Modulation
KR100590370B1 (ko) 플라즈마 에칭 방법
US20060043066A1 (en) Processes for pre-tapering silicon or silicon-germanium prior to etching shallow trenches
US20060105577A1 (en) Aspect ratio controlled etch selectivity using time modulated DC bias voltage
JP3533105B2 (ja) 半導体装置の製造方法と製造装置
JP4024636B2 (ja) 有機系絶縁膜のエッチング方法及び半導体装置の製造方法
US5346585A (en) Use of a faceted etch process to eliminate stringers
TW201911410A (zh) 各向異性基板蝕刻的裝置及方法
JP4351806B2 (ja) フォトレジストマスクを使用してエッチングするための改良技術
TW201426861A (zh) 半導體結構的刻蝕方法
JP2004500696A (ja) 半導体デバイスのためのセルフアライメントコンタクト
JP4577328B2 (ja) 半導体装置の製造方法
JP2917993B1 (ja) ドライエッチング方法
KR100437832B1 (ko) 반도체 소자의 금속배선 형성방법
JPH09246253A (ja) 半導体製造装置及び半導体装置の製造方法
DeOrnellas et al. The etching of silicon in a multi-frequency discharge reactor
JPH11163134A (ja) 半導体装置の製造方法
Kwon et al. Control of performance degradation induced by contact etching for a ferroelectric capacitor using a pulsed-power inductively coupled plasma

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050105

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20050322

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20050329

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050705

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20050927

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20051226

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20060208

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20060303

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080605

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20080725

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110801

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4163857

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110801

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120801

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130801

Year of fee payment: 5

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term