JP2002529913A - 基板をエッチングするための方法と装置 - Google Patents

基板をエッチングするための方法と装置

Info

Publication number
JP2002529913A
JP2002529913A JP2000580243A JP2000580243A JP2002529913A JP 2002529913 A JP2002529913 A JP 2002529913A JP 2000580243 A JP2000580243 A JP 2000580243A JP 2000580243 A JP2000580243 A JP 2000580243A JP 2002529913 A JP2002529913 A JP 2002529913A
Authority
JP
Japan
Prior art keywords
etching
substrate
plasma
bias
frequency
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2000580243A
Other languages
English (en)
Other versions
JP4163857B2 (ja
Inventor
,イヨッティ,キロン バハードワイ
アシュラフ,ヒュマ
ホプキンズ,ジャネット
リア,レズリー,マイケル
ハインズ,アラン,マイケル
ジョンストン,イアン,ロナルド
Original Assignee
サーフィス テクノロジー システムズ ピーエルシー
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from GBGB9824077.3A external-priority patent/GB9824077D0/en
Priority claimed from GBGB9901867.3A external-priority patent/GB9901867D0/en
Priority claimed from GBGB9912376.2A external-priority patent/GB9912376D0/en
Application filed by サーフィス テクノロジー システムズ ピーエルシー filed Critical サーフィス テクノロジー システムズ ピーエルシー
Publication of JP2002529913A publication Critical patent/JP2002529913A/ja
Application granted granted Critical
Publication of JP4163857B2 publication Critical patent/JP4163857B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

(57)【要約】 基板をエッチングするための方法と装置を開示する。この方法は、基板をエッチングするステップから成るか、または交互に行われる、エッチングするステップと不動態化層を蒸着するステップから成る。バイアス周波数を基板に印加することができ、この周波数はイオンプラズマ周波数以下とすることができる。バイアス周波数はパルス化することができる。

Description

【発明の詳細な説明】
【0001】 本発明は、基板たとえば半導体基板に、形状(a feature)をプラズ
マエッチングする方法と装置に関する。特に、またもっぱらというわけではない
が、基板の局所的エッチング(“ノッチング”)すなわち絶縁性下層との界面に
おける電荷損傷、または基板内溝の側壁の局所的エッチング、を減少または排除
する方法に関する。
【0002】 絶縁性下層(たとえば二酸化ケイ素)によって絶縁されているシリコン(また
は他の任意の材料)をエッチングする場合、シリコンは、工程中にプラズマから
引き出される電流により、電荷を蓄積する。この体積電荷は、電流、表面積、お
よび酸化物層上方のシリコンの厚さに比例する。考慮すべき他の要因は、シリコ
ンおよび酸化物上の表面電荷成分の蓄積である。この電荷が増大すると、この増
大に比例してイオン飛跡角度に対する影響が増大しうるため、シリコンのエッチ
プロファイルへの影響、特にシリコンと酸化物との界面におけるそれが発生する
。過剰なイオン帯電の最終的な結果は、酸化物界面におけるシリコンの局所的エ
ッチングすなわち“ノッチング”である。酸化物(または他の誘電体)層を導電
層で置き換えると“ノッチング”が観察されない、ということがわかっている。
【0003】 いくつかの用途においては、このノッチングは非常に好ましくないものである
。後処理ステップ(たとえば、シリコン分離溝の再充填)における問題の発生、
またはプロファイル劣化がおよぼすデバイス性能への影響のためである。
【0004】 この問題に対していくつかの解決策が提案されている。大部分は、蓄積表面電
荷を“中和”させる方法、または直接にシリコンのイオン帯電を減少させる方法
によるものである。たとえば、ノザワ トシヒサほか(1994 Dry Pr
ocess Symposium、Tokyo、I−8、p37ff)は、割合
に高い圧力で運転して、負イオン濃度の増大により蓄積表面電荷を“中和”する
ことによって、イオン帯電を減少させることを述べている。この方法の使用はあ
る程度の成功をおさめているが、前記の圧力での運転はエッチプロファイルにあ
る種の制限を与えるものであり、したがってエッチング全体での使用には適当で
ない。実際、割合に高い圧力を使用する方法は、シリコンが酸化物界面からエッ
チング除去されはじめる前の、シリコン界面に近づくための第二のエッチステッ
プとしてしか使用できない。
【0005】 モリオカほか、J.Vac.Sci.Technol.A 16(3)、Ma
y/Jun 1998、pp1588−1593 は、ノッチングと電荷損傷を小
さくするための、低周波数の使用を開示している。
【0006】 キノシタほか“Simulation of Topography Dep
endent Charging with Pulse Modulated
Plasma”、Proc.Sym.On Dry Process、Vol.
18、I−6、pp37−42、1996 は、ノッチおよび電荷損傷の減少ま
たは排除の実現のために連続波(CW)バイアスを使用するソースパルス化を開
示している。
【0007】 ノッチングを減少させるソースパルス化の理論は、オフ状態におけるアフター
グロープラズマの結果としてのバイアス低下に関連している。キノシタほかを参
照されたい。この方法は低圧HDP処理の場合には非常に良く機能し、したがっ
てまた固有の限界を有する。主要な欠点は、この方法はプラズマが完全に消滅し
た場合にのみ機能する、ということである。プラズマは本質的に二つの励起成分
から成り、第一のものはソース(ICP、ECRその他)から得られるものであ
り、第二のものはRFバイアスから生じるものである。これら二つの成分は、そ
れぞれ、プラズマ密度および加速のための自己バイアス電位を支配する。ソース
パワーが低下すると、それに比例して自己バイアスが増大する。したがって、ソ
ースパワーを切ると、プラズマが消えるまでまたは消えない場合、極端に大きな
自己バイアス電圧が得られる。プラズマが消えない場合(これは、キノシタを含
む先行技術引用文献に述べられている、低圧および低バイアスパワー運転の場合
、確かに正しい)、ソースパルス化は有効な解決策である。しかし、割合に圧力
の高いプラズマ(約10mTorr以上)のときには、プラズマは低バイアスR
Fパワーレベルの場合でも消えない。そのような場合、ソースパルス化法は適当
でない。
【0008】 連続波RFバイアスによるソースパワー切り替え時には、前記の理由により、
ソースパワーが切られたとき、大きな自己バイアス過渡電圧が避けられない。こ
れらの過渡は電荷損傷をもたらしうるものである。ソースパワーレベルが増大さ
せられる(エッチング速度の増大のため)と、この効果が大きくなり、許容でき
ない電荷損傷また場合によってはさらに大きなノッチングがもたらされうる。
【0009】 マルヤマほか、“Reduction in charge build−u
p with pulse−modulated bias in pulse
d ECR plasma”、IEE Proc.Dry Process S
ym.Japan、I−4 pp21−26、1997 は、13.56MHz
(高周波数)のパルス化バイアスの使用を開示しているが、最善の場合でも、少
なくとも70nmの残留ノッチ寸法を報告している。
【0010】 “ノッチング”に似た問題は、“ビーキング効果”である。これは、側壁の局
所的エッチングであり、電極における電位勾配と基板上のマスク層の局所的負帯
電とによる。
【0011】 本発明の方法は、少なくともいくつかの実施態様においては、前記の問題に取
り組むものであり、あるいはそれを軽減するものである。
【0012】 本発明の第一の側面によれば、チャンバー内の基板に形状をエッチングする方
法であって、交互に行われる、プラズマによるエッチングとプラズマによる不動
態化層の蒸着とから成り、基板に、イオンプラズマ周波数以下のバイアス周波数
を印加することを特徴とする方法が提供される。
【0013】 前記バイアス周波数は、パルス化してもしなくても良い。
【0014】 本発明の第二の側面によれば、チャンバー内の基板に形状をエッチングする方
法であって、交互に行われる、プラズマによるエッチングとプラズマによる不動
態化層の蒸着とから成り、基板に、パルス化バイアス周波数を印加することを特
徴とする方法が提供される。
【0015】 本発明のこの側面においては、高または低バイアス周波数が印加できる。
【0016】 本発明の第三の側面によれば、チャンバー内の基板に、プラズマにより形状を
エッチングする方法であって、基板に、イオンプラズマ周波数以下のパルス化バ
イアス周波数を印加することを特徴とする方法が提供される。
【0017】 イオンプラズマ周波数を、ωpiで示す。
【0018】 バイアス周波数は、RFまたはDCバイアス電源によって発生させることがで
き、好ましくは、基板を配置することのできる支持体またはプラテンに印加する
。パルス化されたDCバイアスは、基板が十分に導電性である場合、または薄い
絶縁性の層が存在しても良いが大部分の材料が導電性である構造を有する場合に
、特に適当である。DCバイアスは、連続波またはパルス化RFバイアスととも
に、パルス化することができる。
【0019】 基板は、好ましくは半導体たとえばシリコンである。特に好ましい実施態様の
場合、基板は絶縁性の下層を有する。この下層は酸化物とすることができる。シ
リコンを半導体として使用する実施形態の場合、この下層は二酸化ケイ素である
。しかし、本発明は、たとえば、ゲート酸化物上のポリシリコンその他の導体(
たとえば、WSiまたはさらに他の金属のケイ化物)のエッチングにも関する
。これらの代替物質は当業者に周知である。基板はその上表面上にマスク層を有
することができ、このマスク層は絶縁体とすることができる。
【0020】 低バイアス周波数および/またはパルス化周波数の使用により、“ノッチング
”、“ビーキング効果”、または電荷損傷の減少を実現することができる。好ま
しい実施態様においては、バイアス周波数は4MHz以下であり、さらに好まし
くは50〜380kHzの範囲内にある。
【0021】 この方法は、エッチングステップ、または交互に行われるエッチングと蒸着の
ステップにおけるよりも高い圧力で実施されるもう一つのエッチングと不動態化
のステップ(ステップ2)を含むことができる。このもう一つのステップは交互
に周期的に実施してもそうでなくても良い。特に、高い圧力で実施されるこのも
う一つのステップは、下層に近づいた時に開始される。たとえば、一つの実施態
様においては、シリコンが酸化物界面からエッチング除去されはじめる直前に開
始されるか、またはエッチング除去されはじめた直後までの任意の時刻に開始さ
れる。
【0022】 たとえば、絶縁体上の厚いシリコン(>数ミクロン)をエッチングする場合、
ステップ1を、基板へりにおいて、重要な(critical)形状が、約0.
5μmのエッチングすべきシリコンを有するようになるまで、使用する。へりは
基板中心に比して速くエッチされる。中心においては、エッチすべき約1μmの
シリコンが残っている。このあと、ステップ2を、基板のすべての点において酸
化物に到達するまで使用し、またこれを確実にするために、十分なオーバーエッ
チを使用することができる。
【0023】 このもう一つのエッチングと不動態化のステップにより、オーバーエッチ時間
の関数としてノッチ寸法が増大する速度が低下させられる。この実施態様の場合
、好ましくは、この方法は、さらに、エッチング、または交互に行われるエッチ
ングおよび蒸着の第一のステップからもう一つのエッチングおよび不動態化ステ
ップに切換える時を決定する終点検出ステップを含む。
【0024】 しかし、バイアス周波数をパルス化する場合、高い圧力におけるもう一つのエ
ッチングと不動態化のステップは必要でなく、したがってプロセスウィンドウが
ずっと大きくなって、大きな平均エッチング速度が可能になり、またプロファイ
ルの劣化が避けられる。さらに、この実施態様の場合、終点検出は必要でなく、
ずっと大きな形状寸法範囲にわたって大きく改良されたノッチ幅制御が実現され
る。実際、この方法によれば、ノッチングが消滅しうる。
【0025】 デューティサイクルを、パルスオン時間(またはパルス幅)とパルスオフ時間
の比として定義する。理想的な場合に必要となるパルス幅とデューティサイクル
は、バイアス周波数と酸化物界面に蓄積される電荷に関するRC時定数とに依存
する。注意すべきことは、工業的には、先行技術におけるような著しく強力な電
源でなく、バイアス印加に使用される低出力の電源をパルス化するのが魅力的で
ある、ということである。
【0026】 放電の程度を決定するのはパルスオフ持続時間である。
【0027】 パルスオフ時間は、好ましくは100μsよりも長い。パルスオフ時間は、好
ましくは10〜90%の時間(すなわち、デューティサイクルの10〜90%)
とする。好ましくは、デューティサイクルはパルスオフ時間に対応させて制御す
る。短いパルスオフ時間の場合、大きなデューティサイクルとすることができ、
その逆も言える。
【0028】 イギリス特許出願第9827196.8号明細書に記載されているような磁気
フィルターを使用して、プラズマが生成されるチャンバーの空間部分を、ウェー
ハまたは加工物支持体が配置される空間部分から部分的または完全に分離するこ
とができる。このフィルターは、プラズマ密度を低下させる効果を有するが、ま
た電子温度をも低下させて、多数の負イオンがウェーハ近辺に到達するのに有利
な条件を作り出す。ウェーハ支持体における十分に低い周波数のバイアスととも
に使用した場合、正イオンはサイクルのより負の部分においてウェーハに向って
加速される。負イオンと電子はサイクルのより正の部分においてウェーハに向っ
て加速される。電子の割合が極端に小さくないかぎり、付加的な負の自己バイア
スが生成される。サイクルの相当部分においてウェーハに到達する負イオン束は
、正イオンと同様にして材料をエッチするが、正イオンによって発生する帯電を
減少させるようにも作用する。必要であれば、バイアスのパルス化を使用するこ
とができる。
【0029】 本発明のもう一つの側面によれば、前記方法の任意のものを実施する装置であ
って、基板に形状をエッチングする装置において、基板のための支持体を有する
チャンバー、およびプラズマにより基板に形状をエッチングする手段から成る装
置が提供される。
【0030】 本発明の方法に応じて、前記装置は、また、交互に行われる、プラズマによる
基板のエッチングおよびプラズマによる不動態化層の蒸着のための手段、基板に
イオンプラズマ周波数以下のバイアス周波数を与える手段、およびバイアス周波
数をパルス化する手段、のうちいずれかまたはすべてを有することができる。
【0031】 以上、本発明を定義したが、本発明は前記または下記の説明に示す特徴の任意
の発明的組合せを含むと理解すべきである。
【0032】 本発明はいろいろなやり方で実施することができる。以下、添付の図面を参照
しつつ例として特定実施形態について説明する。
【0033】 図1Aおよび1Bには、基板の全体が1で示されている。基板1は、二酸化ケ
イ素下層3を有するシリコン半導体2から成る。半導体2の上表面上には、マス
ク層4がある。たとえばWO−A−94/14187号明細書に開示されている
方法によって、プラズマにより基板をエッチングすると、溝5が形成される。イ
オンを6で示し、電子を7で示す。
【0034】 本発明は、ノツチングを減少または排除しようとするものである。
【0035】 RFバイアスをプラテンに印加すると、イオンはRFサイクルの大部分の期間
においてウェーハ/加工物に向って加速される。イオン束とつり合うようにウェ
ーハに到達しなければならない電子は、RFサイクルの正の部分の大部分におい
てのみウェーハに到達するだけである。
【0036】 ωpiよりも大きな高周波数の場合、イオンは瞬間電位に応答することができ
ず、大体Vpp/2の程度の時間平均電位によって加速される。ウェーハに到達
するイオンは、一般に、Vpp/2付近に中心を有する割合に幅の狭いエネルギ
ー分布関数を有する。
【0037】 ωpiよりも小さな低周波数の場合、イオンはある程度瞬間RF電位に応答す
ることができ、周波数が減少するにつれてますますそうなる。したがって、イオ
ンエネルギー分布関数は、周波数の低下につれて徐々に幅広になり、エネルギー
は、Vpp/2近くの中心のまわりで、上限Vppと0に近い下限とに向って広
がる。
【0038】 高周波数の場合、イオンエネルギー分布は割合に多数の高エネルギーイオンを
有し、これらのイオンのうち大部分が深い溝の底に到達することができる。エッ
チングが絶縁性下層まで進行するとこの下層が正に帯電することになり、その後
のイオンの偏向をもたらし、ノッチングが生じる。この大きな正電位の上昇は電
子によって制限される。電子は正電荷の蓄積につれてますます多く引きつけられ
るようになる。電子の主要な作用は、その等方的方向性により溝の上表面(特に
へり)を負に帯電させることである。これは“電位障壁”として作用し、表面負
電荷の増大につれて、溝内にはいっていく電子の数が高効率で減少させられるこ
とになる。逆に、イオンは狭い角度広がりと強い指向性とを有する。アスペクト
比が増大すると、電子は溝の底に蓄積した正電荷からますます強く遮蔽される。
この正電荷による正味の電場効果が低下するからである。
【0039】 低エネルギーのイオンは小さな指向性、言い換えると、大きな方向的等方性を
有する。そのため、これらのイオンは表面電子電荷を中和する能力が大きい。低
周波数の場合、イオンエネルギー分布関数は幅が広がり、したがって低エネルギ
ーイオンの数が増大する。そうすると、溝の頂部における負電荷の中和がある程
度起り、したがってさらに少しだけ“電位障壁”が低下して、さらに多くの電子
が溝の底に到達できるようになり、絶縁性層上に蓄積している正電荷が減少する
。すると、溝の底の電位が下がり、したがってまたノッチングの程度も小さくな
る。
【0040】 プラテンに印加されるRFバイアスがパルス的にオン・オフされると、オフ時
間中、イオンさやが弱まって定常的DC状態になり、この状態のとき、ウェーハ
は通常のプラズマ浮遊電位に到達する。すべてのイオンは、RFバイアスの場合
に比して、ずっと小さなエネルギーまで加速され、大きな角度分布を有する。し
たがって、さらに溝の頂部付近の負電荷を減少させる能力が増大し、また溝の底
における絶縁性層の正帯電のさらなる低下がもたらされる。その結果、図1Bに
示すように、ノッチングが減少する。溝の底における正電位も、さらなる電位障
壁の低下により絶縁性表面への電子の接近が容易になるので、さらに低下する。
RFオフ時間中、正イオンの低エネルギーは溝の底の不動態化層の除去には不十
分であり、不動態化層はRFがふたたびオンとされたときの高エネルギーイオン
によってのみ除去される。
【0041】 したがって、容易にわかるであろうが、ノッチングの減少および絶縁性表面に
おける高い電位の引き下げは、RFバイアス周波数の減少によって実現すること
ができ、またさらなる減少および引き下げがRFバイアスをオン・オフすること
によって実現される。このとき、RFバイアスのオフ時間は、酸化物表面帯電の
指標となるRC時定数よりも大きくなければならない。このオフ時間は、低周波
数(380kHz)の場合、数ミリ秒の程度、高周波数(13.56MHz)の
場合、数百マイクロ秒の程度と評価される。
【0042】 以上をまとめると、図1Aは次のことを示す。 1.加速されたイオンは指向性であり、電子は等方的である。 2.指向性イオン
【外1】 は溝の底に到達し、酸化物上の電荷の蓄積をもたらし、電位Vを生じる。 3.方向的に等方的な電子は、溝の表面を帯電させ、わずかな部分が底まで到達
して、イオン電荷を中和する。 4.酸化物上のイオン電荷電位Vはイオンエネルギー分布関数(IEDF)に
よって決定される。キノシタほかを参照されたい。 5.エネルギー>Vのイオン
【外2】 はVの上昇に寄与する。 6.エネルギー<Vのイオン
【外3】 (影付き)は、Vによって側壁に向って偏向され、ノッチングに寄与する。
【0043】 図1Bは次のことを示している。 1.IEDFの変化により、多数の低エネルギーイオン
【外4】 (影付き)が与えられる。 2.これらのイオン
【外5】 (影付き)は、方向的等方性が大きく、かつ小さな平均イオンエネルギーを有す
る。 3.これは、溝の頂部における電子帯電の低下をもたらし、したがって溝の底に
到達し、電荷を中和する電子eの数を増大させる。 4.溝の底に到達するイオンは、小さなエネルギーを有するが、なお指向性を有
している。RFバイアスがオフ状態にあると、イオンはプラズマと浮遊電位との
間の電位差に相当するエネルギーを得る。 5.これらの効果により、電荷電位Vが低下する。 6.この電荷電位は酸化物損傷の起るしきい値よりも小さくすることができる。
7.低エネルギーのイオンは、Vにより、大きな角度分布で、側壁に向って偏
向される。 8.これらのイオンはノッチングを引き起こすのに十分なエネルギーを持ってい
ない。
【0044】 注意すべきことは、通常の非切り換えプラズマエッチング手段も使用すること
ができるということであり、それらは当業者に周知のものである。
【0045】 パルスサイクル中、溝の底の絶縁性層の電荷と電位は、図14に実線で示す傾
向に従う。RFバイアスは、t=0からt=tまでの時間、プラテンに印加さ
れる。正の電荷が溝の底に多くなると、正の電位により、電子の一部が引きつけ
られる。破線で示すように、電荷と電位が飽和したとき、電位はそれ以上の正イ
オンが溝の底に到達するのを妨げるレベルに達している。時刻tにおいて、R
Fバイアスが切られ、大きな角度広がりを有する低エネルギー正イオンが溝の頂
部近くの負電荷を中和しはじめ、したがってより多くの電子が溝の底に到達でき
るようになる。溝の底の正電荷は、電子束と正イオン束がつり合いに達するまで
、どんどん減少し、電位が低下する。
【0046】 放電がはじまる前に、溝の底の蓄積電荷と電位を減少させるために、RFバイ
アスゲートパルス長を図14に示すものから減少させるのが、一般に好ましい。
【0047】 図2Aには、先行技術に関して前述した、酸化物との界面におけるシリコンの
ノッチングのSEM像が示してある。これは、13.56MHzのバイアス周波
数で周期的エッチング/不動態化(ステップ1と呼ぶ)のみを用いて得られた結
果である。図2Bは、二ステップ(ステップ1とステップ2)プロセスを用いて
得られたノッチングなしのプロファイルである。ステップ2のプロセスは、前述
のように、高い圧力と大きな不動態化レベルとにより実施した。13.56MH
zのバイアス周波数を使用した。ステップ1における溝のエッチには、WO−A
−94/14187号明細書に開示されている方法(周期的エッチ/不動態化)
を用いた。ステップ2は同じやり方で切り換えてもそうでなくても良い。ここに
示すものでは、ステップ2でも切り換えを、ただし高い圧力と大きな不動態化濃
度とにおいて、ノッチングを減少させるために行った。これらの択一的プロセス
条件は次の通りとすることができる。
【0048】ステップ1 エッチステップ: 130sccm SF(8sのサイクルオン時間)、600Wコイルパワー(
13.56MHz)、8〜12Wプラテンパワー(13.56MHz)、20m
Tの圧力、20℃のプラテン温度。 不動態化ステップ: 100sccm C(5sのサイクルオン時間)、600Wコイルパワー
(13.56MHz)、0Wプラテンパワー(13.56MHz)、17mTの
圧力、20℃のプラテン温度。ステップ2 エッチステップ: 100sccm SF+60sccm C(12sのサイクルオン時間)
、600〜800Wコイルパワー(13.56MHz)、12〜17Wプラテン
パワー(13.56MHz)、65〜75mTの圧力、20℃のプラテン温度。
不動態化ステップ: 120sccm C(5sのサイクルオン時間)、600Wコイルパワー
(13.56MHz)、0Wプラテンパワー(13.56MHz)、55mTの
圧力、20℃のプラテン温度。
【0049】 このステップ2は、大体数ミクロンまてのエッチ深さに適している。図3に示
すSEMは、これよりも大きいエッチ深さにステップ2を使用した結果であり、
この第二のステップの限界を示している。一般に、この第二のステップは、エッ
チング速度が小さく(1/3または1/4)、また深さが大きくなるとエッチン
グ終端にグラス生成が起るという点で、第一のステップと異なっている。このよ
うに、第一のステップは割合に大きなエッチング速度を有し、また残留物または
グラス生成による深さ制限がない。どちらの効果も、第二のステップにおける強
力な不動態化の結果であり、この不動態化は、高い圧力とともに、ノッチングを
減少させるために必要である。したがって、第一のステップは蒸着よりもエッチ
ングに有利に(正味の蒸着蓄積がない)つり合うようにし、一方第二のステップ
は、正味の蒸着蓄積があるようにつり合わせる。第一のステップはどんどんノッ
チを形成させるように作用するので、このステップを適当なときに停止させ、ノ
ッチングが起る前に第二のステップに切り換えることが重要である。この切り換
えどきは、一般に終点検出システムによって検出され、終点検出システムは酸化
物層に到達した瞬間を検出するか、または界面に近づきつつあるときに残りのシ
リコン厚を検出するのに使用することができる。公知の方法においてこの終点法
を使用することは、ウェーハ間での再現性が確保されるような第一のステップか
ら第二のステップへの切り換えを容易にするために必須である。酸化物上の初期
シリコン厚が変化しうる場合には特にそうである。
【0050】 第二のステップは、また、オーバーエッチングを許容しなければならない。オ
ーバーエッチングは、基板(ウェーハ)の任意の部分で(シリコンがエッチング
除去されたことにより)最初の酸化物領域が露出したあと、さらに行われるエッ
チングの時間と定義される。エッチング速度は基板を横断して、通常は半径方向
に、変化するので、いくつかの領域で他の領域に先がけて界面露出が起る。これ
が意味することは、基板のすべての領域からシリコンを除去するためには、ある
程度のオーバーエッチングが必要であるということである。また、他の公知の効
果たとえば“RIE(反応性イオンエッチング)遅れ”および“マイクロローデ
ィング(Microloading)”が意味するのは、エッチング速度は、さ
らに、形状の寸法、および基板ウェーハの各領域においてシリコン露出までのマ
スク比が異なること、によって変化しうるということである。このことから、オ
ーバーエッチングと、オーバーエッチングの間エッチプロファイルを維持するこ
ととの必要性を特に重要視すべきである。
【0051】 本発明は、一つの実施形態において、パルス化された低周波数RFバイアス電
源を使用して、酸化ケイ素のイオン帯電を著しく低下させることにより、ノッチ
ングを排除するものである。
【0052】 公知の方法において、RFバイアスは、2、3MHz〜40MHzの範囲、代
表的には13.56MHzで、印加する。しかし、この周波数はイオンプラズマ
周波数よりも大きく、したがってイオンがこのRF場に応答しない、ということ
がわかった。イオンはDC自己バイアス電位にのみ応答する。電子は、寸法と質
量が小さいため、ずっと大きな移動度を有し、RF励起に応答する。
【0053】 本発明の一つの実施形態においては、バイアス周波数380および187MH
zを使用した。図4に示すSEM像が示すのは、どちらかの低い励起周波数を使
用したときにシリコン/酸化物界面で得られた最善の結果である。
【0054】 低周波数のみの(非パルス化)プロセス条件は、次のものとすることができる
ステップ1 エッチステップ: 100sccm SF(5sのサイクルオン時間)、600Wコイルパワー(
13.56MHz)、4〜8Wプラテンパワー(380kHz)、20mTの圧
力、20℃のプラテン温度。 不動態化ステップ: 130sccm C(5sのサイクルオン時間)、600Wコイルパワー
(13.56MHz)、0Wプラテンパワー(380kHz)、約22mTの圧
力、20℃のプラテン温度。 ステップ1のプロセス時間は合計で8分。ステップ2 エッチステップ: 100sccm SF+60sccm C(10sのサイクルオン時間)
、800Wコイルパワー(13.56MHz)、6〜8Wプラテンパワー(38
0kHz)、約60〜75mTの圧力、20℃のプラテン温度。 不動態化ステップ: 130sccm C(5sのサイクルオン時間)、800Wコイルパワー
(13.56MHz)、0Wプラテンパワー、約45mTの圧力、20℃のプラ
テン温度。
【0055】 図4Aはステップ1においてのみ低周波数バイアスを使用した結果を示す。図
4Bはステップ1とステップ2との両方において低周波数バイアスを使用した結
果を示す。図4Cはシリコン−酸化物界面における溝底部の拡大図である。低周
波数の場合でも、図4Bに示す無ノッチの結果を実現するためには、高不動態化
濃度の高圧力プロセスの使用が必要であることがわかった。この場合も、この高
圧力プロセス/高不動態化ステップは、エッチ深さが約5μmを越えると表面残
留物すなわち“グラス(grass)”が出現するため、エッチ深さ全体にわた
っては使用できなかった。先行技術の高周波数バイアスの場合と同様に、この第
二のステップのエッチング速度は小さく、したがってまた、長い第二のステップ
の処理により直接プロファイル湾曲がもたらされる。それでもなお、本発明の低
周波数バイアス印加には利点がある。これをまとめると、図5に示すように、オ
ーバーエッチング時間の関数としてのノッチ寸法の増大速度が低下することであ
るということができる。この利点は、高周波数の場合に比して、ノッチ寸法のよ
り良い制御を可能にする。
【0056】 ステップ1からステップ2への切り換えどきの決定のために、ここでも終点検
出が必要である。
【0057】 イオンプラズマ周波数は下記の式によって与えられる。
【式1】 この式で、n 、mはイオン密度と質量、Zはイオン価、eは電気素量、ε
は真空の誘電率である。
【0058】 エッチングステップは、一般に、フッ素を大量に放出するガスたとえばSF またはNFを用いて実施し、一方蒸着は重合ガスたとえばCを用いて実
施する。Oを、エッチングステップ時にのみ添加することができる。たとえば
エッチングガスがSFであるとすると、前記式を使用して、下記の表1に示す
ように、ωpiを計算することができる。
【0059】 下記の表1は、シリコンのエッチに使用されるSF プラズマ中に存在しう
る一連の一価イオンのイオンプラズマ周波数値を示す。このデータによれば、イ
オンプラズマ周波数は5〜10MHzの範囲にある。しかし、ここで問題になる
割合に低い電子温度と低い圧力とのプラズマの場合、主要なイオンはSF
よびSF5+であると考えられる。したがって、低い値のイオン周波数がもっと
も強く関与する。ここでは、ωpi(MHz単位)を5MHzよりも小さくとる
【表1】
【0060】 イオン帯電は、イオンがRF場に強く応答するとき、すなわちイオンプラズマ
周波数付近またはそれ以下の周波数のとき、低下すると考えられる。たとえば、
バイアス周波数が<4MHzのとき、RF場に対するイオン応答はシリコン表面
のイオン帯電効果を低下させる。すなわち、RFサイクルの一部において、イオ
ンは、カソードとして作用するプラテンに向って加速されず、したがって電子は
一部分しか蓄積正電荷を中和できない。
【0061】 低周波数バイアスのパルス変調の結果を図5に、SEM像を図6Aと6Bに示
す。このデータは、380kHzバイアス周波数、2.5〜5msのパルス幅、
<50%のデューティサイクルを使用した場合、ノッチ寸法が劇的に減少すると
いうこと(すべての場合に、へりあたり<150nm)を示す。このSEM像と
グラフは、オーバーエッチ時間の関数としてのノッチ寸法の増大速度が著しく減
少するということを示している。このノッチの減少は低圧力で、不動態化の促進
なしで実現されているので、この方法においては追加ステップがもはや必要でな
いということになる。もっとも重要なことは、SEM像が、単一のステップのみ
の高速のエッチングにおいて長いオーバーエッチングのあとでもシリコンのノッ
チングなしの結果が得られることを示しているということである。これはまた、
もはや終点検出の必要がないということをも意味する。第二のステップがないか
らである。
【0062】 実験を、一連のパルス幅(100μs〜5ms)およびデューティサイクル(
30〜100%)に関して実施した。結果は、<50%デューティサイクルの場
合のパルス幅<2.5msのとき、言い換えるとパルスオフ時間>2.5msの
とき、ノッチングがもっとも十分に排除される、ということを示した。
【0063】 図7は、2.5msおよび5msのパルス幅の場合について、ノッチ寸法をデ
ューティサイクルの関数として示したものである。このデータは、3μm、>5
:1アスペクト比溝の場合のものである。パルス幅が大きくなると、ノッチの排
除には小さなデューティサイクルが必要である。これは、帯電モデルと一致して
、大きなパルス幅の場合には表面電荷が多くなり、したがってその減少のために
長いオフ時間が必要であるということを示す。
【0064】 パルス化RFバイアスは、ノッチ減少と電荷損傷減少のほかに、加工物または
ウェーハへの高いレベル(エネルギーおよび/または密度)のイオン衝撃が有害
な作用をおよぼさないというさらなる利点をも有する。これらの利点のいくつか
の例としては、マスクおよび/または下層材料に対する高い選択率、およびRI
E遅れ効果の著しい低下がある。
【0065】 シリコン(単結晶または多結晶)のエッチングの場合、マスク材料は一般にフ
ォトレジストまたは酸化ケイ素であり、また通常下層も酸化物である。これらの
材料はどちらも、イオンエネルギーおよび密度に依存するプラズマエッチ速度を
有する。イオン衝撃の役割は、酸化物または橋かけ結合ポリマーフォトレジスト
材料における化学および物理結合を切断することである。イオンエネルギーがし
きい値よりも低い場合、一般に二、三十eVよりも小さい場合(<20eV)、
これらの材料に対しては非常に小さなエッチ速度しか得られない。材料における
結合が切断されない場合、化学エッチングの進行が困難になる。また、イオンエ
ネルギーがしきい値以上である場合、侵食速度はイオンのパルス化によって低下
しうる。この場合、侵食は、大部分、イオンが表面をたたくサイクル部分におい
てしか起らないからである。一般に、デューティサイクルが小さいほど、これら
の材料に対するエッチ選択率が大きくなる。図8は、デューティサイクルが減少
するとき、シリコンとフォトレジストとの間のエッチングのエッチ選択率が増大
することを示す。これは、図に示されている条件下での、2.5msパルス幅に
対するものである。同様の結果は酸化物に対しても得られている。結局、これが
意味するところは、低周波数のパルス化バイアスをノッチングの排除または減少
のために使用できるが、また一方で大きなマスクおよび下層選択率も発生しうる
ということである。ここでパルス化という言葉の意味は、オン−オフ繰り返し切
り換え(パルス幅とデューティサイクルによって定められる)のことであり、こ
の切り換えにおいては、交互に行われるエッチ/不動態化周期プロセス中に電源
の“オン”部分においてRF(バイアス)パワーがパルスとして送られる。
【0066】 RIE遅れ(アスペクト比依存エッチングまたはARDEとも呼ばれる)は、
異なる開口寸法またはクリティカルディメンションを有する形状において見られ
るエッチ深さの違いを定める。RIE遅れは、アスペクト比が大きくなるにつれ
て、反応性前駆物質および生成物種が溝のエッチングフロントまたは底部に到達
することおよび飛び去ることの困難が増大することによって生じる。正味の効果
は、大アスペクト比の形状は低アスペクト比の形状よりも低速でエッチされると
いうことである。本発明はRIE遅れの減少またはさらに進んで排除を行いうる
ものである。デューティサイクルが減少すると、シリコンのエッチ速度が低下す
るのが観察される。図9を参照されたい。この図は、2.5msパルス幅および
3〜50μmの溝幅に関するデータを示す。しかし、エッチ速度はすべてのアス
ペクト比に関して同じ割合で減少するわけではない。実際、この図に見られる傾
向によれば、2.5msパルス幅における40%デューティサイクルの場合、す
べての形状が同じ速度でエッチされ、RIE遅れすなわちARDEがゼロになる
。その理由を明らかにするには、周期的なエッチおよび不動態化のプロセスの詳
細を解明する必要がある。不動態化ステップ中、保護ポリマーが、プラズマにさ
らされるすべての表面に蒸着される。しかし、溝の底部への蒸着厚およびステッ
プカバレージは両方ともアスペクト比に依存する。アスペクト比が増大すると、
輸送の制限により、溝の底部における不動態化が確実に遅くなる。言い換えると
、幅広の溝には幅の狭い溝に比してより厚い蒸着がなされる。すると、今度は、
幅広の溝においては、シリコンエッチングを行いうるようになる前に、より多く
の蒸着物質を除去しなければならないということになる。したがって、幅広の形
状においては実際にエッチ速度がずっと大きいが、エッチと不動態化の(除去と
蒸着)の差引きの効果は、狭い形状と広い形状のエッチ速度を均衡させるという
ことである。このRIE遅れ補償効果は、同じ効果をもたらす、エッチおよび蒸
着の条件の上手なつり合いを可能にするいくつかの方法で実現することができる
。パルス化RFバイアスもこの効果を示す。図9は、溝幅3〜50μmの場合に
ついて、2.5msパルス幅のときのデューティサイクルの関数としてのエッチ
速度を示す。エッチ速度がデューティサイクルの減少につれて減少している。減
少の割合は、デューティサイクルが減少するにつれて、溝幅とともに小さくなっ
ていき、40%デューティサイクルにおいて、エッチ速度が大体同じになり、ゼ
ロRIE遅れまたはARDEになる。
【0067】 RIE遅れの減少および排除の方法について前述した。重要なステップは、狭
い溝に比して広い溝では蒸着の比率を大きくすることである。一般に、この比率
は>1であり、ここで開示する方法ではこの比率をさらに大きくする。その実現
には、パルス化バイアス印加を使用する。同じ効果したがって同じ結果の実現に
他の手段たとえばパラメータ傾斜を使用することも可能である。
【0068】 図20aは、40μmの深さまでエッチした幅2μmおよび5μmの溝におけ
るRIE遅れの違いを示す。図20bは、狭い溝に比して広い溝では蒸着比率を
大きくするという方法によって、RIE遅れを排除したものを示す。図20cは
、この比率をさらに大きくすることによって生じる逆RIE遅れを示す。これは
、パラメータ傾斜(たとえば、EP−A−0822582またはEP−A−08
22584号明細書に開示されている)を使用して、実現したものである。下記
の表は、これを実現するためのプロセス条件(傾斜)を示す。
【表2】
【0069】 図21は、低周波数パルス化バイアス印加を使用して得られた結果を示す。図
21a〜21dは、2〜5msパルス幅において、デューティサイクルが70%
から40%に減少するときのRIE遅れの減少を示す。エッチされた溝のプロフ
ァイルの変化にも注意されたい。
【0070】 ウェーハへのイオン衝撃は側壁の不動態化(ステップカバレージの一部)にも
影響する。したがって、これは、エッチされた溝の側壁角度の制御に重要な役割
を演じる。一般に、強力な不動態化を行うかまたは不動態除去速度を低下させる
かすると、溝の角度が減少する。ここで、90度よりも小さな角度は正のテーパ
を有する溝を示し、90度よりも大きな角度は凹角のテーパを有する溝を示す。
デューティサイクル(2.5msパルスの)の減少がプロファイルにおよぼす影
響を、3〜50μmの溝幅に関して、図10に示す。これは、溝側壁の精密な制
御にRFバイアスパルス化が有効に使用できることを示している。5msパルス
幅の場合にも非常に良く似た結果が得られた。これらの傾向は、デューティサイ
クルを減少させることにより、イオンによる溝側壁からの不動態除去が有効に減
少させられることを示す。
【0071】 図11は、プラテンに供給するRFをパルス化するための回路を示す。
【0072】 この装置は、プラテン9を備えたチャンバー8を有し、プラテン9上にはウェ
ーハまたは加工物10が配置される。チャンバー8は、誘導結合プラズマ(IC
P)コイル12で包囲された誘電体窓11をも有する。ガスを、入口13からチ
ャンバー8内に送ることができ、また出口14からポンプ排気することができる
。示されている実施形態の場合、ICPコイル12は整合回路15に接続してあ
り、該回路はCW RF電源16に接続されている。プラテン9は整合ユニット
17に接続されており、該ユニットはRF電源18に接続され、該電源はパルス
発生器19に接続されている。
【0073】 加工物が十分に導電性である場合、または薄い絶縁性層が構造内に存在しても
良いが材料の大部分が導電性である構造を加工物が有する場合、プラテンに印加
されるパルス化RFの代りに、プラテンに印加されるパルス化DC電圧を使用す
ることができる。
【0074】 図12に示す回路は、二つの値の間でパルス化されたDC電圧をプラテンに印
加することができる。一般に、プラズマ加工装置においては、電圧を次のように
することができる。 1)プラズマ電位に対して十分に負で正イオンを加工物表面に向って加速し、正
イオンに狭い角度分布と材料のエッチに適当なエネルギーとを与える。 2)プラズマ電位に対してわずかに負で(浮遊電位に近い)、イオンが加速され
るさやを形成するようにするが、加速は割合に小さなエネルギーまでで、イオン
の角度分布が大きくなるようにし、したがってイオンが負電化中和に重要な役割
を演じるうるようにする。
【0075】 より負のバイアスレベルにおける“オン時間”、およびプラテンがそれぞれの
DC電圧にバイアスされる時間の相対長さは、プラテンのパルス化RFバイアス
におけると同様に選択することができる。低い方の負の電圧は、一般に、パルス
化RFバイアスのオフ時間中に到達する浮遊電位に近いように選択することがで
きるが、図12に示す回路は、電位の他の選択したがってイオンのエネルギーと
角度分布の選択をも可能とするであろう。
【0076】 場合によっては、パルスサイクルの低い方の負の電圧の代りに、正の電圧を使
用して、電子と負電位のイオンとを加工物に向って意図的に加速して、放電機構
を助け、正イオンをはじきとばすようにすることができる。電子の加工物への加
速は、放電の実施に必要な時間の減少をもたらしうるものであり、したがってパ
ルス化サイクルのエッチ部分の増大を可能とし、大きな総合エッチ速度をもたら
す。
【0077】 図12においては、図11と同じ要素が存在する場合、それらの要素は同じ参
照番号で示す。図12の異なる点は、プラテン9に接続されたDC電力増幅器2
0が備えられているということである。パルス発生器21が増幅器20に接続さ
れている。
【0078】 図12に示す回路の代替回路においては、より負のバイアス電圧を与えるため
にDC電源を使用することができる。このとき、適当な電子スイッチをこの電源
とプラテンとの間に接続する。このスイッチは、パルス発生器によって制御する
ことができ、閉鎖状態と開放状態との間の切り換えを行う(開放状態のとき、プ
ラテン電位は局所的な浮遊電位に達する)か、またはバイアスが代りに第二のD
C電源によって与えられるように切り換えを行う。
【0079】 選択できるバイアスの詳細を図13に示す。
【0080】 プラテンに対するパルス化DC電圧の印加は、導電性おまたは半導電性加工物
の処理の場合に利点を有する。この技術は、薄い絶縁性層を有し、この層のキャ
パシタンスが、電圧パルス化の反復速度の程度のRC時定数とするのに十分な大
きさであるような、加工物にも使用することができる。
【0081】 一例として、ウェーハは1μm程度の厚さの薄い酸化ケイ素層を有するシリコ
ンから成ることができる。この層の上部にはさらに薄いシリコン層が配置される
【0082】 平行平板コンデンサー:C=εεA/d ここでA=平板面積、 d=平板間の距離、 ε=真空の誘電率、 ε=比誘電率。 150mmウェーハの場合、A=0.0177m、d=1×10−6m ε=8.854×10−12F/m、ε=4、酸化物層の代表的な値である
が、 それぞれの材料によって異なる。 したがって、C=6.3×10−7F プラズマ抵抗が約10Ωの場合、RC時定数=6×10−6sec。 プラズマにさらされる表面の電圧が妥当な程度に印加電圧に追随することを確実
にするためには、パルス長を約10μsとすることが必要であろう。もっと薄く
、したがってキャパシタンスの大きな絶縁性層の場合、パルス長を大きくするこ
とができであろう。
【0083】 本発明は、プラズマエッチング時に蓄積しうる表面電荷を減少させる方法に関
する。したがって、本発明は、電気絶縁性の基板たとえばガラス、石英、サファ
イア、ジルコニア、その他をエッチングするときに電荷蓄積が有害な影響をおよ
ぼす場合にも適用することができる。これらの材料をプラズマ放電によってエッ
チングする場合、有害な効果たとえば“ピット形成”損傷または侵食(図15に
示すようなもの)およびプロファイル異方性の低下(図16に示すようなもの)
が表面帯電の結果として発生しうる。この表面電荷を減少させるためのRFバイ
アスのパルス化によって、これらの有害な効果が両方とも減少することにより、
ただちにエッチング結果が改善される。図17はこの事実を石英の深いエッチの
場合について示す。ここでは、12msのパルスオフ幅および50%のデューテ
ィサイクルとした低周波数RFバイアスパルス化を使用したが、プロファイルの
異方性が保たれている。
【0084】プロセス条件
【表3】
【0085】 平行側壁を有する溝のエッチングを実現するためには、イオンが表面に垂直に
基板に入射することが重要である。基板上のすべての位置でそうなるようにする
ためには、イオンはすべて、基板の表面に平行な成分をほとんどまたはまったく
有しない電場によって加速されなければならない。
【0086】 誘導結合プラズマ装置(他のプラズマ発生源たとえばECR、ヘリコン、ヘリ
カル共振器を使用する装置でも)の通常の動作条件下では、基板を支える電極は
RF電源によって駆動される。負のバイアスが基板に与えられ、イオンが、プラ
ズマから基板の表面まで空間電荷さやを横断して加速される。理想的な状況では
、電位は基板表面のすべての点で同じになるであろう。しかし、実際の状況化で
は、電位の変化があり、したがって基板表面を横断して電場成分が生じる。基板
表面に平行な電場成分は、もはや基板表面に垂直でないイオン飛跡をもたらす。
【0087】 溝のエッチングに関与するイオンが基板表面に平行な電場成分によって偏向さ
せられると、それらのイオンは溝の側壁に入射することがある。これにより、直
接または間接に側壁の局所的エッチングが起り、図18に示すような、プロファ
イル非対称性をもたらす“ビーキング効果”が生じる。低RFバイアスパワーレ
ベルを使用すると、この効果は、交互エッチ/蒸着ステップの場合、たとえば、
EP−A−0822582号およびEP−A−0822584号明細書に示され
ているように、よりはなはだしくなる。
【0088】 RF駆動電極の構成は、真空の完全性と、RF駆動される要素と接地される要
素との間の電気絶縁の備えとに関して、ある種の基準を満たさなければならない
。実用電極の場合、RFパワーの接続は電極の中心付近でなされるであろうし、
駆動される要素と接地される要素との、絶縁体を間にはさんだ固定合体は、へり
付近でなされるであろう。この構成は、組立てるのに実用的であるが、RFパワ
ーが、駆動されるプラテン(基板を支持する電極頂部)から、電極アセンブリの
へりにある接地される要素に容量結合されうる、という潜在的欠点を有する。R
Fパワーの中心近くの電極への供給と、このパワーの一部のへり近くの接地要素
への容量結合とにより、電極の中心とへりとの間にかなりの電位勾配が発生しう
る。もっと詳しく言えば、これは、この構成が、電極アセンブリのRFパワーが
供給される点と接地要素との間のインピーダンスの相対的大きさによって決定さ
れる電極の特定位置の電位に対する分圧器として作用するからである。RF駆動
要素と電極のへりにある接地要素との間のキャパシタンスは、形態的変更により
ある程度低下させることができるが、実際的な限界がある。簡単にRFパワーを
電極のへり付近に供給するということにより、この問題を小さくすることができ
るが、適切な解決策ではない。RFパワーが高周波数(≧13.56MHz)で
供給される場合には、特にそうである。RF周波数が低下すると、電極へりにお
ける、駆動要素と接地要素との間の容量結合の程度は低下する。これは、このキ
ャパシタンスによるリアクタンスが1/(ωC)で変化するからである。この式
でωは角周波数(ω=2πf)、Cはキャパシタンスである。周波数が減少する
と、RF駆動要素と電極へり付近の接地要素との間のリアクタンスが増大し、し
たがって前記の分圧器効果のために、電極要素間での電位変化は小さくなるであ
ろう。
【0089】 電極要素間での電位変化により電場が発生し、この電場によりイオンが電場の
方向に偏向させられる。エッチされた溝には、両方の側壁にある程度非対称に“
ビーキング効果”が見られるので、前記の電極要素間電位勾配が結果の原因のす
べてであるとは言えない。“ビーキング効果”(マスクまたはレジスト層下これ
らの近くの溝側壁のノッチ)の少なくとも一部は、レジストまたはマスク層のへ
りの帯電という第二の要因による、と考えられる。この層は通常絶縁体であるか
、または下にあるシリコンよりも導電性の低い材料であり、したがって局所的帯
電を維持しうる。この場合も、溝底部の絶縁性層におけるノッチングの場合と同
様に、シリコンの上にあるレジストまたはマスクのへりが電子の局所的過剰によ
り負に帯電すると考えられる。その理由は、本質的には、基板表面および溝内へ
のより大きな指向性を有するイオンに関して前述したものと同じであるが、電子
はより等方的な方向性を有する。レジスト/マスクのへりにある局所的負電荷は
、正イオンを溝側壁に向ってこれにぶつかるように偏向させる。これらのイオン
は、シリコンを直接に除去して“ビーキング効果”をもたらすか、またはEP−
A−0822582号およびEP−A−0822584号明細書に記載の方法の
場合、不動態化層を局所的に除去してシリコンの局所的化学エッチングを可能に
することができるか、であろう。溝底部の絶縁性層におけるノッチングの問題に
関して述べたものとまったく同じ理由で、低周波数のRFバイアスの選択により
、エネルギーと方向の大きな広がりを有するイオンが得られ、これらのイオンの
一部はレジスト/マスクのへりに入射して、負電荷の一部を中和する。レジスト
/マスクのへりにおける負電荷の減少により、“ビーキング効果”の減少がもた
らされる。溝底部の絶縁性層におけるノッチングに関して前述したものと同じ理
由により、バイアス印加のパルス化によって“ビーキング効果”がさらに減少す
ると予想される。
【0090】 “ビーキング効果”は、大きなエッチ速度の達成のためにプラズマ密度を増大
させた場合により著しくなる。したがって、大きなプラズマ密度の場合に、“ビ
ーキング効果”の低下を実現するために、低周波数での電極バイアス印加および
そのパルス化が必要であると考えられる。図18は30μm幅の溝における“ビ
ーキング効果”を示す。“ビーキング効果”は、溝幅および溝配置の稠密度によ
ることが観察されている。すべての溝配置における“ビーキング効果”の排除ま
たは減少のためには、低周波数バイアスまたはRF供給のパルス化のどちらかだ
けで十分であるとは考えられず、これらの両方が必要であろう。
【0091】 前述のように、二つの異なる要因が“ビーキング効果”に寄与していると考え
られる。すなわち、(1)電極要素間の電位勾配、(2)レジスト/マスクの局
所的負帯電、の二つである。電極バイアスを与えるために使用するRFパワーの
周波数を小さくすることにより、これら二つ要因がいずれも小さくなる。このこ
とに関する結果を、下記の表に示す。これは、13.56MHzおよび380k
HzのRF周波数で実施した実験の測定値である。この表、ならびに13.56
MHzのRF周波数の場合の図18のSEM像および380kHzの場合の図1
9のSEM像から明らかなように、“ビーキング”と非対称性との程度は、低周
波数運転に移行することにより、大幅に減少する。
【表4】
【図面の簡単な説明】
【図1A】 ノッチングを説明する模式断面図である。
【図1B】 ノッチングを説明する模式断面図である。
【図2A】 先行技術の方法によるエッチングを示す走査電子顕微鏡写真(SEM像)である
【図2B】 先行技術の方法によるエッチングを示す走査電子顕微鏡写真(SEM像)である
【図3】 グラス(grass)生成が起った先行技術の別のSEM像である。
【図4A】 本発明で得られたSEM像である。
【図4B】 本発明で得られたSEM像である。
【図4C】 本発明で得られたSEM像である。
【図5】 ノッチ寸法の増大をオーバーエッチ時間の関数として示すグラフである。
【図6A】 本発明の方法によるエッチングを示すSEM像である。
【図6B】 本発明の方法によるエッチングを示すSEM像である。
【図7】 いろいろなパラメータのデューティサイクルによる変化を示すグラフである。
【図8】 いろいろなパラメータのデューティサイクルによる変化を示すグラフである。
【図9】 いろいろなパラメータのデューティサイクルによる変化を示すグラフである。
【図10】 いろいろなパラメータのデューティサイクルによる変化を示すグラフである。
【図11】 本発明での使用のためにどちらか選択される二つの回路を示す図である。
【図12】 本発明での使用のためにどちらか選択される二つの回路を示す図である。
【図13】 選択できるバイアスの詳細を示す図である。
【図14】 電荷および電位と時間との関係を示すグラフである。
【図15】 絶縁性基板のエッチングにおよぼされる典型的な影響を示す。
【図16】 絶縁性基板のエッチングにおよぼされる典型的な影響を示す。
【図17】 絶縁性基板のエッチングにおけるRFバイアスパルス化の結果を示す。
【図18】 “ビーキング効果”を示すSEM像を示す。
【図19】 本発明で得られたSEM像である。
【図20(a)】 RIE遅れの制御を示すSEM像である。
【図20(b)】 RIE遅れの制御を示すSEM像である。
【図20(c)】 RIE遅れの制御を示すSEM像である。
【図21(a)】 低周波数パルス化バイアス印加によって得られる結果を示すSEM像である。
【図21(b)】 低周波数パルス化バイアス印加によって得られる結果を示すSEM像である。
【図21(c)】 低周波数パルス化バイアス印加によって得られる結果を示すSEM像である。
【図21(d)】 低周波数パルス化バイアス印加によって得られる結果を示すSEM像である。
【符号の説明】
1 基板 2 シリコン半導体 3 二酸化ケイ素下層 4 マスク層 5 溝 6 イオン 7 電子 8 チャンバー(室) 9 プラテン 10 ウェーハまたは加工物 11 誘電体窓 12 誘導結合プラズマコイル 13 入口 14 出口 15 整合回路 16 連続波RF電源 17 整合ユニット 18 RF電源 19 パルス発生器 20 DC電力増幅器 21 パルス発生器
【手続補正書】
【提出日】平成13年9月19日(2001.9.19)
【手続補正1】
【補正対象書類名】明細書
【補正対象項目名】全文
【補正方法】変更
【補正内容】
【発明の名称】 基板をエッチングするための方法と装置
【特許請求の範囲】
【発明の詳細な説明】
【0001】 本発明は、基板たとえば半導体基板に形状(feature)をプラズマエッ
チングする方法と装置に関する。また、特に、専らというわけではないが、基板
の局所的エッチング(“ノッチング”)、すなわち絶縁性下層との界面における
電荷損傷、または基板内溝の側壁の局所的エッチング、を減少または排除する方
法に関する。
【0002】 絶縁性下層(たとえば二酸化ケイ素)によって絶縁されているシリコン(また
は他の任意の材料)をエッチングする場合、シリコンには、工程中にプラズマか
ら引き出される電流により、電荷が蓄積される。この合計電荷量は、電流、表面
積、および酸化物層の上のシリコンの厚さに比例する。考慮すべき他の要因は、
シリコンと酸化物層上の表面電荷成分の蓄積である。この電荷が増大すると、こ
の増大に比例してイオン飛跡角度に対する影響が増大しうる、言い換えれば、シ
リコンのエッチングプロフィールへ影響し、特にシリコンと酸化物との界面にお
いて影響する。過剰なイオン帯電による正味の結果は、酸化物界面におけるシリ
コンの局所的エッチングすなわち“ノッチング”である。酸化物(または他の誘
電体)層を導電層で置き換えると“ノッチング”が観察されない、ということが
わかっている。
【0003】 いくつかの用途においては、このノッチングは、後の処理ステップ(たとえば
、シリコン分離溝の再充填)における問題を発生させたり、またはデバイス性能
の面におけるプロフィール劣化に影響を及ぼす原因となり、非常に好ましくない
ものである。
【0004】 この問題に対していくつかの解決策が提案されている。大部分は、蓄積表面電
荷を“中和”させる方法、または直接にシリコンのイオン帯電を減少させる方法
によるものである。たとえば、ノザワ トシヒサほか(1994 Dry Process Sympos
ium、Tokyo、I-8、p37ff)は、負イオン濃度を増大することにより蓄積された表面
電荷を“中和”するように、比較的高い圧力で運転することによりイオン帯電を
減少させることについて述べている。この方法の使用はある程度の成功をおさめ
ているが、このような圧力での運転はエッチングプロフィールにある種の制限を
与えるものであり、したがって全てのエッチングにとって適当というわけではな
い。実際、割合に高い圧力を使用する方法は、シリコンが酸化物界面をエッチン
グで除去しはじめる前において、シリコン界面に近づくための第二のエッチング
ステップとしてしか使用できない。
【0005】 モリオカほか、J. Vac. Sci. Technol. A 16(3)、 May/Jun 1998、 pp 1588-159
3 は、ノッチと電荷損傷を小さくするための、低周波数の使用を開示している。
【0006】 キノシタほかの“Simulation of Topography Dependent Charging with Pulse Mod-ulated Plasma"、 Proc.Sym. On Dry Process、 Vol.18、 I-6、 pp 37
-42、1996 は、ノッチと電荷損傷の減少または排除の実現のために連続波(CW
)バイアスを使用するソースパルス化を開示している。
【0007】 ノッチを減少させるソースのパルス化の理論は、オフ状態におけるアフターグ
ロープラズマの結果としてのバイアス低下に関連している。キノシタほかを参照
されたい。この方法は低圧HDP処理の場合には非常に良く機能しており、同様
に固有の限界を有する。主要な欠点は、この方法はプラズマが完全に消滅した場
合にのみ機能する、ということである。プラズマは本質的に二つの励起成分を含
み、第一のものはソース(ICP、ECRその他)から得られるものであり、第
二のものはRFバイアスから生じるものである。これらの二つの成分は、それぞ
れ、プラズマ密度およびイオン加速のための自己バイアス電位を制御する。ソー
スパワーが低下すると、それに比例して自己バイアスが増大する。したがって、
ソースパワーを切ると、プラズマが消えるまで又は消えない間は、極端に大きな
自己バイアス電圧が得られる。もし、プラズマが消えるならば(これは、キノシ
タを含む先行技術引用文献に述べられている、低圧且つ低バイアスパワー運転の
場合、確かに正しい)、ソースのパルス化は有効な解決策である。しかし、割合
に圧力の高いプラズマ(約10mTorr以上)のときには、プラズマは低バイ
アスRFパワーレベルの場合でも消えない。そのような場合、ソースのパルス化
法は適当でない。
【0008】 連続波RFバイアスを使用する場合でソースパワーを切り換える時は、前記の
理由により、ソースパワーが切られたとき大きな自己バイアス過渡電圧が避けら
れない。これらの過渡は電荷損傷をもたらしうるものである。エッチング速度の
増大のためにソースパワーレベルが増大させられると、この効果が大きくなり、
許容できない電荷損傷の原因となり、また場合によってはさらに大きなノッチの
原因とも成りうる。
【0009】 マルヤマほか、"Reduction in charge build-up with pulse-modulated bias i
n pulsed ECR plasma"、IEE Proc.Dry Process Sym.Japan、I-4 pp21-26、1997 は
、13.56MHz(高周波数)のパルス化バイアスの使用を開示しているが、
最善の場合でも残留ノッチ寸法が少なくとも70nmであることを報告している
【0010】 “ノッチング”に似た問題は、“ビーキング効果”である。これは、側壁の局
所的エッチングであり、電極面に亘る電位勾配と基板上のマスク層の局所的負帯
電とによる。
【0011】 本発明の方法は、少なくともいくつかの実施態様においては、前記の種々の問
題に取り組むものであり、あるいはそれらを軽減するものである。
【0012】 本発明の第一の側面によれば、チャンバー内の基板に形状をエッチングする方
法であって、プラズマによるエッチングとプラズマによる不動態化層の蒸着とを
交互に行うことを含み、基板に、イオンプラズマ周波数以下のバイアス周波数を
印加することを特徴とする方法が提供される。
【0013】 前記バイアス周波数は、パルス化してもよいし、しなくてもよい。
【0014】 本発明の第二の側面によれば、チャンバー内の基板に形状をエッチングする方
法であって、プラズマによるエッチングとプラズマによる不動態化層の蒸着とを
交互に行うことから成り、基板にパルス化バイアス周波数を印加することを特徴
とする方法が提供される。
【0015】 本発明のこの側面においては、高または低バイアス周波数が印加できる。
【0016】 本発明の第三の側面によれば、チャンバー内の基板に、プラズマにより形状を
エッチングする方法であって、基板に、イオンプラズマ周波数以下のパルス化バ
イアス周波数を印加することを特徴とする方法が提供される。
【0017】 イオンプラズマ周波数を、ωpiで示す。
【0018】 バイアス周波数は、RFまたはDCバイアス電源によって発生させることがで
き、好ましくは、基板を配置することのできる支持体またはプラテンに印加され
る。パルス化されたDCバイアスは、基板が十分に導電性である場合、または薄
い絶縁性の層が存在しても良いが大部分の材料が導電性である構造を有する場合
に、特に適している。DCバイアスは、連続波またはパルス化RFバイアスとの
組合せでパルス化することができる。
【0019】 基板は、好ましくは半導体であり、たとえばシリコンである。特に好ましい実
施形態の場合、基板は絶縁性の下層を有する。この下層は酸化物であってもよい
。半導体としてシリコンを使用する実施形態の場合、二酸化ケイ素がこの下層で
ある。しかし、たとえば、本発明は、ゲート酸化物上のポリシリコン及びその他
の導体(たとえば、WSi2またはさらに他の金属のケイ化物)のエッチングに
も関する。これらの代替物質は当業者に周知である。基板はその上表面の上にマ
スク層を有していてもよく、このマスク層は絶縁体であってもよい。
【0020】 低いバイアス周波数および/またはパルス化周波数の使用により、“ノッチン
グ”、“ビーキング効果”、または電荷損傷の減少を実現することができる。好
ましい実施態様においては、バイアス周波数は4MHz以下であり、さらに好ま
しくは50〜380kHzの範囲内にある。
【0021】 この方法は、エッチング、またはエッチングと蒸着とを交互に行うステップの
場合よりも高い圧力で実施されるもう一つのエッチングと不動態化のステップ(
ステップ2)とを含むことができる。このもう一つのステップは交互に周期的に
実施してもよいし、そうでなくてもよい。特に、高い圧力で実施されるもう一つ
のステップは、下層に近づいた時に開始される。たとえば、一つの実施態様にお
いては、シリコンが酸化物界面からエッチングにより除去されはじめる時の直前
または除去され始めた直後までの任意の時刻に開始される。
【0022】 たとえば、絶縁体上の厚いシリコン(数ミクロン以上)をエッチングする場合
、ステップ1は、シリコンの基板の縁にあってクリティカルな形状のエッチング
すべき残り厚さが約0.5μmになるまで、使用される。縁は基板中心よりも速
くエッチングされる。中心においては、エッチングすべきシリコンが約1μm残
っている。このあと、ステップ2を、基板のすべての点において酸化物に到達す
るまで使用し、またこれを確実にするために十分なオーバーエッチングを使用す
ることができる。
【0023】 このもう一つのエッチングと不動態化とのステップにより、オーバーエッチン
グ時間の関数としてのノッチ寸法の増大速度が低下する。好ましくは、この実施
態様において、この方法は、さらに、エッチング、またはエッチングと蒸着とを
交互に行なう第一のステップから、もう一つのエッチングと不動態化のステップ
に、切換える時を決定する終点検出ステップを含む。
【0024】 しかし、バイアス周波数をパルス化する場合は、高い圧力におけるもう一つの
エッチングと不動態化のステップは必要でなく、したがってプロセスウィンドウ
がずっと幅広くなって大きな平均エッチング速度が可能になり、またプロフィー
ルの劣化が避けられる。さらに、この実施態様の場合、終点の検出は必要でなく
、ずっと広範囲の形状寸法にわたって大きく改良されたノッチ幅制御が実現され
る。実際、ノッチはこの方法により消滅しうる。
【0025】 デューティサイクルを、パルスオン時間(またはパルス幅)とパルスオフ時間
の比として定義する。パルス幅とデューティサイクルは、理論的に必要であるが
、バイアス周波数と酸化物界面に蓄積される電荷に関連するRC時定数とに依存
する。先行技術におけるような著しく強力な電源をでなく、バイアス印加に使用
される低出力の電源をパルス化するのは工業的にはより魅力的である、というこ
とは注目すべきことである。
【0026】 放電の程度を決定するのはパルスオフ持続時間である。
【0027】 パルスオフ時間は、好ましくは100μs以上である。パルスオフ時間は、好
ましくは全時間の10〜90%(すなわち、デューティサイクルが10〜90%
)とする。好ましくは、デューティサイクルはパルスオフ時間に応じて制御され
る。パルスオフ時間が短い程、デューティサイクルは大きくなり、その逆も言え
る。
【0028】 イギリス特許出願第9827196.8号明細書に記載されているような磁気
フィルターを使用して、プラズマが生成されるチャンバーの空間部分を、ウェー
ハまたは加工物支持体が配置される空間部分から、部分的または完全に分離する
ことができる。このフィルターは、プラズマ密度を低下させる効果を有するが、
また電子温度をも低下させて、多数の負イオンがウェーハ近辺に到達するのに有
利な条件を作り出す。ウェーハ支持体に十分に低い周波数のバイアスを結合して
使用した場合、正イオンはサイクルのより負の部分の間においてウェーハに向か
って加速される。負イオンと電子はサイクルのより正の間においてウェーハに向
って加速される。電子の割合が極端に小さくないかぎり、付加的な負の自己バイ
アスが形成される。サイクルの相当部分の間ではウェーハに到達する負イオン束
は、正イオンと同様にして材料をエッチングするが、正イオンによって形成され
る帯電を減少させるようにも作用する。必要であれば、バイアスのパルス化を使
用することができる。
【0029】 本発明のもう一つの側面によれば、前記方法の任意のものを実施する装置が提
供され、その装置は、基板のための支持体とプラズマにより基板に形状をエッチ
ングする手段とを有するチャンバーを包含する。
【0030】 本発明の方法に応じて、前記装置は、また、プラズマによる基板のエッチング
とプラズマによる不動態化層の蒸着とを交互に行なう手段、基板にイオンプラズ
マ周波数以下のバイアス周波数を与える手段、およびバイアス周波数をパルス化
する手段、のうちいずれかまたはすべてを含むことができる。
【0031】 以上、本発明を定義したが、本発明は前記または下記の説明に示す特徴の任意
の発明的組合せを含むと理解すべきである。
【0032】 本発明はいろいろなやり方で実施することができる。以下、添付の図面を参照
しつつ例として特定実施形態について説明する。
【0033】 図1Aおよび1Bについて、基板の全体が1で示されている。基板1は、二酸
化ケイ素の下層3を有するシリコン半導体2を含んでいる。半導体2の表面の上
にはマスキング層4がある。たとえばWO−A−94/14187号明細書に開
示されている方法によりプラズマ中で基板をエッチングする場合、溝5が形成さ
れる。イオンを6で示し、電子を7で示す。
【0034】 本発明は、ノッチを減少または排除しようとするものである。
【0035】 RFバイアスをプラテンに印加する時、イオンはRFサイクルの大部分の期間
においてウェーハ/加工物に向って加速される。電子は、ウェーハに到達してイ
オン束とつり合うようになければならないが、RFサイクルの正の部分の大部分
においてはウェーハに到達するだけである。
【0036】 ωpiよりも大きな高周波数の場合、イオンは瞬間電位に応答することができず
、大体Vpp/2の程度の時間平均電位によって加速される。ウェーハに到達す
るイオンは、一般に、Vpp/2付近を中心とする割合に幅の狭いエネルギー分
布関数を有する。
【0037】 ωpiよりも小さな低周波数の場合、イオンはある程度瞬間RF電位に応答する
ことができ、周波数が減少するにつれてますますそうなる。したがって、イオン
のエネルギー分布関数は、周波数の低下につれて徐々に幅広になり、エネルギー
は、Vpp/2近くの中心のまわりで、上限Vppと0に近い下限とに向って広
がる。
【0038】 高周波数の場合、イオンのエネルギー分布は割合に多数の高エネルギーイオン
を有し、これらのイオンのうち大部分が深い溝の底に到達することができる。エ
ッチングは絶縁性層まで進行して絶縁性層は正に帯電し、それ以後のイオンを偏
向させてノッチを生じさせる。この大きな正電位の上昇は、その正電荷の蓄積に
つれてますます多く引きつけられる電子によって制限される。電子の主要な作用
は、その等方的方向性により溝の上表面(特に縁)を負に帯電させることである
。このことは、表面の負電荷が増大するにつれて、溝内にはいっていく電子の数
を高効率で減少させる “電位障壁”として作用する。逆に、イオンは狭い角度
広がりと強い指向性とを有する。アスペクト比が増大すると、電子は溝の底に蓄
積した正電荷からますます強く遮蔽される。というのは、この正電荷による正味
の電場効果が低下するからである。
【0039】 低エネルギーのイオンは小さな指向性、言い換えると、大きな方向的等方性を
有する。そのため、これらのイオンは表面電子電荷を中和する能力が大きい。低
周波数の場合、イオンエネルギー分布関数は幅が広がり、したがって低エネルギ
ーイオンの数が増大する。そうすると、溝の頂部における負電荷の中和がある程
度起り、したがってさらに少しだけ“電位障壁”が低下して、さらに多くの電子
が溝の底に到達できるようになり、絶縁性層上に蓄積している正電荷が減少する
。すると、溝の底の電位が下がり、したがってまたノッチの程度も小さくなる。
【0040】 プラテンに印加されるRFバイアスがパルス的にオン・オフされる場合、オフ
時間中はイオンシースが弱まって定常的DC状態になり、この状態のとき、ウェ
ーハは通常のプラズマ浮遊電位に到達する。すべてのイオンは、RFバイアスの
場合に比して、ずっと小さなエネルギーまでしか加速されず、大きな角度分布を
有する。したがって、さらに溝の頂部付近の負電荷を減少させる能力が増大し、
また溝の底における絶縁性層の正帯電のさらなる低下がもたらされる。その結果
、図1Bに示すように、ノッチが減少する。溝の底における正電位は、さらなる
電位障壁の低下により絶縁性表面への電子の接近が容易になるので、さらに低下
する。RFがオフの時間中は、低エネルギーの正イオンは溝の底における不動態
化層の除去には不十分であり、不動態化層はRFバイアスがオンに戻されたとき
の高エネルギーイオンによってのみ除去される。
【0041】 したがって、容易にわかることであるが、ノッチングの減少と絶縁性表面にお
ける高い電位の引き下げは、プラテンのRFバイアス周波数の減少によって実現
することができ、またさらなる減少と引き下げは、RFバイアスをオン・オフを
パルス化することによって実現される。このとき、RFバイアスのオフ時間は、
酸化物表面帯電の指標となるRC時定数よりも大きくなければならない。このオ
フ時間は、低周波数(380kHz)の場合は数ミリ秒の程度、高周波数(13
.56MHz)の場合は数百マイクロ秒の程度と評価される。
【0042】 以上をまとめると、図1Aは次のことを示す。 1.加速されたイオンは指向性であり、電子は等方的である。 2.指向性イオン
【外1】 は溝の底に到達し、酸化物上に電荷の蓄積をもたらし、電位V+を生じる。 3.方向的に等方的な電子は、溝の表面を帯電させ、わずかな電子のみが底まで
到達して、イオン電荷を中和する。 4.酸化物上のイオン電荷による電位V+はイオンエネルギー分布関数(IED
F)によって決定される。キノシタほかを参照されたい。 5.V+より大きなエネルギーを持ったイオン
【外2】 はV+の上昇に寄与する。 6.V+より小さいエネルギーを持ったイオン
【外3】 (影付き)は、V+によって側壁方向に偏向され、ノッチングに寄与する。
【0043】 図1Bは次のことを示している。 1.IEDFの変化により、多数の低エネルギーイオン
【外4】 (影付き)が与えられる。 2.これらのイオン
【外5】 (影付き)は、方向的等方性が大きく、かつ小さな平均イオンエネルギーを有す
る。 3.これは、溝の頂部における電子帯電の低下をもたらし、したがって溝の底に
到達して電荷を中和する電子e−の数を増大させる。 4.溝の底に到達するイオンは、小さなエネルギーを有するが、なお指向性を有
している。RFバイアスがオフ状態にあるときは、イオンはプラズマと浮遊電位
との間の電位差に相当するエネルギーを得る。 5.これらの効果により、電荷による電位V+が低下する。 6.この電荷による電位は酸化物に損傷の起る閾値よりも小さくすることができ
る。 7.低エネルギーのイオンは、V+により、大きな角度分布で、側壁に向って偏
向される。 8.これらのイオンはノッチングを引き起こすのに十分なエネルギーを持ってい
ない。
【0044】 注意すべきことは通常の非切換のプラズマエッチング手段も使用することがで
きるということであり、それらは当業者に周知のものである。
【0045】 パルスサイクル中、溝の底における絶縁性層の電荷と電位は、図14に実線で
示す傾向に従う。RFバイアスは、t=0からt=t1までの時間、プラテンに
印加される。正の電荷が溝の底に多くなると、正の電位により、電子の一部を引
きつける。破線で示すように、それ以上の正イオンが溝の底に到達するのを妨げ
るレベルに電位が達した時、電荷と電位が飽和に達する。時刻t1において、R
Fバイアスのスイッチが切られ、大きな角度の広がりを有する低エネルギーの正
イオンが溝の頂部近くの負電荷を中和し始め、より多くの電子が溝の底に到達で
きるようになる。電子束と正イオン束がつり合いに達するまで、溝の底の正電荷
はどんどん減少し、電位が低下する。
【0046】 溝の底の蓄積した電荷と電位の大きさを減少させるためには、RFバイアスの
ゲートパルス長を、放電がはじまる前に、図14に示すものから減少させるのが
一般に好ましい。
【0047】 図2Aについて、先行技術に関して前述したような、酸化物との界面における
シリコンのノッチングを示すSEM像が図2Aに示してある。これらは、13.
56MHzのバイアス周波数で周期的エッチング/不動態化(ステップ1と呼ぶ
)のみを用いて得られた結果である。図2Bは、二つのステップ(ステップ1と
ステップ2)プロセスを用いて得られたノッチが無いプロフィールである。この
ステップ2のプロセスは、前述のように、高い圧力と大きな不動態化レベルとに
より実施したものである。13.56MHzのバイアス周波数を使用した。ステ
ップ1における溝のエッチングには、WO−A−94/14187号明細書に開
示されている方法(周期的エッチング/不動態化)を用いた。ステップ2は同じ
やり方で切換えてもそうでなくても良い。ここに示すものは切り替えたものであ
るが、高い圧力において且つ大きな不動態化濃度を用いてノッチを減少させるた
めに、交互に行うプロセス条件は次の通りとすることができる。
【0048】 ステップ1 エッチングステップ: 130sccm SF6(8sサイクル オン タイム)、600Wコイルパワー
(13.56MHz)、8〜12Wプラテンパワー(13.56MHz)、20
mTの圧力、20℃のプラテン温度。 不動態化ステップ: 100sccm C48(5sサイクル オン タイム)、600Wコイルパワ
ー(13.56MHz)、0Wプラテンパワー(13.56MHz)、17mT
の圧力、20℃のプラテン温度。 ステップ2 エッチングステップ: 100sccm SF6+60sccm C4F8(12sサイクル オン タイ
ム)、600〜800Wコイルパワー(13.56MHz)、12〜17Wプラ
テンパワー(13.56MHz)、65〜75mTの圧力、20℃のプラテン温
度。 不動態化ステップ: 120sccm C48(5sサイクル オン タイム)、600Wコイルパワ
ー(13.56MHz)、0Wプラテンパワー(13.56MHz)、55mT
の圧力、20℃のプラテン温度。
【0049】 このステップ2は、典型的には数ミクロンまでのエッチング深さに適している
。図3に示すSEMは、これよりも大きいエッチング深さの場合にステップ2を
使用した結果であり、この第二のステップの限界を示している。典型的には、こ
の第二のステップは、エッチング速度が小さく(1/3または1/4)、また深
さが大きくなるとエッチング終端にグラス生成が起るという点で、第一のステッ
プと異なっている。このように、第一のステップは割合に大きなエッチング速度
を有し、また残留物またはグラス生成による深さ制限がない。どちらの効果も第
二のステップにおける強力な不動態化の結果であり、この不動態化はノッチング
を減少させるためには高い圧力を用いるのが必要である。したがって、第一のス
テップは正味の蒸着蓄積が無いように蒸着よりもエッチングが勝るようにつり合
わせ、第二のステップは正味の蒸着蓄積があるようにつり合わせる。第一のステ
ップはどんどんノッチを形成させるように作用するので、ノッチングが起る前に
、このステップを適切に停止させ、第二のステップに切換えることが重要である
。この切換どきは、典型的には、酸化物層に到達した瞬間を検出するか又は界面
に近づきつつあるときに残りのシリコン厚を検出することができるような終点検
出システムによって、検出される。第一のステップから第二のステップへの切り
換えを容易にする公知の方法の中でウェーハ間での再現性を確保するためには、
この終点検出法を使用することが必須である。酸化物上の初期のシリコン厚さが
変化する場合には特にそうである。
【0050】 第二のステップは、また、オーバーエッチングを許容しなければならない。オ
ーバーエッチングは、酸化物の最初の領域が基板(ウェーハ)の任意の部分で(
シリコンが全てエッチングにより除去されて)露出した後、さらに行われるエッ
チングの時間と定義される。エッチング速度は、基板表面の横断方向で、通常は
半径方向で、変化するので、一部の領域では他の領域より先に界面露出が起る。
これが意味することは、基板のすべての領域からシリコンを除去するためには、
ある程度のオーバーエッチングが必要であるということである。さらに、他の公
知の効果たとえば“RIE(反応性イオンエッチング)遅れ”および“マイクロ
ローディング(Microloading)”が意味するところは、エッチング
速度をエッチング形状の寸法と、基板ウェーハの表面領域のシリコン露出に対す
るマスクの比の違いとによってさらに変化させることが出来る、ということであ
る。このことから、オーバーエッチングすることとオーバーエッチングの間エッ
チングしたプロフィールを保持することの必要性を特に重要視すべきである。
【0051】 本発明は、一つの実施形態において、パルス化された低周波数RFバイアス電
源を使用して酸化ケイ素のイオン帯電を著しく低下させることにより、ノッチン
グを排除するものである。
【0052】 公知の方法においては、RFバイアスは、2、3MHz〜40MHzの範囲、
代表的には13.56MHzで、印加されている。しかし、この周波数は、イオ
ンプラズマ周波数よりも大きく、したがってイオンがこのRF場に応答しない、
ということがわかっている。イオンはDC自己バイアス電位にのみ応答する。電
子は、寸法と質量が小さいため、ずっと大きな可動性を有し、RF励起に応答す
る。
【0053】 本発明の一つの例においては、380と187MHzのバイアス周波数が使用
される。図4に示す3つのSEM像が示すのは、どれかの低い励起周波数を使用
したときにシリコン/酸化物界面で得られた最善の結果である。
【0054】 低周波数のみの(非パルス化)プロセス条件は、次のものとすることができる
。ステップ1 エッチングステップ: 100sccm SF6(5sサイクル オン タイム)、600Wコイルパワー
(13.56MHz)、4〜8Wプラテンパワー(380kHz)、20mTの
圧力、20℃のプラテン温度。 不動態化ステップ: 130sccm C48(5sサイクル オン タイム)、600Wコイルパワ
ー(13.56MHz)、0Wプラテンパワー(380kHz)、約22mTの
圧力、20℃のプラテン温度。 ステップ1のプロセス時間は合計で8分。 ステップ2 エッチングステップ: 100sccm SF6+60sccm C48(10sサイクル オン タイム
)、800Wコイルパワー(13.56MHz)、6〜8Wプラテンパワー(3
80kHz)、約60〜75mTの圧力、20℃のプラテン温度。 不動態化ステップ: 130sccm C48(5sサイクル オン タイム)、800Wコイルパワ
ー(13.56MHz)、0Wプラテンパワー、約45mTの圧力、20℃のプ
ラテン温度。
【0055】 図4Aはステップ1においてのみ低周波数バイアスを使用した結果を示す。図
4Bはステップ1とステップ2との両方において低周波数バイアスを使用した結
果を示す。図4Cはシリコン−酸化物界面における溝の底部の拡大図である。低
周波数の場合でも、図4Bに示す無ノッチの結果を実現するためには、高不動態
化濃度の高圧力プロセスの使用が必要であることがわかった。この場合も、この
高圧力プロセス/高不動態化ステップは、エッチング深さが約5μmを越えると
表面残留物すなわち“グラス(grass)”が出現するため、エッチング深さ
全体にわたっては使用できなかった。先行技術の高周波数バイアスの場合と同様
に、この第二のステップのエッチング速度は小さく、さらに第二のステップの処
理をそのまま長く続けるとプロフィール湾曲がもたらされる。それでもなお、本
発明の低周波数バイアス印加には利点がある。このことは、図5に示すように、
オーバーエッチング時間の関数としてノッチ寸法の増大速度を低下することであ
ると要約することができる。この利点は、高周波数の場合に比して、ノッチ寸法
のより良い制御を可能にする。
【0056】 ステップ1からステップ2への切換どきの決定のためにはやはり終点検出が必
要である。
【0057】 イオンプラズマ周波数は下記の式によって与えられる。
【化1】 この式で、ni 、miはイオン密度と質量、Zはイオン価、eは電気素量、ε0
真空の誘電率である。
【0058】 エッチングステップは、典型的には、SF6またはNF3のようなフッ素を大量
に放出するガスを用いて実施し、一方、蒸着はC48のような重合性ガスを用い
て実施する。O2をエッチングステップ時にのみ添加することができる。たとえ
ばエッチングガスがSF6である場合、前記式を使用して、下記の表1に示すよ
うなωpiを計算することができる。
【0059】 下記の表1は、シリコンのエッチングに使用されるSF6 -プラズマ中に存在し
うる一連の一価イオンのイオンプラズマ周波数値を示す。このデータによれば、
イオンプラズマ周波数は5〜10MHzの範囲にある。しかし、ここで問題にな
る割合に低い電子温度と低い圧力とのプラズマの場合、好ましいイオンはSF4 + およびSF5 +であると考えられる。したがって、低い値のイオン周波数がもっと
も適切である。ここでは、ωpi(MHz単位)を5MHzよりも小さくとる。
【表1】
【0060】 イオン帯電は、イオンがRF場に強く応答するとき、すなわちイオンプラズマ
周波数付近またはそれ以下の周波数のとき、低下すると考えられる。たとえば、
バイアス周波数が4MHzより小さいとき、RF場に対するイオンの応答はシリ
コン表面のイオン帯電効果を低下させる。すなわち、RFサイクルの一部におい
て、イオンは、カソードとして作用するプラテンに向かって加速されず、したが
って電子は蓄積正電荷の一部分しか中和できない。
【0061】 低周波数バイアスのパルス変調の結果を図5に、SEM像を図6Aと6Bに示
す。このデータは、380kHzバイアス周波数、2.5〜5msのパルス幅、
50%未満のデューティサイクルを使用した場合に、ノッチ寸法が劇的に減少す
るということ(すべての場合に、縁あたり150nm未満)を示す。このSEM
像とグラフは、オーバーエッチング時間の関数としてのノッチ寸法の増大速度が
著しく減少するということを示している。このノッチングの減少は低圧力で、且
つ不動態化の促進なしで実現されているので、この方法においては追加ステップ
がもはや必要でないということになる。もっとも重要なことは、SEM像が、大
きくオーバーエッチングしたあとでもシリコンにノッチングを与えることなしに
高速でエッチングする単一のステップの結果が得られること、を示しているとい
うことである。これはまた、もはや終点検出の必要がなく、第二のステップがな
いということをも意味する。
【0062】 実験はパルス幅(100μs〜5ms)且つデューティサイクル(30〜10
0%)の範囲で実施した。その結果は、ノッチングが、パルス幅2.5ms未満
、デューティサイクル50%未満の場合のとき、言い換えるとパルスオフ時間が
2.5ms超のとき、もっともうまく排除される、ということを示している。
【0063】 図7は、バイアスのパルス幅が2.5msおよび5msの場合について、デュ
ーティサイクルの関数としてノッチ寸法を示したものである。このデータは、3
μm、アスペクト比が5:1超の溝の場合に対するものである。パルス幅が大き
くなると、ノッチングの排除には小さなデューティサイクルが必要である。この
ことは、帯電モデルと一致して、大きなパルス幅の場合には表面電荷が多くなり
、換言すればその減少のために長いオフ時間が必要であるということを示す。
【0064】 パルス化RFバイアスは、ノッチング減少と電荷損傷減少のほかに、加工物ま
たはウェーハへの高水準(エネルギーおよび/または密度)のイオン衝撃が有害
な効果を及ぼさないというさらなる利点をも有する。これらのいくつかの利点の
例は、マスクおよび/または下層材料に対する高い選択性、およびRIE遅れ効
果の著しい低下がある。
【0065】 シリコン(単結晶または多結晶)のエッチングの場合、マスク材料は典型的に
はフォトレジストまたは酸化ケイ素であり、通常下層も酸化物である。これらの
材料はどちらも、プラズマエッチング速度はイオンエネルギーと密度に依存する
。イオン衝撃の役割は、酸化物または架橋ポリマーフォトレジスト材料のいずれ
においても化学結合および物理結合を切断することである。イオンエネルギーが
閾値よりも低い場合、典型的には数十eVよりも小さい(20eV未満)の場合
、これらの材料に対しては非常に小さなエッチング速度しか得られない。もし材
料の結合が切断されない場合は、化学エッチングの進行がより困難になる。さら
に、イオンエネルギーが閾値以上である場合、侵食速度はイオンのパルス化によ
って低下しうる。この場合、侵食は、イオンが表面をたたくサイクル期間のみに
大いに起るからである。一般に、デューティサイクルが小さいほど、これらの材
料に対するエッチング選択性が高くなる。図8は、デューティサイクルが減少す
るにつれてシリコンとフォトレジストとの間のエッチングのエッチング選択性が
増大することを示す。これは、この図に示されている条件下でのパルス幅2.5
msに対するものである。同様の結果は酸化物に対しても得られている。結局、
これが意味するところは、低周波数のパルス化バイアスはノッチングの排除また
は減少のために使用できるが、また一方で大きなマスクと下層の選択率を可能と
するということである。ここでパルス化するということは、エッチング/不動態
化を交互に行う周期的プロセスを行う電源供給が“オン”の部分の間で、RF(
バイアス)パワーをパルスすることをオン−オフ繰り返し切換える(パルス幅と
デューティサイクルによって定められる)ことである。
【0066】 アスペクト比依存のエッチング即ちARDEとも呼ばれるRIE遅れは、異な
る開口寸法またはクリティカルディメンションを有する形状に見られるエッチン
グ深さの違いを定める。RIE遅れは、アスペクト比が大きくなるにつれて、反
応性前駆物質および生成物種のエッチングの前面すなわち溝底部への到達及びそ
こからの離散の困難さが増大すること、によって生じる。正味の効果は、大アス
ペクト比の形状は低アスペクト比の形状よりも低速でエッチングされるというこ
とである。本発明はRIE遅れの減少あるいは事実上排除を提供するものである
。デューティサイクルが減少すると、シリコンのエッチング速度の低下が観察さ
れる。図9を参照されたい。この図は、パルス幅2.5ms且つ溝幅3〜50μ
mの場合のデータを示す。しかし、エッチング速度はすべてのアスペクト比に関
して同じ割合で減少するわけではない。実際、この傾向によれば、パルス幅2.
5msにおけるデューティサイクル40%の場合、すべての形状が同じ速度でエ
ッチングされ、RIE遅れすなわちARDEがゼロになる。その理由を明らかに
するには、周期的なエッチングと不動態化のプロセスの詳細を解明する必要があ
る。不動態化ステップ中、保護ポリマーが、プラズマに曝されるすべての表面に
蒸着される。しかし、溝の底部への蒸着厚さおよびステップカバレージは両方と
もアスペクト比に依存する。アスペクト比が増大すると、輸送の制限により、溝
の底部における不動態化が確実に減少する。言い換えると、幅広の溝には幅の狭
い溝に比してより厚い蒸着がなされる。すると、今度は、幅広の溝においては、
シリコンエッチングを行ないうるようになる前に、より多くの蒸着物質を除去し
なければならないということになる。したがって、幅広の形状においてはエッチ
ング速度は実際にずっと高いが、エッチングと不動態化の(除去と蒸着)の差引
きの効果は、狭い形状と広い形状のエッチング速度を均衡させるということであ
る。このRIE遅れの補償効果は、同じ効果をもたらすエッチングと蒸着の条件
の上手なつり合いを可能にするいくつかの方法で実現することができる。パルス
化したRFバイアスもこの効果を表現する。図9は、溝幅3〜50μm、パルス
幅2.5msの場合のデューティサイクルの関数としてのエッチング速度を示す
。エッチング速度はデューティサイクルの減少につれて減少している。その減少
の割合は、デューティサイクルが減少するにつれて、溝幅とともに小さくなって
行くが、デューティサイクルが40%になるまではゼロRIE遅れすなわちAR
DEの場合と同程度である。
【0067】 RIE遅れの減少と排除の方法については前に論議した。重要なステップは、
狭い溝に比して広い溝では蒸着の比率を大きくすることである。典型的には、こ
の比率は1以上であり、ここで開示する方法ではこの比率をさらに大きくする。
その実現には、パルス化バイアス印可を使用する。同じ効果、したがって同じ結
果の実現には他の手段たとえばパラメータ傾斜を使用することも可能である。
【0068】 図20aは、40μmの深さまでエッチングした幅2μmと5μmの溝におけ
るRIE遅れの違いを示す。図20bは、狭い溝の場合に対する広い溝の場合で
の蒸着比率を大きくするという方法用いてRIE遅れを排除したことを示す。図
20cは、この比率をさらに大きくすることによって生じる逆RIE遅れを示す
。これは、パラメータ傾斜(たとえば、EP−A−0822582またはEP−
A−0822584号明細書に開示されている)を使用して、実現したものであ
る。下記の表は、これを実現するためのプロセス条件(傾斜)を示す。
【表2】
【0069】 図21は、低周波数パルス化バイアス印加を使用して得られた結果を示す。図
21a〜21dは、パルス幅2〜5msにおいてデューティサイクルが70%か
ら40%に減少するときのRIE遅れの減少を示す。エッチングされた溝のプロ
フィールの変化にも注意されたい。
【0070】 ウェーハへのイオン衝撃は側壁(ステップカバレージの一部)における不動態
化にも影響する。これは、言い換えれば、エッチングされた溝の側壁角度の制御
に重要な役割を演じる。一般に、強力な不動態化を行うか、又は不動態化の除去
速度を低下させるかすると、溝の角度が減少する。ここで、90度よりも小さな
角度は正のテーパを有する溝を示し、90度よりも大きな角度は凹角のテーパを
有する溝を示す。3〜50μmの溝幅の場合についての(2.5msパルスの)
デューティサイクルの減少によるプロフィールへの影響を、図10に示す。これ
は、RFバイアスのパルス化が溝の側壁の精密な制御に有効に使用できることを
示している。非常に良く似た結果がパルス幅5msの場合にも得られた。これら
の傾向は、デューティサイクルを減少させることにより、イオンによる溝の側壁
からの不動態除去が有効に減少させられることを示す。
【0071】 図11は、プラテンに供給するRFをパルス化するための回路を示す。
【0072】 この装置は、プラテン9を備えたチャンバー8を有し、そのプラテン9上には
ウェーハすなわち加工物10が配置される。チャンバー8は、誘導結合プラズマ
(ICP)コイル12で包囲された誘電体窓11をも有する。ガスは、入口13
からチャンバー8内に送ることができ、また出口14からポンプ排気することが
できる。示されている実施形態の場合、ICPコイル12は整合ユニット15に
接続してあり、該回路は連続波RF電源16に接続されている。プラテン9は整
合ユニット17に接続されており、該ユニットはRF電源18に接続され、該電
源はパルス発生器19に接続されている。
【0073】 加工物が十分に導電性である場合、または薄い絶縁性層が構造内に存在しても
良いが材料の大部分が導電性である構造である場合は、プラテンに印加されるパ
ルス化RFの代りに、プラテンに印加されるパルス化直流電位を、使用すること
ができる。
【0074】 図12に示す回路は、プラテンに印加するDC電位を二つの値の間でパルス化
することができる。典型的には、プラズマ加工装置においては、電位を次のよう
にすることができる。 1)プラズマ電位に対して十分に負とし、正イオンを加工物表面に向って加速し
、正イオンに狭い角度分布と材料のエッチングに適当なエネルギーとを与えるよ
うにする。 2)プラズマ電位に対してわずかに負(浮遊電位に近い)とし、イオンが加速さ
れるシースを形成するようにはするが、比較的低エネルギーであり、イオンの角
度分布が大きくなるようにしてイオンが負電荷中和に重要な役割を演ずることが
できるようにする。
【0075】 より負のバイアスレベルにおける“オン タイム”、およびプラテンがそれぞ
れのDC電位にバイアスされる時間の相対長さは、プラテンのパルス化RFバイ
アスにおけると同様に選択することができる。低い方の負の電位は、一般に、パ
ルス化RFバイアスのオフ時間中に到達する浮遊電位に近づけるように選択する
ことができるが、図12に示す回路は、電位したがってイオンのエネルギーと角
度分布の他の選択も可能である。
【0076】 場合によっては、パルスサイクルの低い方の負の電圧の代りに正の電位を使用
して電子と負電位のイオンとを加工物に向って意図的に加速し、放電機構を助け
、正イオンを弾き飛ばすようにすることができる。電子の加工物への加速は、放
電の実施に必要な時間の減少をもたらしうるものであり、したがってパルス化サ
イクルのエッチング部分の増大を可能とし、高い総合エッチング速度をもたらす
【0077】 図12においては、図11と同じ要素が存在する場合、それらの要素には同じ
参照番号で示す。図12の異なる点は、プラテン9に接続されたDC電力増幅器
20が備えられているということである。パルス発生器21がその増幅器20に
接続されている。
【0078】 図12に示す回路の代替回路においては、より負のバイアス電位を与えるため
にDC電源を使用することができる。このとき、この電源とプラテンとの間に適
当な電子スイッチが接続される。このスイッチは、パルス発生器によって制御さ
れ、閉鎖状態と開放状態との間の切換を行う(開放状態のとき、プラテン電位は
局所的な浮遊電位に達する)か、またはバイアスが代りに第二のDC電源によっ
て供給されるように切り換えを行う。
【0079】 選択できるバイアスの詳細を図13に示す。
【0080】 プラテンへのパルス化DC電位の印加は、導電性または半導電性の加工物の処
理の場合に利点を有する。この技術は、薄い絶縁性層を有し、この層のキャパシ
タンスが電圧パルス化の反復速度の程度のRC時定数とするのに十分な大きさで
あるような加工物にも、使用することができる。
【0081】 一例として、ウェーハは1μm程度の薄い酸化ケイ素層を有するシリコンから
成ることができる。この層の上部にはさらに厚いシリコン層がある。
【0082】 平行板コンデンサー:C=ε0εrA/d ここでA=平板面積、 d=平板間の距離、 ε0=真空の誘電率、 εr=比誘電率。 150mmウェーハの場合、A=0.0177m2、d=1×10-6m ε0=8.854×10-12F/m、εr=4、酸化物層の代表的な値である、 しかし材料により異なる。 したがって、C=6.3×10-7F 約10Ωのプラズマ抵抗の場合、RC時定数=6×10-6sec。 プラズマに曝される表面の電位が妥当な程度の印加電位に追随することを確実に
するためには、パルス長は約10μsとすることが必要であろう。もっと薄く、
したがってキャパシタンスの大きな絶縁層の場合、パルス長は大きくすることが
できるであろう。
【0083】 本発明は、プラズマエッチングの間に蓄積しうる表面電荷を減少させる方法に
関する。したがって、本発明は、ガラス、石英、サファイア、ジルコニアなどの
電気絶縁性の基材をエッチングするときに蓄積電荷が有害な影響を引き起こす場
合にも適用することができる。プラズマ放電によってこれらの材料をエッチング
する場合、有害な効果たとえば“ピット形成”損傷または侵食(図15に示すよ
うなもの)およびプロフィール異方性の低下(図16に示すようなもの)が表面
帯電の結果として発生しうる。この表面電荷を減少させるためのRFバイアスの
パルス化によって、これらの有害な効果が両方とも減少することにより、ただち
にエッチング結果が改善される。図17は石英の深いエッチングの場合について
のこの事実を表しており、ここでは、パルスオフ幅12ms且つデューティサイ
クル50%の低周波数RFバイアスのパルス化を使用したが、やはりプロフィー
ルの異方性が保たれている。
【0084】 プロセス条件
【表3】
【0085】 平行な側壁を有する溝のエッチングを実行するためには、イオンが表面に垂直
に基板に入射することが重要である。基板上のすべての位置でそうなるようにす
るためには、イオンはすべて、基板の表面に平行な成分を殆どまたは全く有しな
い電場によって加速されなければならない。
【0086】 誘導結合プラズマ装置における通常の動作条件下では、(また、ECR、ヘリ
コン、ヘリカル共振器などの他のプラズマ発生源を使用する装置の場合でも正し
い)、基板を支える電極はRF電源によって駆動される。負のバイアスが基板に
与えられ、イオンが、プラズマから空間電荷シースを横断して基板の表面に向か
って加速される。理想的な状況では電位は基板表面のすべての点で同じになるで
あろう。しかし、実際の状況下では、電位に変化があり、したがって基板表面を
横断する電場成分が生じる。基板表面に平行な電場成分は、基板表面にもはや垂
直でないイオン飛跡をもたらす。
【0087】 溝のエッチングに関与するイオンが基板表面に平行な電場成分によって偏向さ
せられると、それらのイオンは溝の側壁に入射することがある。これにより、直
接または間接に側壁の局所的エッチングが起り、図18に示すような、プロフィ
ール非対称性を伴う“ビーキング効果”が生じる。低いRFバイアス電力レベル
を使用すると、この効果は、例えばEP−A−0822582号とEP−A−0
822584号明細書に示されているようなエッチング/蒸着の交互ステップの
場合には甚だしく悪くなる。
【0088】 RF駆動の電極の構成は、真空の完全性と、RF駆動される要素と接地される
要素との間の電気絶縁の備えに関する一定の基準とを満たさなければならない。
実用される電極の場合、RFパワーの接続は電極の中心付近でなされるであろう
し、駆動される要素と接地される要素との間に絶縁体を挟んでする締め付けは、
縁付近でなされるであろう。この構成は、組立てるのに実用的であるが、RFパ
ワーが駆動されるプラテン(基板を支持する電極頂部)から電極アセンブリの縁
にある接地要素に容量結合されることになりうる、という潜在的欠点を有する。
電極の中心近くへのRFパワーの供給と、接地するためにこのパワーの一部が縁
近くへ容量結合されることにより、電極の中心と縁との間にかなりの電位勾配が
発生しうる。もっと詳しく言えば、これは、この配置が、RFパワーが供給され
る点と電極アセンブリの接地要素との間のインピーダンスの相対的大きさによっ
て決定される電極の特定位置の電位による分圧器として、作用するからである。
RF駆動要素と電極の縁にある接地要素との間のキャパシタンスは、形態的変更
によりある程度低下させることができるが、実際的な限界がある。単純にRFパ
ワーを電極の縁付近に供給するということにより、この問題を小さくすることが
できるが、適切な解決策ではない。RFパワーが高周波数(13.56MHz以
上)である場合には、特にそうである。RF周波数が低下すると、電極縁におけ
る、駆動要素と接地要素との間の容量結合の程度は低下する。これは、このキャ
パシタンスによるリアクタンスが1/(ωC)で変化するからである。この式で
ωは角周波数(ω=2πf)、Cはキャパシタンスである。周波数が減少すると
、電極縁付近のRF駆動要素と接地要素との間のリアクタンスが増大し、したが
って前記の分圧器効果によつて、電極面に亘る電位変化は小さくなるであろう。
【0089】 電極面に亘る電位変化により電場が発生し、この電場によりイオンが電場の方
向に偏向させられる。エッチングされた溝には、両方の側壁にある程度非対称の
“ビーキング効果”が見られるので、前記の電極面に亘る電位勾配は結果の原因
のすべてであるとは言えない。“ビーキング効果”(溝の両側壁、マスクまたは
レジスト層の下およびこれらの近くのノッチ)の少なくとも一部は、レジストま
たはマスク層の縁の帯電という二次要因による、と考えられる。この層は、通常
絶縁体であるか、または下にあるシリコンよりも導電性の低い材料であり、した
がって局所的帯電を維持しうる。この場合は、溝の底部の絶縁性層におけるノッ
チの場合と同様に、シリコンの上にあるレジストまたはマスクの縁が電子の局所
的過剰により負に帯電すると考えられる。その理由は、本質的には、基板表面と
溝内へのより大きな指向性を有するイオンに関して前述したものと同じであるが
、電子はより等方的な方向性を有する。レジスト/マスクの縁にある局所的負電
荷は、正イオンを溝の側壁に向って且つ中に偏向させる。これらのイオンは、シ
リコンを直接に除去して“ビーキング効果”をもたらすか、またはEP−A−0
822582号とEP−A−0822584号明細書に記載のプロセスの場合、
不動態化層を局所的に除去してシリコンの局所的化学エッチングを可能にするこ
とができるか、であろう。厳密には、その理由は溝の底部の絶縁性層におけるノ
ッチングの問題に関して述べたものとまったく同じ理由で、RFバイアスとして
低周波数を選択することにより、大きな広がりのエネルギーと方向を有するイオ
ンが得られ、これらのイオンの一部はレジスト/マスクの縁に入射して、負電荷
を部分的に中和する。レジスト/マスクの縁における負電荷の減少により、“ビ
ーキング効果”の減少がもたらされる。溝の底部の絶縁性層におけるノッチング
に関して前述したものと同じ理由により、バイアス印加のパルス化によって“ビ
ーキング効果”がさらに減少すると期待される。
【0090】 “ビーキング効果”は、プラズマ密度を増大させてより大きなエッチング速度
の達成するにつれて、より著しくなる。したがって、高いプラズマ密度で“ビー
キング効果”の低下を実現するためには、低周波数での電極バイアス印加とその
パルス化が必要であると予想さられる。図18は30μm幅の溝の場合における
“ビーキング効果”を示す。“ビーキング効果”は、溝の幅と溝の配置の稠密度
によることが観察されている。すべての溝の構成における“ビーキング効果”の
排除または減少のためには、低周波数バイアスまたはRF供給のパルス化のどち
らかだけで十分であるとは考えられず、これらの両方が必要であろう。
【0091】 前述のように、二つの異なる要因が“ビーキング効果”に寄与していると考え
られる。すなわち、(1)電極面に亘る電位勾配、(2)レジスト/マスクの局
所的負帯電、の二つである。電極バイアスを与えるために使用するRFパワーの
周波数を小さくすることにより、これら二つ要因がいずれも小さくなる。このこ
とに関する結果を、下記の表に示す。これは、13.56MHzと380kHz
のRF周波数で実施した実験の測定値の要約である。この表、13.56MHz
のRF周波数の場合の図18のSEM像および380kHzの場合の図19のS
EM像から明らかなように、“ビーキング”と非対称性の程度は、低周波数運転
に移行することにより、大幅に減少する。
【表4】
【図面の簡単な説明】
【図1A】 ノッチングを説明する模式断面図である。
【図1B】 ノッチングを説明する模式断面図である。
【図2A】 先行技術の方法によるエッチングを示す走査電子顕微鏡写真(SEM像)である
【図2B】 先行技術の方法によるエッチングを示す走査電子顕微鏡写真(SEM像)である
【図3】 グラス(grass)生成が起った先行技術の別のSEM像である。
【図4A〜C】 本発明で得られたSEM像である。
【図5】 ノッチ寸法の増大をオーバーエッチング時間の関数として示すグラフである。
【図6A】 本発明の方法によるエッチングを示すSEM像である。
【図6B】 本発明の方法によるエッチングを示すSEM像である。
【図7】 いろいろなパラメータのデューティサイクルによる変化を示すグラフである。
【図8】 いろいろなパラメータのデューティサイクルによる変化を示すグラフである。
【図9】 いろいろなパラメータのデューティサイクルによる変化を示すグラフである。
【図10】 いろいろなパラメータのデューティサイクルによる変化を示すグラフである。
【図11】 本発明での使用のためにどちらか選択される二つの回路を示す図である。
【図12】 本発明での使用のためにどちらか選択される二つの回路を示す図である。
【図13】 選択できるバイアスの詳細を示す図である。
【図14】 電荷と電位と時間との関係を示すグラフである。
【図15】 絶縁性基板のエッチングにおよぼされる典型的な影響を示す。
【図16】 絶縁性基板のエッチングにおよぼされる典型的な影響を示す。
【図17】 絶縁性基板のエッチングにおけるRFバイアスパルス化の結果を示す。
【図18】 “ビーキング効果”を示すSEM像を示す。
【図19】 本発明で得られたSEM像である。
【図20(a)〜(c)】 RIE遅れの制御を示すSEM像である。
【図21(a)〜(d)】 低周波数パルス化バイアス印加によって得られる結果を示すSEM像である。
【符号の説明】 1 基板 2 シリコン半導体 3 二酸化ケイ素下層 4 マスク層 5 溝 6 イオン 7 電子 8 チャンバー 9 プラテン 10 ウェーハまたは加工物 11 誘電体窓 12 誘導結合プラズマコイル 13 入口 14 出口 15 整合ユニット 16 連続波RF電源 17 整合ユニット 18 RF電源 19 パルス発生器 20 DC電力増幅器 21 パルス発生器
【手続補正2】
【補正対象書類名】図面
【補正対象項目名】図6A
【補正方法】変更
【補正内容】
【図6A】
【手続補正3】
【補正対象書類名】図面
【補正対象項目名】図6B
【補正方法】変更
【補正内容】
【図6B】
【手続補正4】
【補正対象書類名】図面
【補正対象項目名】図7
【補正方法】変更
【補正内容】
【図7】
【手続補正5】
【補正対象書類名】図面
【補正対象項目名】図8
【補正方法】変更
【補正内容】
【図8】
【手続補正6】
【補正対象書類名】図面
【補正対象項目名】図9
【補正方法】変更
【補正内容】
【図9】
【手続補正7】
【補正対象書類名】図面
【補正対象項目名】図10
【補正方法】変更
【補正内容】
【図10】
───────────────────────────────────────────────────── フロントページの続き (31)優先権主張番号 9912376.2 (32)優先日 平成11年5月28日(1999.5.28) (33)優先権主張国 イギリス(GB) (81)指定国 EP(AT,BE,CH,CY, DE,DK,ES,FI,FR,GB,GR,IE,I T,LU,MC,NL,PT,SE),JP,KR,U S (72)発明者 ホプキンズ,ジャネット イギリス国 パウイズ エヌピー8 1ビ ーピー クリックホウェル スタンダート ストリート アッパー ハウス ファー ム 29 (72)発明者 リア,レズリー,マイケル イギリス国 オックスフォードシャー オ ーエックス11 9ジェイエッチ ディッド コット イースト ハッグボーン ニュー ロード 16ビー ヘデアウェー(番地な し) (72)発明者 ハインズ,アラン,マイケル イギリス国 カーディフ シーエフ1 9 エルエル カテドラル ロード 68 (72)発明者 ジョンストン,イアン,ロナルド イギリス国 カーディフ シーエフ3 0 アールエー メロンズ ストリート ウィ ロー−ハーブ クローズ 20 Fターム(参考) 5F004 AA06 BA08 BA09 BB12 BB13 CA02 CA03 FA08

Claims (24)

    【特許請求の範囲】
  1. 【請求項1】 チャンバー内の基板に形状をエッチングする方法であって、
    交互に行われる、プラズマによるエッチングとプラズマによる不動態化層の蒸着
    とから成り、基板に、イオンプラズマ周波数以下のバイアス周波数を印加するこ
    とを特徴とする方法。
  2. 【請求項2】 バイアス周波数がパルス化されることを特徴とする請求項1
    に記載の方法。
  3. 【請求項3】 チャンバー内の基板に形状をエッチングする方法であって、
    交互に行われる、プラズマによるエッチングとプラズマによる不動態化層の蒸着
    とから成り、基板に、パルス化バイアス周波数を印加することを特徴とする方法
  4. 【請求項4】 チャンバー内の基板にプラズマにより形状をエッチングする
    方法であって、基板に、イオンプラズマ周波数以下のパルス化バイアス周波数を
    印加することから成ることを特徴とする方法。
  5. 【請求項5】 バイアス周波数がRFまたはDCバイアス電源によって生成
    されることを特徴とする請求項1から4の中のいずれか1つに記載の方法。
  6. 【請求項6】 基板が半導体であることを特徴とする請求項1から5の中の
    いずれか1つに記載の方法。
  7. 【請求項7】 基板が絶縁性の下層を有することを特徴とする請求項1から
    6の中のいずれか1つに記載の方法。
  8. 【請求項8】 基板が上表面上にマスク層を有することを特徴とする請求項
    1から7の中のいずれか1つに記載の方法。
  9. 【請求項9】 マスク層が絶縁体であることを特徴とする請求項8に記載の
    方法。
  10. 【請求項10】バイアス周波数が4MHz以下であることを特徴とする請求
    項1から9の中のいずれか1つに記載の方法。
  11. 【請求項11】バイアス周波数が50〜380kHzの範囲にあることを特
    徴とする請求項10に記載の方法。
  12. 【請求項12】さらに、エッチング、または交互に行われるエッチングおよ
    び蒸着ステップにおけるよりも高い圧力で実施されるエッチングおよび不動態化
    ステップを含むことを特徴とする請求項1から11の中のいずれか1つに記載の
    方法。
  13. 【請求項13】高い圧力で実施されるエッチングおよび不動態化ステップが
    、下層に近づいたときに開始されることを特徴とする請求項12に記載の方法。
  14. 【請求項14】さらに、エッチング、または交互に行われるエッチングおよ
    び蒸着の第一のステップからもう一つのエッチングおよび不動態化ステップに切
    替える時を決定する終点検出ステップを含むことを特徴とする請求項12または
    13に記載の方法。
  15. 【請求項15】パルスオフ時間が100μsよりも大きいことを特徴とする
    請求項2から14の中のいずれか1つに記載の方法。
  16. 【請求項16】パルスオフ時間が10〜90%の時間にわたって使用される
    ことを特徴とする請求項2から15のいずれか1つに記載の方法。
  17. 【請求項17】幅広の溝における蒸着の比率が幅の狭い溝におけるそれに比
    して増大させられ、RIE遅れが減少または排除されることを特徴とする請求項
    1から16のいずれか1つに記載の方法。
  18. 【請求項18】パルス化イオンが使用されることを特徴とする請求項17に
    記載の方法。
  19. 【請求項19】さらに、プラズマが生成されるチャンバーの空間部分を基板
    が配置される空間部分から部分的または完全に分離するために磁気フィルターを
    与えるステップを含むことを特徴とする請求項1から18のいずれか1つに記載
    の方法。
  20. 【請求項20】添付の図面を参照して本明細書で実質的に述べた方法。
  21. 【請求項21】基板に形状をエッチングする装置であって、チャンバー、交
    互に行われる、プラズマによる基板のエッチングおよびプラズマによる不動態化
    層の蒸着のための手段、ならびに基板にイオンプラズマ周波数以下のバイアス周
    波数を与える手段、から成ることを特徴とする装置。
  22. 【請求項22】基板に形状をエッチングする装置であって、チャンバー、交
    互に行われる、プラズマによる基板のエッチングおよびプラズマによる不動態化
    層の蒸着のための手段、ならびに基板にパルス化バイアス周波数を与える手段、
    から成ることを特徴とする装置。
  23. 【請求項23】基板に形状をエッチングする装置であって、チャンバー、プ
    ラズマによる基板のエッチングのための手段、および基板にイオンプラズマ周波
    数以下のパルス化バイアス周波数を与える手段、から成ることを特徴とする装置
  24. 【請求項24】添付の図面を参照して本明細書で実質的に述べ、かつ添付の
    図面に実質的に示されている装置。
JP2000580243A 1998-11-04 1999-11-03 基板をエッチングするための方法と装置 Expired - Lifetime JP4163857B2 (ja)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
GB9824077.3 1998-11-04
GBGB9824077.3A GB9824077D0 (en) 1998-11-04 1998-11-04 A method and apparatus for etching a substrate
GBGB9901867.3A GB9901867D0 (en) 1999-01-29 1999-01-29 A method and apparatus
GB9901867.3 1999-01-29
GB9912376.2 1999-05-28
GBGB9912376.2A GB9912376D0 (en) 1999-05-28 1999-05-28 A method and apparatus for etching a substrate
PCT/GB1999/003630 WO2000026956A1 (en) 1998-11-04 1999-11-03 A method and apparatus for etching a substrate

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2006013130A Division JP2006148156A (ja) 1998-11-04 2006-01-20 基板をエッチングするための方法と装置

Publications (2)

Publication Number Publication Date
JP2002529913A true JP2002529913A (ja) 2002-09-10
JP4163857B2 JP4163857B2 (ja) 2008-10-08

Family

ID=27269536

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2000580243A Expired - Lifetime JP4163857B2 (ja) 1998-11-04 1999-11-03 基板をエッチングするための方法と装置
JP2006013130A Pending JP2006148156A (ja) 1998-11-04 2006-01-20 基板をエッチングするための方法と装置

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2006013130A Pending JP2006148156A (ja) 1998-11-04 2006-01-20 基板をエッチングするための方法と装置

Country Status (6)

Country Link
EP (1) EP1131847B1 (ja)
JP (2) JP4163857B2 (ja)
KR (1) KR100514150B1 (ja)
AT (1) ATE458273T1 (ja)
DE (1) DE69942034D1 (ja)
WO (1) WO2000026956A1 (ja)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004511097A (ja) * 2000-10-06 2004-04-08 ラム リサーチ コーポレーション プラズマ処理チャンバにおける単周波rf電力を用いたウェハ処理システム、処理装置、および処理方法
JP2006503423A (ja) * 2002-07-24 2006-01-26 ウナクシス ユーエスエイ、インコーポレイテッド 交互の付着およびエッチングおよび有パルスプラズマを使用する高縦横比soi構造のノッチ無しエッチング
JP2007019758A (ja) * 2005-07-06 2007-01-25 Toshiba Corp 薄膜圧電共振素子の製造方法及び薄膜圧電共振素子
JP2007059696A (ja) * 2005-08-25 2007-03-08 Hitachi High-Technologies Corp エッチング方法およびエッチング装置
JP2007509506A (ja) * 2003-10-21 2007-04-12 ウナクシス ユーエスエイ、インコーポレイテッド 時分割多重法及びrfバイアス変調を用いた高アスペクトsoi構造の無ノッチエッチング
JP2008504975A (ja) * 2004-06-29 2008-02-21 ウナクシス ユーエスエイ、インコーポレイテッド 時分割多重化エッチング処理時にアスペクト比に依存するエッチングを低減する方法と装置
JP2010093284A (ja) * 2004-02-17 2010-04-22 Sanyo Electric Co Ltd 半導体装置の製造方法
JP2014039050A (ja) * 2007-02-21 2014-02-27 Applied Materials Inc パルス化したサンプルバイアスを用いる、半導体構造をエッチングするためのパルス化プラズマシステム
JP2014183314A (ja) * 2013-03-15 2014-09-29 Tokyo Electron Ltd Dcパルスエッチング装置
JP2015043470A (ja) * 2010-02-24 2015-03-05 東京エレクトロン株式会社 エッチング処理方法
JP2015222818A (ja) * 2015-07-01 2015-12-10 株式会社日立ハイテクノロジーズ プラズマ処理方法
US10332906B2 (en) 2016-11-21 2019-06-25 Toshiba Memory Corporation Dry etching method and method for manufacturing semiconductor device

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002025714A1 (en) * 2000-09-20 2002-03-28 Infineon Technologies Sc300 Gmbh & Co. Kg A process for dry-etching a semiconductor wafer surface
US6402301B1 (en) 2000-10-27 2002-06-11 Lexmark International, Inc Ink jet printheads and methods therefor
US20020139771A1 (en) * 2001-02-22 2002-10-03 Ping Jiang Gas switching during an etch process to modulate the characteristics of the etch
US6902867B2 (en) 2002-10-02 2005-06-07 Lexmark International, Inc. Ink jet printheads and methods therefor
US20040097077A1 (en) * 2002-11-15 2004-05-20 Applied Materials, Inc. Method and apparatus for etching a deep trench
WO2007031778A1 (en) * 2005-09-16 2007-03-22 Aviza Technology Limited A method of etching a feature in a silicone substrate
JP5192209B2 (ja) 2006-10-06 2013-05-08 東京エレクトロン株式会社 プラズマエッチング装置、プラズマエッチング方法およびコンピュータ読取可能な記憶媒体
JP5491648B2 (ja) * 2006-10-06 2014-05-14 東京エレクトロン株式会社 プラズマエッチング装置およびプラズマエッチング方法
JP4660498B2 (ja) * 2007-03-27 2011-03-30 株式会社東芝 基板のプラズマ処理装置
US7629255B2 (en) * 2007-06-04 2009-12-08 Lam Research Corporation Method for reducing microloading in etching high aspect ratio structures
JP4607930B2 (ja) 2007-09-14 2011-01-05 株式会社東芝 プラズマ処理装置およびプラズマ処理方法
US7846846B2 (en) * 2007-09-25 2010-12-07 Applied Materials, Inc. Method of preventing etch profile bending and bowing in high aspect ratio openings by treating a polymer formed on the opening sidewalls
JP5308080B2 (ja) 2008-06-18 2013-10-09 Sppテクノロジーズ株式会社 シリコン構造体の製造方法及びその製造装置並びにその製造プログラム
JP5295833B2 (ja) * 2008-09-24 2013-09-18 株式会社東芝 基板処理装置および基板処理方法
JP5288555B2 (ja) * 2009-05-27 2013-09-11 サムコ株式会社 誘導結合プラズマ処理装置及びプラズマエッチング方法
TWI490943B (zh) * 2010-01-26 2015-07-01 Ulvac Inc 乾式蝕刻方法
JP5223878B2 (ja) * 2010-03-30 2013-06-26 株式会社デンソー 半導体装置の製造方法
JP5542509B2 (ja) * 2010-04-05 2014-07-09 株式会社東芝 プラズマ処理装置およびプラズマ処理方法
US8133349B1 (en) * 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
US8802545B2 (en) * 2011-03-14 2014-08-12 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
JP6047281B2 (ja) * 2011-10-27 2016-12-21 神港精機株式会社 プラズマエッチング方法
KR102046193B1 (ko) * 2012-02-01 2019-11-18 도쿄엘렉트론가부시키가이샤 플라스마 에칭 방법 및 플라스마 에칭 장치
WO2013118660A1 (ja) * 2012-02-09 2013-08-15 東京エレクトロン株式会社 半導体製造装置の製造方法及び半導体製造装置
US10325759B2 (en) 2012-02-22 2019-06-18 Lam Research Corporation Multiple control modes
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
GB2499816A (en) 2012-02-29 2013-09-04 Oxford Instr Nanotechnology Tools Ltd Controlling deposition and etching in a chamber with fine time control of parameters and gas flow
JP6173086B2 (ja) * 2013-07-19 2017-08-02 キヤノン株式会社 シリコン基板のエッチング方法

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2918892B2 (ja) * 1988-10-14 1999-07-12 株式会社日立製作所 プラズマエッチング処理方法
JP3799073B2 (ja) * 1994-11-04 2006-07-19 株式会社日立製作所 ドライエッチング方法
EP0822582B1 (en) * 1996-08-01 2003-10-01 Surface Technology Systems Plc Method of etching substrates
JP3550466B2 (ja) * 1996-08-30 2004-08-04 株式会社日立製作所 プラズマ処理方法

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004511097A (ja) * 2000-10-06 2004-04-08 ラム リサーチ コーポレーション プラズマ処理チャンバにおける単周波rf電力を用いたウェハ処理システム、処理装置、および処理方法
JP2006503423A (ja) * 2002-07-24 2006-01-26 ウナクシス ユーエスエイ、インコーポレイテッド 交互の付着およびエッチングおよび有パルスプラズマを使用する高縦横比soi構造のノッチ無しエッチング
JP2007509506A (ja) * 2003-10-21 2007-04-12 ウナクシス ユーエスエイ、インコーポレイテッド 時分割多重法及びrfバイアス変調を用いた高アスペクトsoi構造の無ノッチエッチング
JP2010093284A (ja) * 2004-02-17 2010-04-22 Sanyo Electric Co Ltd 半導体装置の製造方法
JP2008504975A (ja) * 2004-06-29 2008-02-21 ウナクシス ユーエスエイ、インコーポレイテッド 時分割多重化エッチング処理時にアスペクト比に依存するエッチングを低減する方法と装置
JP2007019758A (ja) * 2005-07-06 2007-01-25 Toshiba Corp 薄膜圧電共振素子の製造方法及び薄膜圧電共振素子
JP2007059696A (ja) * 2005-08-25 2007-03-08 Hitachi High-Technologies Corp エッチング方法およびエッチング装置
JP4593402B2 (ja) * 2005-08-25 2010-12-08 株式会社日立ハイテクノロジーズ エッチング方法およびエッチング装置
JP2014039050A (ja) * 2007-02-21 2014-02-27 Applied Materials Inc パルス化したサンプルバイアスを用いる、半導体構造をエッチングするためのパルス化プラズマシステム
JP2015043470A (ja) * 2010-02-24 2015-03-05 東京エレクトロン株式会社 エッチング処理方法
TWI567822B (zh) * 2010-02-24 2017-01-21 東京威力科創股份有限公司 蝕刻處理方法
JP2014183314A (ja) * 2013-03-15 2014-09-29 Tokyo Electron Ltd Dcパルスエッチング装置
JP2015222818A (ja) * 2015-07-01 2015-12-10 株式会社日立ハイテクノロジーズ プラズマ処理方法
US10332906B2 (en) 2016-11-21 2019-06-25 Toshiba Memory Corporation Dry etching method and method for manufacturing semiconductor device

Also Published As

Publication number Publication date
JP4163857B2 (ja) 2008-10-08
KR100514150B1 (ko) 2005-09-13
EP1131847A1 (en) 2001-09-12
EP1131847B1 (en) 2010-02-17
DE69942034D1 (de) 2010-04-01
KR20010080937A (ko) 2001-08-25
ATE458273T1 (de) 2010-03-15
WO2000026956A1 (en) 2000-05-11
JP2006148156A (ja) 2006-06-08

Similar Documents

Publication Publication Date Title
JP4163857B2 (ja) 基板をエッチングするための方法と装置
US6187685B1 (en) Method and apparatus for etching a substrate
EP0710977B1 (en) Surface treatment method and system
KR100604741B1 (ko) 플라즈마 에칭 중 마스크 부식을 감소시키는 방법
US6062237A (en) Polymer removal from top surfaces and sidewalls of a semiconductor wafer
US8419958B2 (en) Using positive DC offset of bias RF to neutralize charge build-up of etch features
US20070175856A1 (en) Notch-Free Etching of High Aspect SOI Structures Using A Time Division Multiplex Process and RF Bias Modulation
EP0670590A2 (en) High pressure plasma treatment method and apparatus
US20060043066A1 (en) Processes for pre-tapering silicon or silicon-germanium prior to etching shallow trenches
JP2603217B2 (ja) 表面処理方法及び表面処理装置
JP3533105B2 (ja) 半導体装置の製造方法と製造装置
JPH0982687A (ja) 半導体装置の製造方法
JPH0533530B2 (ja)
JPH06252107A (ja) ドライエッチング方法
JPH07142455A (ja) プラズマエッチング方法及びプラズマエッチング装置
EP0954877B1 (en) Method for reducing plasma-induced charging damage
JP2007158250A (ja) プラズマエッチング方法
JP4024636B2 (ja) 有機系絶縁膜のエッチング方法及び半導体装置の製造方法
JP3319083B2 (ja) プラズマ処理方法
JP2005519470A (ja) 半導体ウェーハの乾式蝕刻方法
JPH0817807A (ja) プラズマ処理方法
JP2917993B1 (ja) ドライエッチング方法
JP2650626B2 (ja) プラズマ処理方法
JPH088237B2 (ja) プラズマ処理方法
KR100576430B1 (ko) 플라즈마 식각 장비에서의 폴리실리콘막 식각 방법

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050105

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20050322

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20050329

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050705

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20050927

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20051226

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20060208

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20060303

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080605

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20080725

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110801

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4163857

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110801

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120801

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130801

Year of fee payment: 5

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term