KR980011729A - 높은 포토레지스트 선택도와 개선된 폴리머 접착을 위한 플라즈마 반응기 처리 방법 - Google Patents

높은 포토레지스트 선택도와 개선된 폴리머 접착을 위한 플라즈마 반응기 처리 방법 Download PDF

Info

Publication number
KR980011729A
KR980011729A KR1019970035974A KR19970035974A KR980011729A KR 980011729 A KR980011729 A KR 980011729A KR 1019970035974 A KR1019970035974 A KR 1019970035974A KR 19970035974 A KR19970035974 A KR 19970035974A KR 980011729 A KR980011729 A KR 980011729A
Authority
KR
South Korea
Prior art keywords
chamber
gas
etch
plasma
etching
Prior art date
Application number
KR1019970035974A
Other languages
English (en)
Inventor
셔운 시 (토니)
Original Assignee
조셉 제이. 수위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 수위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 수위니
Publication of KR980011729A publication Critical patent/KR980011729A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Abstract

본 발명은 개선된 포토레지스트 층 에칭 균일도와 선택도, 포토레지스트의 감소된 깎인 면 형성(faceting), 감소된 폴리머 피일링(peeling), 및 노출된 장벽 층 표면의 감소된 에칭을 산출하는 플라즈마 반응기의 처리 챔버에서 제품을 에칭하기 위한 플라즈마 에칭 방법에 관한 것이다. 이런 개선은 현재 에칭 처리의 전형적이 아닌 방식으로 특정 처리 파라미터를 변경시킴으로써 달성된다. 특히, 챔버 압력 및/또는 에천트 가스의 플루오르 함유 성분의 흐름 속도가 증가된다. 부가적으로, 플라즈마를 유지하는 데 사용되는 소스 전력 또는 챔버의 루우프 온도의 증가가 또한 상기 개선에 기여할 것이다. 상당한 에칭 정지의 발생을 방지하기 위해, 희석제는 폴리머의 형성을 제한하기 위해 에천트 가스에 부가된다. 희석제의 첨가는 어느 정도까지 잘 작용하고, 그후에 전술된 처리 파라미터의 조합된 변경 효과는 챔버를 통해 흐르는 희석제 가스의 양에 무관하게 에칭정지를 초래한다. 그러므로, 4개 처리 파라미터를 위해 선텍된 값은 에칭 정지를 최소화하는 동안 본 발명의 이득을 최대화 하도록 조정된다.

Description

높은 포토레지스트 선택도와 개선된 폴리머 접착을 위한 플라즈마 반응기 처리 방법
본 내용은 요부공개 건이므로 전문내용을 수록하지 않았음
본 발명은 일반적으로 플라즈마 반응기에서 반도체 집적 회로 웨이퍼를 에칭하기 위한 방법에 관한 것으로서, 특히 포토레지스트 층의 에칭 균일도와 선택도 뿐만아니라 이런 층에 대한 폴리머 접착의 개선에 관한 것이다.
반도체 집적 회로 웨이퍼를 처리하기 위한 플라즈마 에칭 반응기에서, 전형적 에칭 처리는 진공 챔버내로 에틸 육플루오르화물(C2F6)과 같은 에천트 가스의 유입을 포함한다. C2F6을 사용하는 이런 에칭 처리는 종종 도1에 도시된 바와 같은 유도적 결합된 플라즈마 반응기를 사용한다. 상기 반응기는 진공 챔버(100)와 웨이퍼 또는 제품(110)을 지지하기 위한 페데스탈(105)을 포함한다. 유도 코일 안테나(115)는 챔버(100)의 측면에 감겨지고 챔버에 RF 전력을 제공하기 위해 임피던스 정합 회로(125)를 통해 무선 주파수(RF) 플라즈마 소스 전력 발생기(120)에 접속된다. 바이어스 RF 전력 발생기(130)와 관련된 임피던스 정합 회로(135)는 상기 웨이퍼(110)상에 RF 바이어스를 부가한다. 에천트 가스는 다수의 가스 주입 포트(140)를 가지는 환형 매니폴드를 통해 챔버(100)내로 유입된다. 진공 펌프(145)는 요구된 챔버 압력까지 챔버(100)를 진공화한다.
도 1에 도시된 바와 같은 반응기에서 C2F6을 사용하는 웨이퍼의 에칭은 상대적으로 낮은 압력, 전형적으로 10 밀리토르 미만, 및 낮은 에천트 흐름 속도, 전형적으로 40sccm으로 수행된다. 이런 낮은 압력과 흐름 속도는 이후에 기술될 과도 폴리머와 에칭 정지를 방지하는데 요구된다.
동작중, 를라즈마 전력 소스(120)는 플라즈마를 형성하기 위해 챔버내의 가스 분자에 화학 변화를 일으키는 전자계를 형성함으로써 플라즈마를 발생한다. 상기 플라즈마는 에천트 가스가 웨이퍼(110)를 에칭하는 데 사용되는 에천트 종류를 생성하도록 한다. 이런 에천트 종류는 예를 들어 플루오르화 카본 이온과 라디컬, 및 자유 플루오르 이온과 라디컬을 포함한다. 분자 또는 원자 카본은 또한 웨이퍼의 노출된 표면에 접착하려는 폴리머를 형성하도록 약간의 플루오르카본 라디컬과 결합하는 플라지마 상호작용에 의해 발생된다. 웨이퍼의 무산소층에 형성하는 폴리머는 에칭에 저항한다.
이런 폴리머화 처리는 전형적인 산화물층 에칭 처리에 유리하게 사용되는데, 다른 것들중 에칭되는 산화물층(예를 들어 이산화 실리콘) 아래에 놓이는 무산소 층(예를 들면, 실리콘, 질화 티타늄 또는 티타늄 실리사이드와 같은 재료로 제조된 장벽층)의 에칭을 차단 또는 감소시킨다. 하부 무산소층의 에칭 금지는 하부층 무산소층을 손상시키지않고 이산화 실리콘을 통한 형상, 이를테면 홀(이하 콘택트 비아로서 참조되는) 또는 트렌치의 형성을 용이하게 한다.
폴리머화 처리는 에칭될 하부 산화 실리콘층을 가진 실리콘 장벽 층의 보기를 사용하여 설명될 것이다.
플라즈마 에칭 동안, 산소는 이산화 실리콘 층이 에칭될 때 이런 층으로부터 유리된다. 산소는 에천트 종류, 이를테면 플라즈마에서 형성된 이온에 의해 이산화 실리콘의 감소에 의해 방출된다. 이런 이온은 전형적으로 전술된 RF 웨이퍼 바이어스에 의해 웨이퍼로 인도된다. 방출된 산소는 산화물층상에 형성되는 폴리머와 반응하여 산화물층이 에칭되도록 한다. 그러나, 콘택트 비아 또는 트렌치가 하부 실리콘층를 노출하기에 충분한 깊이가 될 때, 폴리머막이 이런 층 위에 형성한다. 실리콘 층내에 존재하는 산소가 없기 때문에, 폴리머는 에칭되는 하부층을 손상되지 않게 보호한다.
임계 치수, 또는 CD(critical dimension : 예를 들어 콘택트 비아 또는 트렌치 폭)는 폴리머의 박막층이 형상의 측벽상에 형성되고 결코 완전히 에칭되지 않기 때문에 유지된다. 측벽상의 박막은 웨이퍼의 표면에 주로 수직이되는 에칭 이온의 궤도 때문에 잔존한다. 그러므로, 이온은 단지 측벽을 스치듯 충돌한다. 결과로서, 에칭형상의 측벽상에 형성하는 폴리머층은 이온의 불규칙한 활동 때문에 상당히 형성되지 않지만, 완전히 제거되지도 않는다. 이것은 실질적으로 완전한 측벽을 남긴다. 그러나, 이온은 에칭 형상의 하부상에 직접 충돌한다. 이런 충격은 형상의 하부상의 폴리머 형성을 손상시키고, 형상이 더 깊게 되도록 이산화 실리콘을 에칭한다.
이산화 실리콘이 에칭 형상의 하부에서 제거되는 속도는 충돌 이온의 수와 이들의 운동량을 포함하는 다수의 인자 뿐만 아니라 형상 하부에서의 국부 화학 작용에 의존한다. 이산화 실리콘으로부터 방출된 산소에 의해 폴리머 층을 형성하는 전술된 에칭은 주로 부산물을 생성하는 화학 반응이다. 이런 부산물의 농도가 더 커진다면, 이산화 실리콘 에칭의 상당한 감소가 발생된다. 차례로, 이것은 산소의 방출을 감소시켜 폴리머의 증착과 제거 사이의 편형을 깨뜨린다. 결론적으로, 폴리머가 형성되고, 그결과 에칭 형상의 하부에서 하부 이산화 실리콘의 어떤 추가 에칭을 방지한다. 이런 경우에, 얼마나 긴 에칭 처리(예를 들면, 충격)가 지속되느냐에 관계없이 그이상 깊이는 얻어지지 않는다. 이런 현상은 에칭 정지로서 참조된다. 전술된 부산물의 형성은 수개의 인자에 의해 영향을 미친다. 예를들면, 챔버내의 압력 및/또는 에천트 흐름 속도를 더 크게하고, 이산화 실리콘 에칭 부산물의 형성을 더 빠르게 한다. 부가적으로, 에칭 형상의 임계 치구에 대한 깊이와 크기는 중요한 역할을 한다. 예를들어, 더 깊은 에칭 형상 및/또는 더 작은 CD는 에칭 부산물이 더욱 쉽게 축적되게 한다.
본질적 에칭 깊이를 얻기 위해 이산화 실리콘층의 에칭 형상에 대한 하부로부터 폴리머 형성과 에칭사이의 적당한 평형 유지는 이전에 상대적으로 느린 압력과 에천트 흐름 속도로 에칭 처리를 수행한다는 것을 의미했다. 상기 처리가 더 높은 압력 및/또는 에천트 흐름 속도로 수행된다면, 에칭 정지 조건이 초래될 것이다. 이미 논의된 바와 같이, 더 높은 압력 또는 에천트 흐름 속도는 폴리머 에천트 부산물 형성에 영향을 준다. 부가적으로, 또한 이런 조건은 에칭 이온의 농도에 비교하여 플라즈마에서 라디컬을 형성하는 폴리머의 농도를 증가시킨다. 플라즈마의 더 높은 라디컬 농도는 이온의 더 낮은 농도와 결합하여 산화물층내의 하부 에칭 형상 상부에 대한 폴리머 증착과 에칭사이의 평형을 깨뜨린다. 이것을 폴리머 에칭 부산물의 동시 발생 형성과 관련하여 폴리머가 에칭 형상의 하부에 축적되도록 한다. 그러므로, 에칭 정지 조건이 형성된다.
폴리머화 처리의 다른 기능은 에칭되지않는 이산화 실리콘층 부분을 마스킹하는 포토레지스트 층을 보호하는 것이다. 포토레지스트 재료, 이를테면 노볼락 수지는 이산화 실리콘보다 더 느린 속도로 에칭될 것이다. 그러나, 보호적 폴리머 코팅이 없는 포토레지스트 층에 대한 전형적 에천트의 선택도는 철저히 에칭 처리를 방지하는 이산화 실리콘에 비해 충분히 높지 않다. 이런 선택도는 에천트가 특정층을 에칭하는 등급으로 참조된다. 낮은 선택도는 에천트가 다른 층과 비슷한 속도로 특정 층을 제거한다는 것을 의미하는 반면, 높은 선택도는 에천트가 다른 층보다 더욱 느린 속도로 특정측을 제거한다는 것을 의미한다. 예를 들면, 이산화 실리콘층을 에칭할 때, 에천트는 보호 폴리머 코팅이 형성될 때 이산화 실리콘에 비교하여 하부 실리콘층에 대한 높은 선택도를 가진다. 포토레지스트 재료 단독에 대한 선택도가 충분히 높지 않다는 것이 언급되었을 때, 이산화 실리콘층의 노출된 부분에 대한 에칭이 완료되기 이전에 상기 층의 적어도 일부는 전형적으로 적당한 폴리머 증착의 부재로 에칭된다는 것을 의미한다. 이런 경우에, 포토레지스트 층의 제거된 부분에 대한 하부 이산화 실리콘층의 원치않는 에칭이 발생한다. 이런 이산화 실리콘의 원치않는 에칭이 형성되는 각각의 형상중 하나에 대한 에지에 발생한다면, 형상의 CD는 악영향을 받는다. 이것은 깎인 면 형성(faceting)으로서 참조된다. 다른 한편, 폴리머가 포토레지스트 층상에 증착된다면 원치않는 에칭은 상당히 감소 또는 제거된다. 결과로서, 포토레지스트 층에 대한 에천트의 효과적 선택도는 증가된다. 선택도가 충분히 증가된다면, 이산화 실리콘의 에칭은 임의의 전술된 원치않는 에칭이 초래되기 이전에 완료될 수 있다. 그러므로, 웨이퍼 표면상의 어느 점에 있어서도 포토레지스트 층에 대해 굉장히 선택적인 에칭 처리를 가지는 것이 바람직하다.
현재 에칭 처리와 관련된 공지된 문제는 웨이퍼의 외부 에지로부터 중앙까지 포토레지스트 층에 대한 에칭 속도의 불균일이다. 특히, 웨이퍼 마스킹 처리에 사용되는 포토레지스트 재료는 포토레지스트의 높은 선택도에 기인하는 이산화물의 노출된 부분보다 더 느린 에칭 속도에도 불구하고 웨이퍼의 중앙에서보다 가장자리에 근접하여 더욱 빨리 에칭되려는 경향이 있다. 이런 효과는 웨이퍼(200)의 가장자리에 인접한 형상을 커버하는 포토레지스트 층(210)의 높이(h1)과 웨이퍼(200)의 중앙에 더 인접한 형상을 커버하는 포토레지스트 층(210)의 높이(h2)를 비교함으로써 도 2에 도시되어 있다. 비대칭 에칭 프로파일은 웨이퍼의 가장자리에 근접한 이산화 실리콘층의 일부에 있는 포토레지스트 마스크가 비워지도록 하여 하부층에 대한 원치않는 에칭과 손상을 초래한다.
부가적으로, 에이퍼의 가장자리에 인접하여 형상에 접하는 포토레지스트 층의 일부가 훨씬 더 높은 에칭 속도(예를 들어 더 낮은 선택도)를 나타낸다는 것이 관찰된다. 예를 들면, 에천트 이온은 도 2에 도시된 바와 같이 포토레지스트 층(210)내의 깎인 면(facet)(205)에 의해 형상에 인접한 포토레지스트상에 전술된 깎인 면을 형성한다. 이런 깎인 면 형성은 형상의 CD 제어 손실을 초래한다. 예를 들면, 이산화 실리콘층(215)내의 트렌치(210)의 폭은 깎인 면 형성을 나타내는 포토레지스트 층(210) 부분 사이의 상부에서 확장한다. 깎인 면 형성 효과는 밀접하게 그룹화되는, 예를 들어 약 1㎛ 미만의 간격을 가지는 것과 같이 조밀 영역 형상 에칭에도 현저하다고 알려졌다.
또한 웨이퍼의 가장자리 근처의 큰 형상의 일부로서 형성되는 티타늄 실리사이드(TiSi), 질화 티타늄(TiN)으로 형성된 장벽층은 중첩 이산화 실리콘 층이 에칭될 때 과도하게 에칭될 수 있다는 것이 관찰된다. 예를 들면, 도 2를 참조하면, 본드 패드와 같은 큰 치수(10㎛의 등급)를 가진 형상과 트렌치(10~100㎛의 길이를 가진)는 빈번히 현재 에칭 처리가 사용될 때 장벽층(220)내에 함몰부(225)의 에칭을 초래한다. 이것은 상기 함몰부(225)가 충분히 깊게 된다면 하부 기판(230)까지 상기 장벽층(220)의 원치않는 관통으로 이어질 수도 있다.
현재 에칭 기술의 다른 한계는 포토레지스트상에 형성된 폴리먹 에칭 처리후 주로 웨이퍼의 중앙에 인접한 영역에서 벗겨지려는 경항이 있다는 것이다. 이런 작용은 더 높은 플라즈마 소스 전력(2800와트 이상), 낮은 에천트 흐름 속도(35sccm), 및 더 긴 에칭 시간(180초를 초과하는)에서 현저하다. 상기 문제는 폴리머가 형성될때 그것내에 형성되는 내부 스트레스에 의해 초래되는 것으로 보인다. 이런 내부 스트레스는 분열을 초래하여 웨이퍼 표면으로부터 폴리머를 엷은 조각으로 벗겨낸다. 한 해결책은 다른 배기 가스와 함께 챔버로부터 폴리머가 제거되도록 폴리머를 에칭하는 플라즈마내에 산소를 유입함으로써 폴리머를 인-시튜 제거하는 것이다. 폴리머가 이런 방식으로 제거되지 않는다면, 포토레지스트로부터 벗겨지고 챔버 바닥에 떨어질 수 있어 챔버를 세정함으로써 제거되어야 할 오염물을 유발한다. 벗겨지는 폴리머를 제거하기 위한 인-시튜 제거 또는 빈번한 챔버의 세정은 이런 절차가 비용과 시간 소모 둘 다를 고려할 때 바람직하지 않다.
전술된 포토레지스트 총 문제는 부분적으로 에칭동작동안 웨이퍼의 주변에 근접한 플라즈마 반응기 챔버벽으로부터 산소의 방출에 의해 초래되고, 부분적으로 웨이퍼의 주변에 중첩하는 플라즈마 부분에 생성된 라디컬을 형성하는 폴리머의 높은 플루오르 함량에 의해 초래된다고 믿어진다. 전형적으로, 챔버의 측벽은 에칭 절차동안 에칭되어 산소를 방출하는 산소 베어링 재료(수정 형태의 이산화물)로 구성된다. 부가적으로, 에천트 가스는 전형적으로 챔버의 측면으로부터 유입되어 웨이퍼의 주변에 중첩하는 영역내의 플라즈마로 진입한다. 플라즈마내에 있을 때, 에천트 가스는 폴리머를 형성하기 위해 다른 플라즈마 종류와 협력하는 플루오르 함유 라디컬을 포함하는 여러 가지 에칭 종류로 해리된다. 그러나, 웨이퍼의 주변에 중첩하는 플라즈마 영역에 형성된 폴리머 형성 라디컬은 주변 영역에 우선 유입되는 에천트 가스 때문에 플라즈마의 중앙 영역에 형성하는 라디컬보다 더 높은 플루오르의 단편을 가진다고 믿어진다.
웨이퍼의 가장자리에 중첩하는 영역에서 산소와 더 높은 플루오르 함량을 가진 폴리머 형성 라디컬의 조합된 존재는 웨이퍼 주변에 인접한 더 높은 포토레지스트 에칭 속도를 초래한다. 웨이퍼의 주변 근처의 반응기 챔버에 존재하는 산소는 이런 영역내의 웨이퍼 표면상의 폴리머 증착을 방해한다. 부가적으로, 웨이퍼의 주변 영역상에 형성하는 폴리머는 더 높은 플루오르 단편을 가진다. 높은 플루오르 함량을 가진 폴리머는 낮은 플루오르 함량을 가지는 폴리머보다 더 빠른 속도로 포토레지스트로부터 제거된다. 상기 해결책 결과는 웨이퍼의 주변에 형성되는 포트레지스트 층의 웨이퍼의 중앙부에서보다 더 빠른 속도로 에칭된다는 것이다. 웨이퍼의 주변에서의 포토레지스트 층의 이런 증가된 에칭은 포토레지스트 층 에칭 속도의 이전에 기술된 비균일도를 설명하고, 웨이퍼의 주변에서의 에천트의 더 낮은 선택도도 설명한다. 부가적으로, 웨이퍼 가장자리에서의 이런 증가된 포토레지스트 층 에칭은 또한 깎인 면 형성 문제를 초래할 뿐만아니라 장벽층의 과도 에칭과 관련된 전술된 문제를 초래한다.
본 발명의 목적은 양호한 포토레지스트 에칭 균일도와 포토레지스트층에 대한 높은 선택도를 나타내는 개선된 에칭 방법을 제공하는 것이다. 이런 방식으로, 웨이퍼의 주변에서 포토레지스트 층의 깎인 면 형성은 실질적으로 감소 또는 방지된다.
본 발명의 다른 목적은 챔버의 오염을 방지하기 위해 에칭후 포토레지스트 층의 표면으로부터 폴리머의 피일링(peeling) 또는 분열을 제거하는 것이다.
본 발명의 또다른 목적은 웨이퍼 주변에서 장벽층내의 원치않는 함몰부의 형성을 제거하는 것이다.
제1도는 가스 유입 포트를 도시하는 플라즈마 반응기의 단면도.
제2도는 종래 기술의 에칭 처리를 사용하여 에칭한후 반도체 웨이퍼 일부의 단면도.
제3도는 본 발명의 에칭 처리를 사용하여 에칭한후 반도체 웨이퍼 일부의 단면도.
제4도는 특정 에칭 처리 파라미터의 설정을 조정하기 위한 본 발명에 따른 처리 절차를 나타내는 순서도.
제5도는 본 발명에 따른 다른 다단계 에칭 처리를 도시하는 순서도.
제6도는 본 발명의 에칭 처리를 사용하는 에칭 처리를 사용하는 에칭후 반사 방지 코팅을 가지는 반도체 웨이퍼 일부의 단면도.
* 도면의 주요부분에 대한 부호의 설명
300 : 포토레지스트 305 : 웨이퍼
310 : 포토레지스트 마스킹부 325 : 산화물층
본 발명은 제품, 이를테면 반도체 웨이퍼를 플라즈마 반응기의 처리 챔버에서 에칭하기 위한 플라즈마 에칭처리로 구현된다. 이런 처리는 특정 요구된 특성, 이를테면 개선된 포토레지스트 층 에칭 균일도와 선택도, 제품상의 에칭 형상의 가장자리에서 포토레지스트의 감소된 깎인 면 형성, 감소된 폴리머 피일링, 및 노출된 장벽층 표면의 감소된 에칭을 산출한다. 일반적으로, 이런 개선은 챔버 압력 및/또는 에칭 처리에 사용되는 에천트 가스의 플루오르 함유 성분의 흐름 속도의 증가에 의해 달성된다. 이런 이익은 증가된 압력 및/또는 에천트 흐름 속도에 의해 형성되는 웨이퍼에 중첩하는 플라즈마의 라디컬 대 이온 종류 비율의 증가로부터 초래된다고 이론화된다. 더 작은 범위까지, 또한 플라즈마를 유지하는데 사용되는 소스 전력의 감소는 라디컬 대 이온 비율을 증가시킬 것이다. 부가적으로, 챔버 루우프 온도의 증가는 웨이퍼에 인접한 플라즈마내의 폴리머 형성 라디컬의 집중을 초래할 것이다. 이것은 플라즈마내의 라디컬 대 이온 비율을 증가시켜 전술된 요구된 특성을 산출하는 효과를 가진다.
증가된 라디컬 대 이온 비율은 에칭 형상의 하부에서 폴리머의 증착과 에칭사이의 요구된 균형을 전복시킬 수 있어 에칭 정지 조건을 초래한다. 에칭 정지를 감소하기 위해, 희석제 가스가 에천트 가스에 첨가된다. 희석제 가스의 첨가는 어느 정도까지 잘 작용하지만, 챔버 압력, 플루오르 함유 에천트 성분 흐름도, 소스 전력 및 루우프 온도의 조합된 효과는 챔버를 통해 흐르는 희석제 가스의 양에 무관하게 에칭 정지를 초래하는 라디컬 대 이온 비율을 산출할 수 있다. 그러므로, 전술된 4개 처리 파라미터는 바람직하게 본 발명의 이익을 최적화하면서 에칭 정지를 최소화하도록 조정된다.
전술된 4개 처리 파라미터의 조정을 포함하는 본 발명에 따른 플라즈마 에칭 처리를 수행하는 바람직한 방법은 챔버내에 플라즈마를 점화하는 것으로 시작하고, 다음에 챔버내에 에천트 가스의 플루오르 함유 성분을 유입한다. 이런 플루오르 함유 성분은 플루오르 가스 또는 하이드로플루오르카본 가스, 또는 둘다가 된다. 다음에, 에천트 가스의 희석제 가스 성분이 챔버내로 유입된다. 바람직하게, 이런 희석제 성분은 불활성 가스이다. 4개 파라미터(예를 들어, (ⅰ) 플루오르 함유 성분의 흐름 속도, (ⅱ) 챔버 압력, (ⅲ) 전력 소스의 전력 레벨, 및 (ⅳ) 챔버 루우프의 온도)는 이런 처리 파라미터중 3개 개별 값의 고정을 포함한다. 다음에, 나머지 파라미터의 값은 챔버내에서 배치된 제품이 요구된 특성을 나타낼때까지 점진적으로 변경된다. 요구된 특성이 나머지 처리 파라미터를 위해 선택된 값에 무관하게 나타나지 않는다면, 3개 고정된 처리 파라미터중 적어도 하나의 값은 변형된다. 다음에 변향된 값은 고정되고, 나머지 처리 파라미터의 값을 점진적으로 변화시키는 단계가 반복된다.
그러나, 나머지 처리 파라미터는 플루오르 함유 성분의 흐름 속도, 챔버 압력, 또는 소스 전력이 되는 것이 바람직하다. 특히, 포토레지스트 에칭 균일도와 선택도를 최대화할 것이 필요하다면, 흐름 속도 또는 압력은 가변 파라미터로서 선택되어야 한다. 그러나, 대신에 에칭 깊이의 최대화가 요구된다면, 흐름 속도 또는 소스 전력은 가변 파라미터로 선택되어야 한다.
조정 절차가 완료될 때, 제품은 챔버내에 배치된다. 에천트 가스의 동일 플루오르 함유 성분은 다시 희석제 성분과 함께 챔버내로 유입된다. 최종적으로, 플라즈마 에칭 처리는 선택된 처리 파라미터의 고정된 값을 사용하여 수행되고, 나머지 처리 파라미터의 값은 요구된 특성이 나타나도록 결정된다.
플루오르 함유 가스의 타입은 요구된 특성과 최대 에칭 깊이가 달성될 수 있는 정도의 효과를 가지는 것을 알 수 있다. 특히, 플루오르 가스, 이를테면 C2F6는 실질적 에칭 깊이와 포토레지스트 에칭 속도 균일도와 선택도의 적당한 개선을 사출한다. 반면에, 하이드로플루오르카본 가스, 이를테면 CF3는 포토레지스트 에칭 속도 균일도와 선택도의 실질적 개선과 제한된 에칭 깊이를 초래할 수 있다는 것을 알 수 있다. 플루오르카본과 하이드로플루오르카본 가스의 조합은 양호한 포토레지스트 에칭 속도와 에칭 깊이를 초래하지만, 단지 하이드로플루오르카본 가스만을 사용하여 얻어질 수 있는 양호한 에칭 속도 균일도/선택도 만큼은 아니며 플루오르카본가스만을 사용하여 얻어질 수 있는 양호한 에칭 깊이 만큼은 아니다. 이런 관점에서, 교대하는 2단계 방법은 최적 결과가 요구될 때 바람직하다. 본질적으로, 교대 처리는 플루오르카본과 하이드로플루오르카본 가스의 개별적 유입을 포함한다. 특히, 상기 교대 처리는 제품을 챔버내에 유입하고, 챔버내에 플라즈마를 점화하고, 에천트가스의 하이드로플루오르카본 성분을 흘려주며, 에천트 가스의 희석제 성분을 흘려주는 것을 포함한다. 다음게 제품의 초기 에칭이 수행된다. 완료 때, 하이드로플루오르카본 성분의 흐름은 정지되며, 에천트 가스의 플루오르카본 성분이 에칭 처리를 완료하도록 챔버내로 유입된다.
제 1 방법에서와 같이, 또한 이런 다른 방법은 제품의 에칭이전에 제품 테스트를 사용하는 이전에 기술된 4개 처리 파라미터의 조정을 포함할 수 있다.
본 발명의 바람직한 실시예의 다음 설명에서, 참조는 이것의 일부를 형성하고 본 발명이 실행될 수 있는 특정 실시예의 방법에 의해 도시되는 첨부 도면에 따라 만들어진다. 다른 실시예는 본 발명의 범위로부터 벗어나지않고 사용될 수 있다는 것을 알 수 있다. 본 발명의 바람직한 실시예는 유도적 결합 플라즈마 반응기, 이를테면 도 1에 도시된 반응기의 사용과 관련하여 기술될 것이다. 그러나, 본 발명의 처리는 유도적 결합 반응기의 사용에 제한되지 않는다. 오히려, 임의의 존재하는 플라즈마 에칭 반응기가 사용될 수 있다. 예를 들면, 유도적결합 또는 ECR 타입 플라즈마 에칭 반응기가 본 발명의 바람직한 방법을 수행하는데 성공적으로 사용될 수 있다. 그러나, 또한 본 발명은 특정 한계내의 에칭 처리 파라미터, 이를테면 반응기 챔버 압력과 에천트 흐름속도의 조절을 포함한다. 따라서, 사용된 임의 에칭 반응기는 요구된 바와 같은 특정처리 파라미터 변경을 산출할 수 있어야 한다.
본 발명의 제 1 바람직한 실시예에서, 전통적 C2F6 에천트 가스는 C2F6과 희석제 가스의 혼합물로 대체된다. 상기 희석제 가스는 예를 들면 (ⅰ) 반응기 챔버내에서 처리를 겪게되는 반도체 웨이퍼, 및 (ⅱ) 플라즈마내의 미립자, 이를테면 에천트 이온과 라디컬에 대해 화학적으로 반응하지 않는 불활성 가스로서 본 발명의 목적을 위해 한정된다. 특히, 상기 희석제 가스는 노벨 가스, 이를테면 헬륨 또는 아르곤이 되는 것이 바람직하다. 제 2 바람직한 실시예는 상기 C2F6를 삼플루오르와 메탄(CH3)와 상기 희석제 가스로 대체한다. 제 3 바람직한 실시예는 에천트 가스로서 상기 희석제 가스와 함께 C2F6와 CH3의 혼합물을 사용한다. 그리고, 제 4 바람직한 실시예는 제품이 우선 CH3와 희석제 가스의 혼합물을 사용하여 에칭되고, 에천트 가스로서 C2F6와 희석제 가스를 사용하는 최종 에칭 단계에 의해 수반되는 2단계 접근을 사용한다.
본 발명의 바람직한 실시예와 이후에 기술될 테스트된 실시예가 이미 기술된 특정 플루오르 함유 에천트 성분을 사용하더라도, 본 발명은 이런 특정 성분에 제한되지 않는다. 예를 들면, 현재 에천트로서 사용되고 있는 플루오르카본 가스는 만족스런 결과로 C2F6의 대체물로서 사용될 수 있다고 믿어진다. 특히, 카본 테트라플루오르화물(CF4)은 적당한 대체물을 형성할 것이라고 믿어진다. 부가적으로, 에천트로서 전형적으로 사용되는 임의 하이드로플루오르카본 가스는 CHF3에 대한 대체물로서 소용될 수 있다고 믿어진다. 특히, 일플루오르메탄(CH3F)은 적당한 대체물이 될 수 있다고 생각한다.
상기 모든 실시예에서, 상기 챔버 압력 및/또는 에천트 흐름 속도는 에천트 가스로서 C2F6만을 사용하는 전통적 에칭 처리와 관련된 최대 압력과 흐름 속도(예를 들어 10 밀리토르와 40sccm)로 증가된다. 바람직하게, 본 발명의 에칭 처리와 관련된 챔버 압력은 약 4 밀리토르 내지 약 60 밀리토르 범위내에 있을 것이다. 또한, 선택된 압력을 유지하는 동안 플루오르 함유 성분의 누적하는 흐름 속도는 약 15sccm 내지 약 80sccm 범위내에 있는 것이 바람직하다. 그러나, 압력과 에천트 흐름 속도에 대해 선택된 값의 특별한 조합은 억제되어야 한다. 본질적으로, 압력과 흐름 속도 값의 조합은 바람직하게 플라즈마의 라디컬 대 이론 비율이 이산화 실리콘층에 형성되는 각각의 형상에 대한 하부상이 폴리머 증착과 제거 사이의 요구된 평형을 유지하도록 선택된다. 이런 균형 유지는 에칭 정지 조건의 발생을 초래한다.
상기 에천트의 희석제 가스 성분에 관한한은, 바람직한 흐름 속도는 모든 실시예에서 약 50sccm 내지 약 400sccm이다. 그러나, 최대 희석제 흐름 속도는 바람직하게 겨우 에천트 가스의 모든 플루오르 함유 성분의 조합된 흐름속도 약 5 내지 10배이고 최소 흐름 속도는 바람직하게 이런 조합된 흐름 속도의 약 절반이다. 예를 들면, 모든 플루오르 함유 에천트 가스 성분의 흐름 속도가 겨우 약 200 내지 40sccm이라면, 바람직한 희석제 흐름 속도는 겨우 약 200 내지 400sccm, 및 약 20sccm이 될 것이다. 10배를 상당히 초과하는 한계는 희석제 가스의 첨가에 의해 부가되는 이익을 감소시킬 것이라고 믿어진다.
각각의 에천트 가스 혼합물과 관련된 특별한 장점을 개시하기 전에, 챔버 압력 및/또는 희석제 가스를 포함하는 플루오르 함유 에천트 가스 성분의 흐름 속도의 증가 장점이 설명될 것이다.
미리 기술된 바와 같이, 챔버 압력 증가는 플라즈마내의 라디컬 대 이온의 더 높은 비율을 초래한다. 유사하게, 에천트 가스의 플루오르 함유 성분의 흐름 속도 증가는 임의 소정 시간에서 플라즈마내의 더 높은 라디컬 대 이온 비율을 초래한다. 상기 증가된 비율은 증가된 라디컬의 집중이 웨이퍼의 주변 표면에서 포토레지스트층에 걸친 폴리머 형성때 페데스탈과 챔버벽의 에칭에 의해 방출되는 산소의 충격을 다소 완화한다고 믿어진다는 점에서 유리한 효과를 가진다. 부가적으로, 증가된 라디컬 대 이온 비율은 폴리머 증착 속도를 증가시키고 플라즈마내의 폴리머 형성 라디컬의 플루오르 함량의 비균일도를 평탄하게 하려는 경향이 있다고 이론화된다. 따라서, 웨이퍼 주변에서 포토레지스트 층상에 더많은 폴리머가 증착되고, 형성되는 폴리머는 실질적으로 웨이퍼상의 다른곳에 형성되는 폴리머와 같은 동일한 플루오르의 단편을 가질 것이라고 믿어진다. 이런 해결책의 결과는 웨이퍼 표면에 걸쳐 포토레지스트의 더욱 균일한 에칭이 될뿐만아니라 웨이퍼 주변에서 포토레지스트층에 대한 더 높은 선택도가 된다.
도 3은 에칭동안 웨이퍼상에 형성된 임의 폴리머가 제거된후 본 발명의 바람직한 실시예를 사용하여 달성된 개선을 도시한다. 웨이퍼 가장자리 근처의 웨이퍼(305)의 일부를 커버하는 포토레지스트 층(300) 부분의 높이(h1)는 이제 수직적으로 웨이퍼 중앙에 가까운 웨이퍼(305)의 일부를 커버하는 포토레지스트 층(300) 부분의 높이(h2)와 동일하다. 또한 상기 웨이퍼의 주변에 근접한 에칭 형상의 가장자리에서 포토레지스트 층의 깎인 면 형성은 전형적 종래 에칭 처리와 비교할 때 감소된다. 도 3에 도시된 바와 같이, 상기 산화물 층(325)을 마스킹하는 포토레지스트의 부분(310)은 깎인 면 형성을 나타내지 않고 형상(315)은 양호한 CD제어(예를 들면, 평행한 측면)를 나타낸다.
또한 희석제 가스는 유리한 결과를 산출한다. 특히, 플라즈마내의 희석제 가스의 존재는 폴리머가 약간의 측면 스트레스로 웨이퍼상에 형성되는 것으로 나타난다. 따라서, 상기 폴리머층은 스트레스 분열에 덜 영향을 받고, 그결과 에칭 처리가 완료된 후 포토레지스트 층으로부터 폴리머의 분열을 감소시킨다. 본 발명의 바람직한 실시예의 테스트 동안 상기 희석제 가스의 첨가는 웨이퍼로부터 피일링되는 폴리머 문제의 사실상의 제거를 초래한다. 폴리머 파편에 의한 반응기 챔버의 상당한 오염은 이런 테스트 동안 관찰되지 않는다.
원치않는 함몰부가 초래되는 웨이퍼 주변 영역에 있는 노출된 장벽층의 과도 에칭이 또한 극적으로 감소된다. 다시, 이것은 플라즈마의 증가된 라디컬 대 이론 비율 때문에 장벽 표면상의 폴리머 증착의 개선된 속도를 초래하리라고 믿어진다. 도 3에 도시된 바와 같이, 이산화 실리콘 층(325)의 에칭은 상기 장벽층(320)에 대한 높은 선택도를 나타낸다. 그러므로, 웨이퍼(305)의 가장자리에 근접한 큰 트렌치(330)의 에칭에 의해 노출된 상기 장벽층(320)의 표면은 평탄하고 원치않는 함몰부가 없다. 따라서, 상기 하부 기판(335)까지의 임의 관통 가능성은 제거된다.
그러나, 이미 기술된 상기 극적 개선은 챔버 압력 및/또는 에천트 흐름 속도만을 증가시킴으로써 도출될 수 없다. 증가된 챔버 압력, 및/또는 플루오르 함유 에천트 성분 흐름 속도에서 챔버내에 존재하는 폴리머 형성 라디컬의 더높은 집중 때문에, 폴리머를 형성할 기회가 증가한다. 얻어지는 포토레지스트와 장벽 층 표면상의 폴리머 증착 증가는 그것의 에칭을 감소하고 이런 표면에 대한 에천트의 선택도를 증가시킨다. 그러나, 또한 임의 증가된 폴리머 증착은 에칭되고 있는 산화물층의 노출된 부분에 영향을 미칠 수 있다. 다소의 점에서, 폴리머 증착 증가는 에칭 형상의 하부상에서 폴리머 형성과 에칭 사이의 균형을 전복시킬 것이고, 그러므로 에칭 정지 조건을 초래한다. 이런 잠재적 문제는 에천트 가스에 대한 희석제(예를들어 헬륨 및/또는 아르곤)의 첨가에 의해 적게 된다. 상기 희석제는 폴리머의 형성을 금지하도록 작용한다. 전술된 바람직한 범위내의 흐름 속도로 희석 가스의 첨가는 챔버 압력, 및/또는 플루오르 함유 에천트 가스 성분의 흐름 속도가 증가되더라도 실질적으로 상기 에칭 형상의 하부에서 폴리머의 형성과 에칭사이의 균형을 유지할 수 있다. 상기 희석제의 흐름 속도는 바람직하게 폴리머 형성이 상기 에칭 형상의 하부에서 폴리머 증착과 에칭의 균형을 유지하는 것으로 제한되도록 선택된다. 요구된 균형을 유지할 희석제 흐름 속도의 정확한 값은 에칭 처리를 수행하는 데 사용되는 특별한 반응기와 다른 처리 파라미터에 의존하여 변할 것이다. 적당한 값은 공지된 테스트 방법을 사용하여 당업자에 의해 쉽게 결정될 수 있다. 그러나, 상기 희석제 가스의 최대 흐름 속도가 에천트 가스의 모든 플루오르 함유 성분의 조합된 흐름 속도의 약 5 내지 10배를 초과하지 않는 것이 바람직하다. 상기 희석제 흐름 속도가 두드러지게 10배 상한값을 초과한다면, 그것의 유리한 효과는 기대하지 못할 것이다.
상기 희석제 가스의 첨가는 다른 이익을 제공하리라고 믿어진다. 예를 들면, 상기 희석제 가스로부터 플라즈마내에 초래된 첨가 이온은 산화물층의 노출된 부분의 에칭을 증진시킬 수 있다.
상기 에천트 가스에 대한 희석제 가스의 첨가가 더 높은 챔버 압력 및/또는 플루오르 함유 에천트 성분 흐름 속도의 사용을 허용하더라도, 상기 희석제가 이점에 있어서는 효과가 없게될 한계가 있다. 특히, 증가된 압력 및/또는 흐름 속도에 의해 초래되는 플라즈마내의 라디컬 대 이온 비율의 증가는 흐름 속도가 희석제를 위해 선택되더라도 결국 웨이퍼 표면상의 어딘가에 수용 불가능한 에칭 정지 조건을 형성할 것이다. 따라서, 챔버 압력과 플루오르 함유 성분 흐름 속도를 위해 선택된 값은 두드러진 에칭 정지를 형성하지 않는 레벨로 제한되어야한다.
다른 기준 공정 파라미터는 웨이퍼 상의 플라즈마의 유효 라디컬 대 이온 비율에 영향을 미친다. 즉, 소스전력은 반응기 챔버의 루우프 또는 천장의 플라즈마 및 온도를 유지하기 위하여 이용된다. 바람직하게, 본 발명의 에칭 공정과 관련된 소스 전력은 약 1200와트 내지 3000와트의 범위내에 있으며, 루우프 온도는 액 180℃내지 300℃의 범위에 있을 것이다. 소스 전력의 감소는 플라즈마 전체에 라디컬 대 이온 비율을 증가시킨다. 그러나, 루우프 온도만의 증가는 웨이퍼 바로 위의 플라즈마 부분에서 라디컬 대 이온 비율을 국부적으로 증가시킨다. 이러한 국부적 증가는, 루우프 온도 증가가 차가운 웨이퍼 표면 쪽으로 라디컬을 유도하는 효과를 가지기 때문이다. 따라서, 웨이퍼 바로 위의 플라즈마 영역만이 라디컬 대 이온 비율의 증가를 나타낸다. 그러나, 소스 전력 또는 루우프 온도를 조정함으로써 발생되는 유효 비율 변화는 챔버 압력 또는 플루오르 함유 성분 흐름 속도를 조정함으로써 발생되는 변화와 깊은 관련이 없다. 따라서, 소스 전력 및 루우프 온도 레벨은(중대한 에칭 중지 상태를 발생시키지 않고) 높은 라디컬 대 이온 비율에 의하여 제공된 이득을 최적화하기 위하여 고려되어야 하지만, 이들은 이러한 높은 비율을 얻기 위하여 이들 자체에 의존할 수 없다. 그러기보다는, 상기 이득이 구현될 수 있는 레벨로 라디컬 대 이론 비율을 상승은 플루오르 함유 에천트 성분의 흐름 속도 및/또는 챔버 압력에서의 증가와 관계가 있다.
전술한 설명을 요약하며, 챔버 압력 및/또는 노출된 한계 내의 에천트 가스의 플루오르 함유 성분의 흐름 속도의 증가는 포토레지스트 층을 보다 균일하게 하며, 포토레지스트에 작은 면이 형성되는 것이 감소시키고, 그리고 노출된 장벽층 표면의 과도한 에칭을 감소시킨다. 이러한 이들은 증가된 압력 및/또는 에천트 흐름속도에 의하여 발생된 플라즈마 라디컬 대 이온 비율의 증가로부터 발생된다는 것이 이론화되었다. 또한, 어느 정도까지는, 소수 전력 감소 또는 루우프 온도 증가는 또한 라디컬 대 이온 비율을 증가시킬 것이다. 그러나, 증가된 비율 역시 에칭 윤곽의 하부 상의 증착 및 에칭 사이의 적정 균형을 어긋나게 하여 에칭 중지 상태를 야기할 수 있다. 에칭 중지를 감소시키기 위하여, 희석제가 에천트 가스에 첨가되어 플라즈마에 폴리머가 형성되는 것을 제한한다. 상기 희석 가스의 첨가는 또한 물에 형성된 폴리머의 내부 스트레스를 감소시키며 따라서 폴리머 피일링을 감소시킨다. 그러나, 폴리머 형성을 제한한다는 측면에서, 상기 희석제는 소정 포인트까지만 작용한다. 여러 가지 영향, 즉 챔버 압력, 플루오르 함유 에천트 성분 흐름 속도, 소스 전력 및 루우프 온도는 챔버를 통하여 흐르는 희석 가스의 양에 관계없이 에칭 중지를 야기하는 플라즈마의 라디컬 대 이온 비율을 생성할 수 있다. 따라서, 이들 4가지 공정 파라미터는 바람직하게 에칭 중지를 최소화하면서 본 발명의 이득을 최적화하도록 조정된다.
챔버 압력, 플루오르 함유 에천트 성부 흐름 속도, 소스 전력 및 루우프 온도를 조정하는 본 발명에 따른 바람직한 방법은 도 4에 도시되어 있다. 단계(400)에서, 4개의 공정 파라미터중 3개의 값이 (각각의 바람직한 범위 내에서) 선택되고 설정된다. 다음에, 단계(405)에서, 나머지 파라미터 값이 (마찬가지로 바람직한 범위 내에서) 선택되고 설정된다. 다음에, 단계(405)에서, 나머지 파라미터 값이 (마찬가지로 바람직한 범위 내에서) 선택되고 설정된다. 다음에 테스트 웨이퍼 및 실험재료는 본 발명에 따른 방법에 따라 단계(410)에서 에칭된다. 전술한 적정 특성이 테스트 웨이퍼에서 관측되고 동시에 적정 에칭 깊이가 얻어지면, 조정 공정이 완료되고 제조 웨이퍼 또는 실험재료는 선택된 값을 이용하여 처리될 수 있다(단계 415). 한편, 적정 특성이 관측되지 않거나 또는 에칭 중지 상태가 발생되면, 단계(420)에 도시된 바와 같이, 나머지 파라미터는 (어느 쪽을 이용하든지 간에) 나타나지 않은 특성이 개선되거나 또는 에칭 중지 상태를 방지하도록 증가 또는 감소된다. 테스트 웨이퍼 에칭 단계(410)는 적합한 다음 단계와 함께 반복된다. 나머지 파라미터의 모든 가능한 값이 시도되었지만 원하는 결과를 발생시키지 못하였다면, 첫 번째 3개의 파라미터중 하나 또는 그 이상이 적당하게 증가 또는 감소되어 문제를 해소하도록 한다(단계 425). 나머지 파라미터의 선택 및 설정을 포함하는 단계(405)는 적합한 다음 단계와 함께 반복된다.
상기 방법과 관련된 나머지 공정 파라미터는 개선되고자 하는 특정 속성에 의존하는 챔버 압력, 플루오르 함유 에천트 가스 성분 흐름 속도 또는 소스 전력인 것이 바람직하다. 예를 들어, 압력 및 흐름 속도는 포토레지스트 에칭 균일도 및 선택도에 가장 깊은 영향을 가진다. 따라서, 가장 높은 포토레지스트 에칭 균일도 및 선택도를 발생시키기 위하여, 이들 파라미터중 하나는 가변 파라미터로서 선택되어야 한다. 그러나, 흐름 속도 및 소스 전력은 얻어지는 에칭 깊이에 깊은 영향을 가진다는 것을 발견했다. 따라서, 만약 에칭 깊이가 최대화될 것이 요구된다면 이들 파라미터중 하나가 선택되어야 한다. 물론, 플루오르 함유 에천트 성분 흐름 속도는 적정결과 범위 모드에 공동적이기 때문에, 이들 파라미터는 바람직하게 다른 사항을 고려하지 않고 무조건 변수로서 이용된다.
본 발명의 실시예의 에칭 에천트 가스 혼합물과 관련된 특정 속성은 일련의 표를 이용하여 나타낸다. 표는 각각의 실시예에 대하여 시험된 공정 파라미터 및 가스 혼합물을 요약한다. 소스 전력 및 루우프 온도는 본질적으로 다음 실시예에서 고정되며, 다름 챔버 압력 및 플루오르 함유 에천트 성분 흐름 속도는 이용된 각각의 에천트 혼합물에 따라 얻을 수 있는 최적의 이득을 구분하기 위해 시도된다.
[표1]
표 1은 C2F6 혼합물 및 희석제 가스(예를 들어 헬륜 또는 아르곤)가 이용된 본 발명에 따른 제 1실시예와 관련된 챔버 압력 및 에천트 가스 흐름 속도를 요약한다. 실험 1-2 내지 1-4는 제 1실시예의 변화를 나타내면, 실험 1-1은 이전 에칭 공정에 이용된 파라미터를 예시한다. 표1에 나타난 조건과 혼합물과 결합하여 이용된 다른 공정 파라미터는 실험 1-1 및 1-2에 대한 약 2600와트의 소스 전력과 실험 1-3 및 1-4에 대한 2900와트의 소스 전력을 포함한다. 루우프 온도는 270℃로 설정된다. 벽 온도는 일반적으로 220℃로 설정되지만, 180 내지 240℃의 범위에서의 변화는 역효과를 발생시키지 않을 것이다. 각각의 경우에, 인가된 RF에너지의 주파수는 소스 전력에 대하여 2.0 MHz이고 RF바이어스 전력에 대하여 1.8MHz이다. 에칭 시간은 약 160초이다. 그러나, 적정 에칭 깊이에 따라, 본 발명의 공정은 30 내지 180초 범위의 에칭 시간동안 이용될 수 있다. 챔버 압력 및 에천트 가스 성분 흐름 속도이외에, 표1은 결과부분을 포함한다. 이들 결과부분은 포토레지스트 층 에칭 균일도(U) 및 웨이퍼 주변에서의 포토레지스트 선택도(S)를 나타낸다. 에칭 균일도 및 선택도는 불량, 보통, 양호 또는 최고중 하나로 나타낸다. 폴리머 피일링 또는 에칭 중지와 같은 관측된 역효과는 결과 부분에 나타나있다.
C2F6 만으로 구성된 종래 에천트 가스와 일반적인 에칭 조건을 이용한 표1에서 실험 1-1은 테스트 웨이퍼의 표면 사이에 포토레지스트 에칭 속도 상당한 불균일도 및 웨이퍼 주변에서 불량한 선택도를 나타내면, 또한 포토레지스트 층으로부터 폴리머 증착부분이 벗겨지는 것이 나타났다.
챔버 압력은 실험 1-2에서 약 6mT로 증가되며, 에칭 균일도 및 선택도에서 약간 개선되는 것이 관측되었지만, 이는 아직도 상당히 불량하다. 또한 폴리머 피일링이 발생한다. 그러나, 실험 1-3에서 희석 가스(예를 들어 헬륨)를 첨가함으로써, 폴리머 피일링 문제는 실제적으로 제거된다(그러나 균일도 및 선택도는 여전히 불량하다). 이는 폴리머 피일링 문제를 해결하기 위한 희석제의 첨가에 따른 것이다.
실험 1-2 및 1-3에서 C2F6의 흐름 속도 및 챔버 압력은 이전에 설명한 최대 한계 이하로 유지된다. 그러나, 실험 1-4에서, 챔버 압력 및 C2F6에 대한 결합값은 증가되었다(즉, 압력은 약 30밀리토르이고 흐름 속도는 약 40sccm이다). 더 높은 압력 및 흐름 속도는 포토레지스트 에칭 속도 균일도 및 선택도에서 추가 개선을 발생시키지만, 허용할 수 없는 에칭 중지 상태 및 폴리머 피일링 때문에 에칭 특성이 감소됨을 나타낸다. 희석제 성분이 에천트 가스에 첨가되지 않기 때문에 에칭 중지 및 폴리머 피일링이 예상된다. 실험 1-5에서 희석제 성분(즉, 헬륨)의 첨가는 에칭 중지 상태를 방지하고 폴리머 피일링을 제거하며, 한편으로는 에칭 속도 균일도 및 선택도을 개선시킨다.
실험 1-4와 1-5와 관련된 압력 및 흐름 속도의 증가가 보다 균일한 포토레지스트 에칭 속도 및 포토레지스트 층의 에천트에 대한 높은 선택도을 발생시키더라도, 웨이퍼 위에 인가된 직류(DC) 척킹 바이어스가 감소된다는 것이 관찰되었다. 일반적으로, DC 바이어스는 축받이와 같은 단극 전자기 척을 이용하는 반응로에서 웨이퍼 위에 인가된다. DC 바이어스는 축받이 위에 웨이퍼를 유지하도록 한다. 또한 일부 반응로는 웨이퍼 바닥 면에 인가되는 가스 압력을 발생시키는 웨이퍼 냉각 시스템을 가진다. 이러한 시스템에서, DC 웨이퍼 바이어스가 부가적으로 이용되어 냉각 가스에 의하여 발생된 상방향 힘에 대하여 축받이 쪽으로 하방향으로 웨이퍼를 유지하도록 한다. 증가된 압력이 플라즈마에 형성된다는 영향은 웨이퍼 상에 인가된 DC바이어스를 증가시킬 것이다. 웨이퍼 위에 인가된 DC바이어스를 낮춤으로써 웨이퍼가 전술한 냉각 가스의 힘에 의하여 축받이로부터 제거되도록 한다. 따라서, 제 1실시예를 사용할 때 본 발명의 최적 이득을 발생시키는 압력 및 흐름속도를 이용하는 것은 실용적이지 못할 수 있다. 차라리, 실험 1-2를 이용하는 실험에 이용된 값과 같이 어느정도 낮은 값이 이용될 수 있어, DC웨이퍼 바이어스에 대한 영향을 최소화하도록 한다. 그러나, 이러한 낮은 값들은 포토레지스트 에칭 속도 균일도 및 선택도에서 비용측면을 개선하지 못한다.
전술한 문제점은 전술한 역효과를 보상하기 위하여 웨이퍼 위에 인가된 DC 바이어스를 증가시킴으로써 해결될 수 있다. 그러나, 이러한 DC 바이어스를 증가시키는데 요구되는 전력 증가는 일부 상업적으로 이용할 수 있는 에칭 반응기의 능력밖일 수 있다. 따라서, 적어도 이들 반응기의 경우에, 최적의 에칭 균일도는 본 발명의 제 1실시예를 이용할 경우 얻어질 수 없다.
[표2]
표2는 CHF3 혼합물 및 희석 가스(예를 들어 헬륨 또는 아르곤)가 이용된 본 발명에 따른 제 2실시예와 관련된 테스트 챔버 압력 및 에천트 가스 흐름 속도를 요약한다. 표2에 나타난 조건과 혼합물과 결합하여 이용된 다른 공정 파라미터는 2900와트의 소스 전력이 모든 실험에 이용되었다는 것을 제외하고는 표1에 이용된 것과 동일하다. 또한, 결과 부분은 표1에 나타낸 것과 유사한 것을 포함한다.
표2의 실험 2-1 및 2-2는 C2F6 대신 CHF3을 이용하고 챔버 압력을 증가시킴에 의한 개선된 결과를 시험한다. 실험 2-1에서, 포토레지스트 에칭 균일도 및 선택도는 표1의 실험 1-1에 대하여 지정된 조건에서 에천트 가스로서 C2F6을 이용하는 것에 비하여 개선되었다는 것이 관찰된다. 또한, 폴리머 피일링이 발생하지 않는다. CHF3의 흐름 속도가 C2F6의 흐름 속도보다 높지만(즉 40sccm), CHF3을 이용한 포토레지스트 에칭 속도 균일도 및 선택도는 여전히 더 양호하다. 이러한 사실은 많은 폴리머 생성 에천트 라디컬은 플라즈마에서 CHF3의 분리로부터 발생되고 이들 라디컬은 C2F6으로부터 생성된 라디컬에 비하여 플라즈마에서 더 균일하게 분포된다는 이론에 근거한다. CHF3로부터 생성된 보다 많은 수의 균일하게 분포된 라디컬은 포토레지스트층위에 폴리머 증착을 보다 두껍게 하고 균일하게 하며, 따라서, 이는 웨이퍼 표면 전체에 걸쳐 보다 균일한 포토레지스트 에칭 속도 및 높은 포토레지스트 에칭 속도 및 높은 포토레지스트 선택도를 가지도록 한다. 또한, CHF3로부터 생성된 폴리머 형성 라디컬의 불소 함유물은 C2F6로부터 생성된 유사한 라디컬보다 플라즈마 전체를 통하여 더욱 균일하며, 따라서 보다 균일한 에칭 속도가 되도록 한다. 그러나, 35 내지 4㎛ 접점 바이아스(즉 작은 접점)에 대한 에칭 깊이는 에천트 가스에 C2F6를 이용할 때처럼 양호하지 못하다. 실험 2-2에서, 챔버 압력은 30mT로 증가된다. 포토레지스트 에칭 속도 균일도 및 포토레지스트 층에 대한 에천트의 선택도는 플라즈마의 라디컬 대 이온 비율의 대응하는 증가 때문에 예상되는 바와 같이 더 개선된다. DC 바이어스 사의 플라즈마의 역효과는 두 실험에서 훨씬 덜 관련을 가진다. 사실, 상기 역효과는 미미하기 때문에 본 발명의 제 2실시예를 이용할 때 문제로 고려되지 않는다. 따라서, 제 2실시예는 DC 웨이퍼 바이어스의 감소에 따른 역효과 또는 DC바이어스의 증가 필요성(아마도 이용된 에칭 반응기의 능력밖에 있음) 없이 포토레지스트 에칭 속도 균일도 및 선택도을 개선시키는 장점을 가진다. 그러나, 제 1실시예에 비하여, 제한된 에칭 깊이가 작은 접점 바이아스에 대하여 관찰된다. 따라서, 제 2실시예는 몇 가지 조건이 취사선택되어야 한다. 즉, 포토레지스트 균일도 및 선택도이 개선되지만, 작은 접점 바이아스에서 에칭 깊이가 안 좋아진다.
실험 2-3 및 2-4는 챔버 압력과 플루오르 함유 에천트 성분 흐름 속도의 결합값이 너무 증가될 때 발생하는 전술한 에칭 중지 문제를 실험한다. 포토레지스트 에칭 균일도 및 선택도의 두 실험에서 양호하지만, 작은 크기(즉 0.4㎛ 직경을 가진 접점)에 대하여 단지 1㎛의 깊이에서 에칭 중지가 관찰된다. 실험 2-4는 챔버 압력 및 플루오르 함유 에천트 성분 흐름 속도의 결합값이 과도할 때, 에천트 가스(즉 헬륨)의 희석제 성분의 흐름속도 증가가 에칭 중지 문제를 방지하지 못한다는 것을 실험한다.
[표3]
표 3에 도시된 본 발명에 따른 제3 실시예의 작업 예들은 포토레지스트 에칭의 높은 선택도와 균일도를 획득함과 동시에 제2 실시에와 관련된 작은 형상의 에칭 정지의 한계가 어떻게 감소될 수 있는지를 명백하게 한다. 본질적으로, 이러한 것은 에천트 가스에 C2F6과 CHF3 성분을 포함시키므로써 달성된다. 여기에 다시, 표3에서 증명된 조건들과 혼합물을 공동으로 사용하는 다른 처리 파라미터들은, RF 바이어스 전력을 약 1800와트까지 증가시킨다는 것을 제외하고 표 2에 대해 사용된 그것들과 유사하다. 결과 칼럼 역시 표 1과 표 2에서와 같이 포함된다.
런(3-1)은 그때까지의 테스트에서 보여지는 최상의 포토레지스트 에칭 선택도와 균일도를 제시하며, 약간의 작은 형상의 에칭 정지가 발견된다. 이러한 에칭 정지 조건은 C2F6와 CHF3 성분의 조합된 높은 흐름 속도에 의해 발생된다고 여겨진다. C2F6와 CHF3의 총 흐름을 줄이는 것이 런 3-2내에서 60 mTorr 의 압력에서 C2F6와 CHF3에 대해 20sccm까지 행해지므로써, 우수한 포토레지스트 에칭을 균일도와 선택도가 유지됨과 동시에, 작은 형상에 대하여 양호한 에칭 깊이가 획득된다. 게다가, DC 웨이퍼 바이어스에 대한 플라즈마의 반작용 효과는 중요한 문제가 되지 않는다.
그러나, 제3 실시예의 테스트에서 사용되는 챔버 압력(즉, 60mTorr)은, 예를들어 제1 실시예의 테스트와 연관된 런1-5내에서 사용된 것과 제2 실시예의 테스트와 연관된 런(2-2)에서 사용된 것(즉, 30mTorr) 보다 더 세다는 것이 지적되어야 한다. 압력에서의 이러한 증가는 부분적으로 균일도와 선택도를 증가시키는데 대한 원인이라고 여겨진다. 상기 제3 실시예는 근본적으로 제1 및 제2 실시예의 사이에서의 절충안이 된다는 것이 실질적으로 이론화된다. 예를 들면, 라인내에서 런 1-5와 런 2-2에서의 압력 보다 런3-2내의 압력이 높다면, 에칭 깊이는 런1-5의 C2F6과 희석제 에천트 혼합물을 사용하여 얻을 수 있는 것보다 상당히 좋지 않을 것이라 여겨지며, 선택도도 런 2-2의 CHF3와 희석제 혼합물을 사용하여 얻어지는 것 보다 상당히 좋지 않을 것이라 여겨진다. 대부분 에칭 처리 과정의 경우, 제3 실시예에 의해 형성되는 에칭 깊이는 만족스러운 것이 되고, 웨이퍼 주변부에서 이산화 실리콘층의 어떠한 바람직하지 않는 에칭을 수행하기 전에 처리를 완전하게 하는데 포토레지스트 에칭을 균일도가 충분하게 되라라고 여겨진다.
그러나, 제3 실시예에 의해서 형성된 에칭 깊이와 포트레지스트 에칭 특성이 충분하지 않다면, 본 발명에 따른 제4 실시예가 사용되어질 수 있다. 이러한 제4 실시예의 작업예가 표 4에 도시된다. 조건들과 혼합물을 공동으로 사용한 이러한 처리 파라미터들은 표 4에서 증명되며, 도시되지 않았지만, 표2의 작업예에 대하여 사용되어진 파라미터들과 유사한다.
[표4]
본 발명에 따른 상기 제4 실시예는 다단계(mult-step) 에칭 처리와 관련된다. 도 5에 도시된 바와 같이, 이러한 다단계 에칭 처리는, 챔버 내에 플라즈마를 생성시키는 제1 단계(500)의 수행을 필요로 한다. 일반적으로, 웨이퍼 상에 RF 바이어스를 공급할 필요없이, 플라즈마는 안테나 또는 전극들을 통해 전력이 공급되는 동안에 챔버 내부로 흐르는 아르곤 가스에 의해 발화된다. 이 같은 과정은 본 발명 내에서도 역시 사용된다. 다음 단계(505)는 에천트 가스로서 플루오르화수소 성분(이러한 경우에는 CHF3)이 챔버 내부로 흐르게 하는 것을 필요로 한다. 게다가, 단계(510)에 도시된 바와 같이, 희석제(이러한 경우에는 헬륨) 역시 챔버 내부로 유입된다. 헬륨이 희석제 가스로서 사용된다면, 플라즈마를 점화시키기 위해 사용된 아르곤은 중단된다. 그러나, 아르곤이 희석제 가스로로서 사용된다면, 플라즈마를 점화시키기 위해 사용된 아르곤의 흐름 속도는 단지 희석제를 요구하는 것에 대해 조정된다. 상기 웨이퍼는 다음에 적절한 주기동안 단계(515)에서 에칭되며, 이것은 하기한 바와 같다. 우선 제1 에칭 단계가 완료되면, 하이드로플루오르카본 성분이 중단(단계(520))되고, 플루오르카본 성분이 대신하여 유입(이러한 경우에는 C2H6)된다. 이것은 상기 희석제가 여전히 챔버 내부로 흐르고 있으며, 플라즈마가 여전히 유지된다는 것을 나타낸다. 마지막 단계(530)에서 도시된 바와 같이, 상기 웨이퍼는 에칭 과정을 완료하기 위하여 적절한 기간 동안 다시 에칭된다.
본질적으로, 상술된 처리의 제1 에칭 단계는, 본 발명에 따른 제2 실시예와 연관된 CHF3와 희석제 에천트 혼합물을 사용을 포함하며, 제1 실시예와 연관된 C2H6과 희석제 에천트 혼합물을 사용하는 제2 에칭 단계가 뒤따른다. 상기 제1 에칭 단계는 상술된 실시예 중에서와 심지어 작은 형상에서의 최소 어느 정도의 에칭 깊이에서, 어떤 것이 가장 최상의 포토레지스트 에칭 균일도와 선택도로 여겨지는지를 제시한다. 이러한 단계는 또한 포토레지스트 물질 상부에 평평한 폴리머 층을 증착하는데 있어서도 믿을 만 하다. 이러한 폴리머 층은 상기 포토레지스트에 양호한 부착을 보인다. 이때, 제2 단계는 에칭 처리를 완성하는데 필요한 에칭 깊이를 제공한다.
상기 제 2 단계는 또한 포토레지스트 상부에서 웨이퍼의 주변부보다 중앙 부분이 다소 낮은 폴리머 증착을 보일 수 있다. 이러한 특성은 일반적으로, 제1 실시예가 단독으로 사용되었을 때, 다소 덜 균일한 포토레지스트 에칭율과 더 낮은 선택도를 나타내게 된다. 그러나 본 과정에서의 제2 단계로서 사용되었을 때, 이러한 바람직하지 못한 특성은, 제1 에칭 단계 동안 포토레지스트 상부에 이미 증착된 폴리머 층의 존재에 기인하여 완화된다. 결과적으로, 일반적으로 제1 실시예로서 형성된 포토레지스트 에칭율에 있어서의 상대적인 불균일도는 중요하지 않게 된다. 제1 단계에서 에칭 형상이 이미 부분적으로 형성되었다면, 포토레지스트 에칭율에 있어서의 불균일도가 문제화되기 전에 어떠한 신뢰성 있는 에칭 깊이도 제2 단계 동안에 획득될 수 있다고 여겨진다. 따라서, 이러한 다단계 방법은 보다 더 우수한 포토레지스트 에칭 균일도와 선택도의 나타낼 뿐 아니라, 작은 형상에 있어서도 우수한 에칭 깊이를 나타낸다. 더욱이 어따한 중요한 폴리머의 피일링도 발생하지 않는다. 제4 실시예는 어떠한 단점도 없이 다른 실시예의 모든 장점들에서 장점을 얻는다. 이러한 실시예에서 관찰되는 하향성향은 단지 다단계 방법은 구형하기에 보다 복잡하고, 보다 많은 시간을 요구하기 쉽다는 것이다. 그러나, 작은 형상에 있어서 특별하게 상대적을 큰 에칭 깊이가 요구될 때, 보다 더 우수한 결과는 어떠한 부가적인 비용은 정당화할 수 있다.
제1 및 제2 에칭 단계를 수행하기에 앞서, 에천트 가스가 챔버내로 높은 압력(예를 들어 30 내지 60 mTOrr)으로 짧은 시간(예를 들어 5초) 동안 주입되는 안정화 단계가 유리하다는 것이 또한 알려졌다. 상기 안정화 단계는 플라즈마 반응기내에서 흐름 속도를 제어하는데 사용되는 유량 제어기 또는MFC 에서의 압력을 경감시킨다. 이러한 부가적인 단계는 또한 원한다면 본 발명에 따른 다른 실시예와 함께 사용될 수 있다.
표 4의 제1 런(즉,4-1)은 40mTorr의 압력에서 10 sccm의 C2F6 58sccm의 CHF3와 100sccm 헬륨의 흐름 속도를 갖는 5초의 안정화 단계를 사용하며, RF전원은 1200와트이다. 이러한 것은, 1500 와트의 RF전원을 갖고 약 60mTorr의 압력에서 58 sccm의 CHF3와 100 sccm의 헬륨을 갖는 60초 동안의 제1 에칭 단계와, 1500 와트의 RF전원을 갖고 약 30mTorr의 압력에서 40sccm의 C2F6와 100 sccm의 헬륨을 갖는 120초 동안의 제2 에칭 단계가 뒤따르게 된다. 이러한 런은 우수한 포토레지스트 에칭 균일도와 선택도, 우수한 작은 형상 및 큰 형상의 에칭 깊이(예를 들어, 약 0.4㎛의 CD를 갖는 작은 형상에 있어서, 1.6㎛의 깊이) 및 폴리머의 어떠한 폴리머의 피일링도 없음을 나타낸다. 제2 작업 예 즉, 런(4-2)에 있어서, 제1 및 제2 에칭 단계의 기간이 줄어들 뿐만 아니라, 제2 에칭 단계 동안에 CHF3의 흐름 속도도 40sccm까지 줄어든다. 게다가, RF바이어스 전압은 약 1800와트까지 증가된다. 이러한 조건들은 제1 런(4-1)과 동일한 우수한 결과를 형성하나, 보다 적은 처리 시간을 필요로 한다. 이러한 경우, 증가된 RF 바이어스 전압은 본발명에 따른 다른 실시예에서도 사용될 수 있으며, 또한 처리 시간을 단축시키는데 사용될 수 있다.
또한, 상승시킨 RF 바이어스 레벨을 사용하는 다른 테스트에 있어서, 에천트 가스로서 CDF3 만을 사용하는 이러한 처리에서 더 높은 RF 바이어스가 사용되어질 때, 포토레지스트 에칭 선택도가 증가한다는 것을 발견됨을 나타난다. 또한 증가된 선택도는 C2F6에 비해 CHF3의 더 낮은 분자량에 관계된다는 것이 이론화 된다. 보다 높은 RF바이어스 레벨에서 에천트 가스 이온들은 보다 높은 운동량을 갖고 웨이퍼에 부딪힌다. 그러나, 포토레지스트층은 이러한 충격에 대해 웨이퍼의 옥사이드층이 받는 영향 보다는 다소 덜 영향을 받는다. 증가된 CHF3 이온의 운동량은 옥사이드층의 에칭율을 증가시키기에 충분할 정도이나, 여전히 너무 낮아서 포토레지스트층의 에칭율을 증가시키기에 충분하지 못하다고 여겨진다. 따라서 효과적인 선택도는 증가된다. 그러나, C2F6의 경우에는 보다 큰 분자량은 옥사이드층과 포토레지스트 층 모두의 에칭율을 상승시키게 된다. 따라서 향상된 선택되는 실행되지 않는다. 이에 따라 본 발명에 따른 실시예중 어느 하나와 병행하여 CHF3가 단독으로 사용될 때, 더 높은 RF바이어스가 사용되는 것이 선택된다. 구체적으로, 증가된 바이어스는 약 1500 내지 2000 와트 사이의 범위 내에 존재하며, 가급적으로 1800 와트를 초관 범위에 존재하게 된다.
본 발명에 따른 실시예들은 특정한 장점을 갖고, 매우 작은 형상을 에칭하기 위하여 특별하게 사용되는 한 형태의 리소그래피 처리에 적용된다. 제6도에 도시된 바와 같이, 매우 작은 형상(예를들어, 약 0.4㎛ 이하의 작은 넓이 또는 지름을 갖는 형상)을 에칭하기 위해서, 반사방지 층(ARC : anti-reflective coating)층(600)이 실리콘 디옥사이드 층(605)의 상부에 우선 증착된다. 이러한 목적으로 사용되는 ARC 물질은 기판 반사 현상을 줄이는 유기 혼합물, 예를 들어, 미조리주 롤라의 브류어 사이언스사에서 제조된 ARC CD11-1100과 같은 혼합물이다. 다음에 자외선 또는 DUV 포토레지스트 층(615)은 ARC 층(600)상부에 증착된다. 이어 일반적인 마스킹과 현상 단계는 DUV 층(615)의 일부분을 제거함에 의한 형상의 바람직한 패턴을 형성하는 데 이용된다. 그러나, 이러한 단계는 에칭될 형상을 덮는 ARC 층(600)의 어떠한 부분도 제거하지 못한다.
이후, ARC 층 에칭은 에칭될 형상을 덮는 ARC 층(600)을 제거하기 위하여 수행된다. 상기 ARC층 에칭은 적어도 두가지의 일반적인 처리에 의해 이루어진다. 한 처리는 순수 O2 가스와 아르곤, 헬륨 또는 질소등과같은 비활성 기체를 사용한다. 이러한 방법은 단지 관심있는 형상 위의 ARC층 물질만을 제거한다. 다른 방법은 플루오르 함유 가스 즉, O2, Ar 또는 He의 혼합물을 사용한다. 이러한 방법은 상기 ARC층을 통과하여 에칭하며 또한 형상내에 있는 약간의 이산화 실리콘을 에칭한다.
이러한 ARC층 에칭 방법 중 어떠한 것도, 소위 메인이라 불리우는, 반도체 소자가 제조되기 위하여 요구되는 형상내에서의 이산화 실리콘의 깊은 에칭을 제공하는 에칭이 뒤따른다. 본 발명에 따른 실시예는 메인 에칭과 함께 사용될 때, 실증적인 개선점을 제공한다. 높은 선택도에 기인하여 DUV 포토레지스트 층(615)과 ARC층(600)에 의해 덮여져서 잔존하는 이산화실리콘층에 부가적인 보호가 제공되기 때문에, 상기 개선점은 상술한 본 발명에 따른 실시예가 산화층과 비교할 때 이러한 층들을 위해 제공됨을 나타낸다. 게다가, 높은 선택도는 또한 1회 노출된 베리어층(620)을 위해 제공된다.
특히, 본 발명에 따른 처리들은 ARC 층(600)까지는 약 20대 1의 매우 높은 에칭 선택도와 DUV층(615)까지 보다 더 작은 범위, 즉 깎인 면 모서리의 바닥에서 측정된 약 5대1의 에칭 선택도를 제공한다. 따라서, 본 발명에 따른 처리들을 사용함을 유발시키지 않는 이산화 실리콘 에칭 기간 동안에 DUV 포토레지스트 층(410)이 결국 에칭된다면, 이산화 실리콘의 마스킹된 부분은 여전히 ARC층(615)에 의해 보호된다. 특별한 선행 기술의 처리들은, 본 발명에 따른 처리들이 제공하는, DUV와 ARC 층에 대한 높은 선택도를 제공하지 않는다. 따라서, ARC층의 펀치스루는 선행 처리를 보다 더 사용할 것 같다. 이러한 가능성은 높은 선택도에 의거하여 제거된 모든 것에 존재한다. 새로운 결과는 포토레지스트 층은 패턴화 목적을 위해 사용될 수 있다는 것이며, 이는 보다 작은 기하학적 형상이 포함될 때, 어떠한 일반적인 패턴화 방법 보다 더 용이하다는 것이다.
본 발명의 이용은 또한, 상술한 원인들에 대해 장벽 층에서의 실질적인 깎인 면 형성 또는 저하 없이, 제6도에 도시된 바와 같이 곧은 측면을 갖는 에칭된 형상을 제공한다.
이러한 적용의 작업 실시예가 표 5에 도시된다.
[표5]
이러한 실시예에 있어서, 실험된 형상은 약 0.35㎛의 직경 또는 더 작은 넓이를 갖으며, 상기 표에 등록되지 않은 다른 처리 파라미터들은 표 2의 실시예에서 사용된 것들과 유사하다.
이러한 작업 실시예에서의 메인 에칭은 본 발명에 따른 제3 실시예의 버전을 사용하며, 이는 60 mTorr 의 챔버 압력에서 20sccm의 C2F6, 15sccm 의 CHF3과 100sccm의 He으로 구성된 에천트 가스를 포함한다. 이러한 에칭 조건은 본 발명의 폴리머 피일링 없이 양호한 포토레지스트 에칭 균일도와 선택도와 같은 모든 장점을 포함하며, ARC 층에 대해 크게 증가된 부가적인 목적을 달성한다. 결과적으로, 상술한 작은 형상(즉, 약 0.35㎛)에 있어서, 1.8㎛의 이산화 실리콘내서의 에칭 깊이가 상기 형상의 벽에 어떠한 깎인 면 형성도 없이 달성된다.
이상에서는 본 발명의 양호한 일 실시예에 따라 본 발명이 설명되었지만, 첨부된 청구 범위에 의해 한정되는 바와 같은 본 발명의 사상을 일탈하지 않는 범위 내에서 다양한 변형이 가능함은 본 발명이 속하는 기술 분야의 당업자에게는 명백하다.
양호한 포토레지스트 에칭 균일도와 포토레지스트층에 대한 높은 선택도를 나타내는 개선된 에칭 방법을 제공하는 방식으로 웨이퍼의 주변에서 포토레지스트 층의 깎인 면 형성은 실질적으로 감소 또는 방지된다. 또한, 에칭후 포토레지스트 층의 표면으로부터 폴리머의 피일링 또는 분열을 제거하여 챔버의 오염을 방지한다.

Claims (54)

  1. 처리 챔버에서 제품을 에칭하기 위한 플라즈마 에칭 방법에 있어서, 상기 챔버내에 생산 제품을 유입하는 단계; 상기 챔버내에 플라즈마를 점화하는 단계; 상기 챔버내에 하이드로플루오르카본 가스로 이루어진 에천트 가스의 제 1 플루오르 함유 성분을 흘려주는 단계; 상기 제 1 플루오르 함유 성분의 흐름을 정지하는 단계; 및 상기 챔버내에 플루오르카본 가스로 이루어진 에천트 가스의 제 2 플루오르 함유 성분을 흘려주는 단계를 포함하는 것을 특징으로 하는 플라즈마 에칭 방법.
  2. 제 1항에 있어서, 상기 제 1 플루오르 함유 성분과 함께 상기 챔버내에 상기 에천트 가스의 희석제 성분을 흘려주는 단계를 더 포함하는 것을 특징으로 하는 플라즈마 에칭 방법.
  3. 제 1항에 있어서, 상기 제 2 플루오르 함유 성분과 함께 상기 챔버내에 상기 에천트 가스의 희석제 성분을 흘려주는 단계를 더 포함하는 것을 특징으로 하는 플라즈마 에칭방법.
  4. 제 3항에 있어서, 상기 챔버내에 상기 제품을 유입하기 이전에, (a) 상기 챔버내에 플라즈마를 점화하는 단계, (b) 상기 챔버내에 상기 에천트 가스의 제 1 플루오르 함유 성분을 유입하는 단계, (c) 상기 챔버내에 상기 에천트 가스의 상기 희석제 성분을 유입하는 단계, (d)(ⅰ) 상기 플루오르 함유 성분의 흐름 속도, (ⅱ) 챔버 압력, (ⅲ) 전력 소스의 전력 레벨, 및 (ⅳ) 상기 챔버 루우프의 온도로 이루어지는 그룹으로부터 선택된 3개 처리 파라미터 값을 각각 고정하는 단계, (e) 상기 그룹으로부터 나머지 처리 파라미터를 위한 값을 선택하는 단계, (f) 상기 챔버내에 배치된 테스트 제품을 에칭하는 단계, (g) 상기 테스트 제품에칭의 완료 때, 상기 제품이 상기 에천트 가스의 제 1 플루오르 함유 성분의 사용과 관련된 소정의 제 1 요구된 특성을 나타내는지 결정하는 단계, (h) 상기 제품중 하나가 상기 제 1 요구된 특성을 나타낼 때까지 새로운 테스트 제품을 사용하여 매번 단계 (e) 내지 (g)를 반복하는 단계, (i) 상기 챔버내에 상기 에천트 가스의 제 2 플루오르 함유 성분을 유입하는 단계, (j)(ⅰ) 상기 플루오르 함유 성분의 흐름 속도, (ⅱ) 챔버 압력, (ⅲ) 전력 소스의 전력 레벨, 및 (ⅳ) 상기 챔버 루우프의 온도로 이루어지는 그룹으로부터 선택된 3개 처리 파라미터 값을 각각 고정하는 단계, (k) 상기 그룹으로부터 나머지 처리 파라미터를 위한 값을 선택하는 단계, (l) 상기 챔버내에 배치된 테스트 제품을 에칭하는 단계, (m) 상기 테스트 제품 에칭의 완료 때, 상기 제품이 상기 에천트 가스의 제 2 플루오르 함유 성분의 사용과 관련된 소정의 제 2 요구된 특성을 나타내는지 결정하는 단계, 및 (n) 상기 제품중 하나가 사이 제 1 요구된 특성을 나타낼때까지 새로운 테스트 제품을 사용하여 매번 단계 (k) 내지 (m)를 반복하는 단계를 포함하며; 및 상기 에천트 가스의 제 1 플루오르 함유성분이 사용할 때 마다 상기 제 1 요구된 특성을 야기하고 상기 제 2 플루오르 함유 성분 에천트 가스가 사용할 때 마다 상기 제 2 요구된 특성을 야기하도록 결정되는 상기 선택된 처리 파라미터의 개별 고정값과 나머지 처리 파라미터값을 사용하는 상기 플라즈마 에칭 처리를 수행하는 단계를 더 포함하는 것을 특징으로 하는 플라즈마 에칭 방법.
  5. 제 4항에 있어서, 상기 나머지 처리 파라미터를 위해 어떤 값이 선택되는지에 무관하게 상기 제 1 요구된 특성이 나타나지 않을 때마다 상기 3개 고정된 처리 파라미터중 적어도 하나의 값을 변경하는 단계; 및 단계 (e) 내지 (h)를 반복하는 단계를 더 포함하는 것을 특징으로 하는 플라즈마 에칭 방법.
  6. 제 4항에 있어서, 상기 나머지 처리 파라미터를 위해 어떤 값이 선택되는지에 무관하게 상기 제 2 요구된 특성이 나타나지 않을 때마다 상기 3개 고정된 처리 파라미터중 적어도 하나의 값을 변경하는 단계; 및 단계(k) 내지 (n)를 반복하는 단계를 더 포함하는 것을 특징으로 하는 플라즈마 에칭 방법.
  7. 제 4항에 있어서, 상기 나머지 처리 파라미터는 (ⅰ) 상기 챔버 압력, (ⅱ) 상기 플루오르 함유 성분의 흐름 속도 또는 (ⅲ) 상기 RF 전력 소스의 전력 레벨 중 하나인 것을 특징으로 하는 플라즈마 에칭 방법.
  8. 제 4항에 있어서, 상기 제 1 및 제 2 요구된 특성은 상기 제품의 일부를 커버하는 포토레지스트 층의 상부 표면에 걸친 균일한 에칭속도, 상기 제품의 하부층과 비교하여 상기 포토레지스트 층에 대한 에천트 가스의 높은 선택도, 상기 포토레지스트 층으로부터 상기 플라즈마 에칭 처리동안 상기 포토레지스트 층 위에 형성되는 폴리머 층의 피일링 최소 발생, 및 상기 포토레지스트 층에 의해 커버되지 않는 상기 제품의 임의 이런 영역에서의 에칭 정지 최소화를 포함하는 것을 특징으로 하는 플라즈마 에칭 방법.
  9. 제 4항에 있어서, 상기 제 1 플루오르 함유 성분과 상기 제 2 플루오르 함유 성분의 흐름 속도는 약 15sccm과 약 80 sccm 사이가 되는 것을 특징으로 하는 플라즈마 에칭 방법.
  10. 제 4항에 있어서, 상기 챔버 내부 압력은 약 4 밀리토르와 약 60 밀리토르 사이가 되는 것을 특징으로 하는 플라즈마 에칭 방법.
  11. 제 4항에 있어서, 상기 에천트 가스의 상기 희석제 성분은 불활성 가스를 포함하는 것을 특징으로 하는 플라즈마 에칭 방법.
  12. 제 4항에 있어서, 상기 에천트 가스의 상기 희석제 성분은 불활성 가스를 포함하는 것을 특징으로 하는 플라즈마 에칭 방법.
  13. 제 12항에 있어서, 상기 불활성 가스는 헬륨과 아르곤 중 적어도 하나를 포함하는 것을 특징으로 하는 플라즈마 에칭 방법.
  14. 제 4항에 있어서, 상기 에천트 가스의 상기 희석제 성분의 흐름 속도는 상기 플라즈마 에칭 처리동안 에칭 정지를 최소화하도록 선택되는 것을 특징으로 하는 플라즈마 에칭 방법.
  15. 제 4항에 있어서, 상기 에천트 가스의 상기 희석제 성분의 흐름 속도는 약 50 sccm과 400 sccm 사이가 되는 것을 특징으로 하는 플라즈마 에칭 방법.
  16. 제 4항에 있어서, 상기 RF 전력 소스의 전력 레벨은 약 1200 와트와 약 3000 와트 사이가 되는 것을 특징으로 하는 플라즈마 에칭 방법.
  17. 제 4항에 있어서, 상기 챔버 루우프의 온도는 약 180℃와 약 300℃ 사이가 되는 것을 특징으로 하는 플라즈마 에칭 방법.
  18. 제 3항에 있어서, 상기 플루오르카본 가스는 C2F6를 포함하는 것을 특징으로 하는 플라즈마 에칭 방법.
  19. 제 3항에 있어서, 상기 하이드로플루오르카본 가스는 CHF3를 포함하는 것을 특징으로 하는 플라즈마 에칭 방법.
  20. 제 19항에 있어서, 에칭을 증진하기 위해 상기 제품상에 RF 바이어스를 부가하는 단계를 더 포함하는데, 상기 RF 바이어스는 약 1500 와트와 약 2000 와트 사이의 범위에 있는 전력을 생성하는 RF 바이어스 전력 소스에 의해 형성되는 것을 특징으로 하는 플라즈마 에칭 방법.
  21. 제 20항에 있어서, 상기 RF 바이어스 전력 소스는 약 1800 와트를 초과하는 전력을 생성하는 것을 특징으로 하는 플라즈마 에칭 방법.
  22. 제 3항에 있어서, 상기 챔버내에 상기 제 1 플루오르 함유 성분 에천트 가스를 흘려주는 단계 이전에 상기 챔버내에 안정화 가스를 흘려주는 단계를 더 포함하는 것을 특징으로 하는 플라즈마 에칭 방법.
  23. 제 22항에 있어서, 상기 챔버내에 안정화 가스를 흘려주는 단계는, 약 1 내지 10초 범위의 소정 안정화 주기동안 상기 안정화 가스를 흘려주는 단계; 및 약 30 내지 60 밀리토르 범위로 상기 챔버 압력을 유지하는 단계를 포함하는 것을 특징으로 하는 플라즈마 에칭 방법.
  24. 제 22항에 있어서, 상기 안정화 가스는 플루오르카본 가스와 하이드로플루오르카본 가스의 혼합물을 포함하는 것을 특징으로 하는 플라즈마 에칭 방법.
  25. 제 3항에 있어서, 상기 챔버내에 상기 제 1 플루오르 함유 성분 에천트 가스를 흘려주는 단계는 약 30 내지 60초 범위의 소정 주기동안 상기 제 1 성분을 흘려주는 단계를 포함하는 것을 특징으로 하는 플라즈마 에칭 방법.
  26. 제 3항에 있어서, 상기 챔버내에 상기 제 2 플루오르 함유 성분 에천트 가스를 흘려주는 단계는 약 80 내지 160초 범위의 소정 주기동안 상기 제 2 성분을 흘려주는 단계를 포함하는 것을 특징으로 하는 플라즈마 에칭 방법.
  27. 제 3항에 있어서, 상기 제품은 산소 비함유 재료의 하부층을 가진 산소 함유 재료층, 및 상기 산소 함유층의 일부를 커버하는 포토레지스트 재료층을 구비하는 반도체 웨이퍼를 포함하는 것을 특징으로 하는 플라즈마 에칭 방법.
  28. 제 3항에 있어서, 상기 제품은 최저부가 산소 함유 재료, 반사방지 재료, 및 포토레지스트 재료를 각각 포함하는 연속적 중첩 층에 의해 수반되는 산소 비함유 재료로 구성되는 다수의 층을 가지는 반도체 웨이퍼를 포함하는 것을 특징으로 하는 플라즈마 에칭 방법.
  29. 제 28항에 있어서, 상기 반사 방지층은 시클로헥산으로 구성된 유기 용해제로 이루어지며, 상기 포토레지스트층은 심층 자외선 포토레지스트 재료로 구성된 유기 용해제로 이루어지는 것을 특징으로 하는 플라즈마 에칭 방법.
  30. 루우프를 가지는 처리 챔버에서 제품을 에칭하기 위한 플라즈마 에칭 방법에 있어서; (a) 상기 챔버내에 플라즈마를 점화하는 단계; (b) 상기 챔버내에 플루오르카본 가스와 하이드로플루오르카본 가스 중 적어도 하나를 포함하는 에천트 가스의 제 1 플루오르 함유 성분을 유입하는 단계; (c) 상기 챔버내에 불활성 가스를 포함하는 에천트 가스의 상기 희석제 성분을 유입하는 단계; (d)(ⅰ) 상기 플루오르 함유 성분의 흐름 속도, (ⅱ) 챔버 압력, (ⅲ) 상기 플라즈마를 유지하기 위해 사용된 RF 전력 소스의 전력 레벨, 및 (ⅳ) 상기 챔버 루우프의 온도로 이루어지는 그룹으로부터 선택된 3개 처리 파라미터 값을 각각 고정하는 단계; (e) 상기 그룹으로부터 나머지 처리 파라미터를 위한 값을 선택하는 단계; (f) 상기 챔버내에 배치된 테스트 제품을 에칭하는 단계; (g) 상기 테스트 제품 에칭의 완료 때, 상기 제품이 소정의 요구된 특성을 나타내는지 결정하는 단계; 및 (h) 상기 제품중 하나가 상기 요구된 특성을 나타낼때까지 새로운 테스트 제품을 사용하여 매번 단계 (e) 내지 (g)를 반복하는 단계를 포함하는 것을 특징으로 하는 플라즈마 에칭 방법.
  31. 제 30항에 있어서, 상기 나머지 처리 파라미터를 위해 어떤 값이 선택되는지에 무관하게 상기 요구된 특성이 나타나지 않을 때마다 상기 3개 고정된 처리 파라미터중 적어도 하나의 값을 변경하는 단계; 및 단계 (e) 내지 (h)를 반복하는 단계를 더 포함하는 것을 특징으로 하는 플라즈마 에칭 방법.
  32. 제 30항에 있어서, 상기 테스트 제품이 상기 요구된 특성을 나타낼 때, 상기 챔버에 제품을 배치하는 단계, 상기 챔버에 에천트 가스의 플루오르 함유 성분을 유입하는 단계, 상기 챔버에 상기 에천트 가스의 상기 희석제 성분을 유입하는 단계, 및 상기 요구된 특성을 생성하도록 결정되는 상기 선택된 처리 파라미터의 고정된 값과 상기 나머지 처리 파라미터 값을 사용하여 플라즈마 에칭 처리를 수행하는 단계를 더 포함하는 것을 특징으로 하는 플라즈마 에칭 방법.
  33. 제 30항에 있어서, 상기 나머지 처리 파라미터는 상기 플루오르 함유 성분의 흐름 속도인 것을 특징으로 하는 플라즈마 에칭 방법.
  34. 제 30항에 있어서, 상기 나머지 처리 파라미터로서 상기 플루오르 함유 성분의 흐름 속도를 사용할 필요가 없고 최대 에칭 깊이가 상기 요구된 특성중 하나가 아닐때 상기 나머지 처리 파라미터는 상기 챔버 압력인 것을 특징으로 하는 플라즈마 에칭 방법.
  35. 제 30항에 있어서, 상기 나머지 처리 파라미터로서 상기 플루오르 함유 성분의 흐름 속도를 사용할 필요가 없고 최대 에칭 깊이가 상기 요구된 특성중 하나가 아닐때 상기 나머지 처리 파라미터는 상기 RF 전력 소스의 전력 레벨인 것을 특징으로 하는 플라즈마 에칭 방법.
  36. 제 30항에 있어서, 상기 요구된 특성은 상기 제품의 일부를 커버하는 포토레지스트 층의 상부 표면에 걸친 균일한 에칭속도, 상기 제품의 하부층과 비교하여 상기 포토레지스트 층에 대한 상기 에천트 가스의 높은 선택도, 상기 포토레지스트 층으로부터 상기 플라즈마 에칭처리동안 상기 포토레지스트 층 위에 형성되는 폴리머 피일링 최소 발생, 및 상기 포토레지스트 층에 의해 커버되지 않는 상기 제품의 임의 이런 영역에서의 에칭 정지 최소화를 포함하는 것을 특징으로 하는 플라즈마 에칭 방법.
  37. 제 30항에 있어서, 상기 에천트 가스의 플루오르 함유 성분의 흐름 속도는 약 15 sccm과 약 80 sccm 사이인 것을 특징으로 하는 플라즈마 에칭 방법.
  38. 제 30항에 있어서, 상기 챔버 압력은 약 4 밀리토르와 약 60 밀리토르 사이인 것을 특징으로 하는 플라즈마 에칭 방법.
  39. 제 30항에 있어서, 상기 에천트 가스의 상기 희석제 성분은 불활성 가스인 것을 특징으로 하는 플라즈마 에칭 방법.
  40. 제 30항에 있어서, 상기 에천트 가스의 상기 희석제 성분은 불활성 가스인 것을 특징으로 하는 플라즈마 에칭 방법.
  41. 제 40항에 있어서, 상기 불활성 가스는 헬륨과 아르곤 중 적어도 하나를 포함하는 것을 특징으로 하는 플라즈마 에칭 방법.
  42. 제 30항에 있어서, 상기 에천트 가스의 희석제 성분에 대한 흐름 속도는 상기 플라즈마 에칭 처리동안 에칭 정지를 최소화하도록 선택되는 것을 특징으로 하는 플라즈마 에칭 방법.
  43. 제 30항에 있어서, 상기 에천트 가스의 희석제 성분에 대한 흐름 속도는 약 50 sccm과 약 400 sccm 사이인 것을 특징으로 하는 플라즈마 에칭 방법.
  44. 제 30항에 있어서, 상기 RF 전력 소스의 전력 레벨은 약 1200 와트와 약 3000 와트 사이인 것을 특징으로 하는 플라즈마 에칭 방법.
  45. 제 30항에 있어서, 상기 챔버 루프의 온도는 약 180℃와 약 300℃ 사이인 것을 특징으로 하는 플라즈마 에칭 방법.
  46. 제 30항에 있어서, 상기 플루오르카본 가스는 C2F6를 포함하는 것을 특징으로 하는 플라즈마 에칭 방법.
  47. 제 30항에 있어서, 상기 하이드로플루오르카본 가스는 CHF3를 포함하는 것을 특징으로 하는 플라즈마 에칭 방법.
  48. 제 47항에 있어서, 에칭을 증진하기 위해 상기 제품상에 RF 바이어스를 부가하는 단계를 더 포함하는데, 상기 RF 바이어스는 약 1500 와트와 약 2000 와트 사이의 범위에 있는 전력을 생성하는 RF 바이어스 전력 소스에 의해 형성되는 것을 특징으로 하는 플라즈마 에칭 방법.
  49. 제 48항에 있어서, 상기 RF 바이어스 전력 소스는 약 1800 와트를 초과하는 전력을 생성하는 것을 특징으로 하는 플라즈마 에칭 방법.
  50. 제 30항에 있어서, 상기 상기 에천트 가스의 플루오르 함유 성분은 동일한 비율의 플루오르카본 가스와 하이드로플루오르카본 가스를 포함하는 것을 특징으로 하는 플라즈마 에칭 방법.
  51. 제 50항에 잇어서, 상기 플루오르카본 가스는 C2F6를 포함하고 상기 하이드로플루오르카본 가스는 CHF3를 포함하는 것을 특징으로 하는 플라즈마 에칭 방법.
  52. 제 30항에 있어서, 상기 제품은 산소 비함유 재료의 하부층을 가진 산소 함유 재료층, 및 상기 산소 함유층의 일부에 중첩하는 포토레지스트 재료층을 구비하는 반도체 웨이퍼를 포함하는 것을 특징으로 하는 플라즈마 에칭 방법.
  53. 제 30항에 있어서, 상기 제품은 최저부가 산소 함유 재료, 반사방지 재료, 및 포토레지스트 재료를 각각 포함하는 연속적 중첩 층에 의해 수반되는 산소 비함유 재료로 구성되는 다수의 층을 가지는 반도체 웨이퍼를 포함하는 것을 특징으로 하는 플라즈마 에칭방법.
  54. 제 53항에 있어서, 상기 반사 방지층은 시클로헥산으로 구성된 유기 용해제로 이루어지며, 상기 포토레지스트층은 심층 자외선 포토레지스트 재료로 구성된 유기 용해제로 이루어지는 것을 특징으로 하는 플라즈마 에칭 방법.
    ※ 참고사항 : 최초출원 내용에 의하여 공개하는 것임.
KR1019970035974A 1996-07-31 1997-07-30 높은 포토레지스트 선택도와 개선된 폴리머 접착을 위한 플라즈마 반응기 처리 방법 KR980011729A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US69003596A 1996-07-31 1996-07-31
US08/690035 1996-07-31

Publications (1)

Publication Number Publication Date
KR980011729A true KR980011729A (ko) 1998-04-30

Family

ID=24770828

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019970035974A KR980011729A (ko) 1996-07-31 1997-07-30 높은 포토레지스트 선택도와 개선된 폴리머 접착을 위한 플라즈마 반응기 처리 방법

Country Status (4)

Country Link
EP (1) EP0825642A3 (ko)
JP (1) JPH10150019A (ko)
KR (1) KR980011729A (ko)
TW (1) TW343360B (ko)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3288306B2 (ja) * 1997-07-22 2002-06-04 松下電器産業株式会社 半導体装置の製造方法
KR100505602B1 (ko) * 1998-05-12 2005-09-26 삼성전자주식회사 반도체소자 제조에 사용되는 반사방지막 및 이를 포함한 다층막전면식각방법
US6270634B1 (en) * 1999-10-29 2001-08-07 Applied Materials, Inc. Method for plasma etching at a high etch rate
KR100358124B1 (ko) * 1999-12-30 2002-10-25 주식회사 하이닉스반도체 반도체 소자에서 유기반사방지막의 식각 선택비 개선 방법
JP3630073B2 (ja) 2000-05-17 2005-03-16 セイコーエプソン株式会社 半導体装置の製造方法
US6632322B1 (en) * 2000-06-30 2003-10-14 Lam Research Corporation Switched uniformity control
KR100400251B1 (ko) * 2001-06-29 2003-10-01 주식회사 하이닉스반도체 반도체 소자의 유기 반사 방지막 식각방법
US7790334B2 (en) 2005-01-27 2010-09-07 Applied Materials, Inc. Method for photomask plasma etching using a protected mask
US7541290B2 (en) 2007-03-08 2009-06-02 Samsung Electronics Co., Ltd. Methods of forming mask patterns on semiconductor wafers that compensate for nonuniform center-to-edge etch rates during photolithographic processing
JP2010251145A (ja) * 2009-04-16 2010-11-04 Panasonic Corp プラズマ検査方法、プラズマ処理方法、プラズマ検査装置及びプラズマ処理装置
KR102155111B1 (ko) * 2020-03-11 2020-09-11 주식회사 지티아이코리아 반도체 하이브리드 식각 장치 및 방법

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02119134A (ja) * 1988-07-29 1990-05-07 Mitsubishi Electric Corp シリコン表面の処理方法
US5021121A (en) * 1990-02-16 1991-06-04 Applied Materials, Inc. Process for RIE etching silicon dioxide
JPH05144779A (ja) * 1991-11-21 1993-06-11 Matsushita Electric Ind Co Ltd シリコン酸化膜のドライエツチング方法
KR960005865A (ko) * 1994-07-06 1996-02-23 김주용 반도체 소자의 금속배선 형성방법

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3634494A (en) * 1969-04-23 1972-01-11 American Cyanamid Co Catalytic process for manufacture of unsaturated acids and esters
JPS6197824A (ja) * 1984-10-18 1986-05-16 Sanyo Electric Co Ltd 半導体装置のコンタクトホ−ル形成方法
US5284549A (en) * 1992-01-02 1994-02-08 International Business Machines Corporation Selective fluorocarbon-based RIE process utilizing a nitrogen additive
JPH0714835A (ja) * 1993-06-17 1995-01-17 Kawasaki Steel Corp 多層配線構造の形成方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02119134A (ja) * 1988-07-29 1990-05-07 Mitsubishi Electric Corp シリコン表面の処理方法
US5021121A (en) * 1990-02-16 1991-06-04 Applied Materials, Inc. Process for RIE etching silicon dioxide
JPH05144779A (ja) * 1991-11-21 1993-06-11 Matsushita Electric Ind Co Ltd シリコン酸化膜のドライエツチング方法
KR960005865A (ko) * 1994-07-06 1996-02-23 김주용 반도체 소자의 금속배선 형성방법

Also Published As

Publication number Publication date
TW343360B (en) 1998-10-21
EP0825642A3 (en) 1998-11-18
EP0825642A2 (en) 1998-02-25
JPH10150019A (ja) 1998-06-02

Similar Documents

Publication Publication Date Title
US6051503A (en) Method of surface treatment of semiconductor substrates
US6569774B1 (en) Method to eliminate striations and surface roughness caused by dry etch
KR101019928B1 (ko) 황화물계 에칭제로 탄소질층을 플라즈마 에칭하는 방법
TWI434342B (zh) 藉由時間調變而在不同徑向氣體注入區域利用具有不同蝕刻及聚合物沉積速率之聚合蝕刻氣體的電漿蝕刻製程及設備
US6451703B1 (en) Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas
US6191043B1 (en) Mechanism for etching a silicon layer in a plasma processing chamber to form deep openings
US6291357B1 (en) Method and apparatus for etching a substrate with reduced microloading
US6074959A (en) Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide
US6620733B2 (en) Use of hydrocarbon addition for the elimination of micromasking during etching of organic low-k dielectrics
US20070026677A1 (en) Method for plasma etching performance enhancement
WO1999016110A2 (en) Plasma process for selectively etching oxide using fluoropropane or fluoropropylene
KR100255405B1 (ko) 드라이에칭방법
WO2000067308A1 (en) Techniques for etching a low capacitance dielectric layer
KR980011729A (ko) 높은 포토레지스트 선택도와 개선된 폴리머 접착을 위한 플라즈마 반응기 처리 방법
KR100743873B1 (ko) 플라즈마 처리 챔버 내에서의 에칭을 개선하기 위한 기술
KR100595090B1 (ko) 포토레지스트 마스크를 사용한 개선된 엣칭방법
EP0820093A1 (en) Etching organic antireflective coating from a substrate
WO2002019408A2 (en) Method of etching carbon-containing silicon oxide films
US6787475B2 (en) Flash step preparatory to dielectric etch
KR100358459B1 (ko) 유전체 박막의 선택적 에칭 방법
US20030003748A1 (en) Method of eliminating notching when anisotropically etching small linewidth openings in silicon on insulator
WO1997024750A1 (en) Method for etching silicon dioxide using unsaturated fluorocarbons
US20040084411A1 (en) Method of etching a silicon-containing dielectric material
US20030153193A1 (en) Etching method
KR19990031223A (ko) 플라즈마를 이용한 건식 식각 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application