KR100358459B1 - 유전체 박막의 선택적 에칭 방법 - Google Patents

유전체 박막의 선택적 에칭 방법 Download PDF

Info

Publication number
KR100358459B1
KR100358459B1 KR1020000020550A KR20000020550A KR100358459B1 KR 100358459 B1 KR100358459 B1 KR 100358459B1 KR 1020000020550 A KR1020000020550 A KR 1020000020550A KR 20000020550 A KR20000020550 A KR 20000020550A KR 100358459 B1 KR100358459 B1 KR 100358459B1
Authority
KR
South Korea
Prior art keywords
etching
nitride layer
plasma
nitride
oxide
Prior art date
Application number
KR1020000020550A
Other languages
English (en)
Other versions
KR20010020758A (ko
Inventor
베네트델로레스에이
노럼제임스피
얀홍웬
유치엔팬
Original Assignee
인터내셔널 비지네스 머신즈 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인터내셔널 비지네스 머신즈 코포레이션 filed Critical 인터내셔널 비지네스 머신즈 코포레이션
Publication of KR20010020758A publication Critical patent/KR20010020758A/ko
Application granted granted Critical
Publication of KR100358459B1 publication Critical patent/KR100358459B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides

Abstract

본 발명은 기판의 질화물 층을 덮고 있는 산화물 층을 고도의 선택성을 가지고 에칭하는 방법에 관한 것이다. 이 방법은 기판의 산화물 층을 탄소와 불소 함유 기체와 질소 함유 기체로 에칭하는 플라스마 에칭 공정을 포함한다. SixNy종(種)이 형성되는데, 이 종은 상기 질화물 층의 에칭과 실질적으로 평형을 이루면서 질화물 층 위에 침적된다.

Description

유전체 박막의 선택적 에칭 방법{SELECTIVE DRY ETCH OF A DIELECTRIC FILM}
본 발명은 일반적으로 말하면, 유전체 박막을 에칭하는 방법, 보다 구체적으로 말하면 질화물 층위의 산화물 층을 고도의 선택성을 가지고(with highselectivity) 에칭하는 에칭 방법에 관한 것이다.
반도체 제조에 있어서 중요한 목표 중의 하나는 가령 질화규소와 같은 질화물 층을 덮고 있는 산화물 층 또는 그 산화물 층의 일부를 에칭해 내는 것이다. 또다른 목표는 산화물 층에 대하여 고도의 선택성을 가지고 에칭을 행하는 것이다. 산화물 및 질화물 양자 모두는 일반적으로 에칭 플라스마 중에서 거의 같은 속도로 에칭되기 때문에, 충분한 선택성을 갖는 에칭 방법을 제공하고자 한 목표는 충족되지 못해 왔다.
이러한 목표를 성취하기 위한 한 가지 시도는 부식액으로 불소 치환 탄화수소(fluorine-substituted hydrocarbon)를 사용한다. 불소는 존재하는 탄소와 반응하여 기판 위에 탄소-불소 중합체로 이루어진 패시베이션화 피막(passivating coating)을 형성한다. 이 중합체는 산화물 층의 에칭중에 형성된 산소 원자들에 의해 해리된다. 그러므로, 산화물 층이 연속적으로 에칭됨에 따라, 패시베이션화 피막이 존재하기 때문에, 질화물 층은 훨씬 느린 속도로 에칭된다. 불행하게도, 패시베이션화 피막은 또한 플라스마 중에 존재하는 유리 불소 원자(free fluorine atoms)에 의해서도 침식되며, 결과적으로 질화물 층의 에칭은 필요 이상 빠른 속도로 계속된다.
막스(Marks) 등은 미국 특허 제5,423,945호에서 질화물 층을 덮은 산화물 층을 선택적으로 에칭하는 다른 한 가지의 에칭 방법을 개시하고 있다. 개시된 방법은 CO와 같은 불소 스캐빈저(fluorine scavenger)와 함께 C4F8또는 CH2F2와 같은고도의 중합화 기체를 사용하여 질화물 층의 표면 위에 탄소가 풍부한 중합체 보호층을 형성한다. 비록 이러한 방법을 통해서 질화물 층에 대한 산화물 층의 에칭 선택성이 향상되지만, 이러한 방법을 사용하면 몇 가지 결점이 발생한다.
첫째, 막스 등이 개시한 방법은 질화물 층 위에서의 에칭 속도를 늦추기 위하여 질화물 층 표면에 중합체가 축적되는 것을 필요로 한다. 이 방법은 200 옹스트롬 이하의 매우 얇은 질화물 층에 대해서는 이상적이지 못한데, 그 이유는 선택성의 효과를 얻기도 전에 질화물 층이 에칭에 의해 소모될 수 있기 때문이다. 둘째, 중합화 기체를 사용하는 경우, 중합화 기체의 매우 높은 선택성으로 인하여, 반도체 처리 과정에 있어서 통상적으로 수행되는 공정인 질화물 층의 후속 에칭 중에 결함이 영구화되기 쉽기 때문에 바람직하지 못하다.
아울러, 고도의 중합화 기체는 에칭 대상 기판 위와 공정 챔버 내에 상당한 잔류물을 남기는 경향이 있다. 이러한 잔류물로 인하여, 통상 기판과 공정 챔버 양자 모두는 후속 세정 공정을 필요로 한다. 또한, CH2F2를 비롯한 많은 중합화 기체는 가연성이거나, 독성을 가지고 있거나, 또는 가연성과 독성 모두를 가지고 있다. 유사하게, 스캐빈저 기체로 사용되는 CO는 독성이 있으며 에칭 챔버 장치의 기체 도관의 스테인레스강 성분으로부터 니켈과 철을 용출시키는 경향이 있다.
질화물 층을 덮은 산화물 층을 에칭하는 통상적인 방법을 사용할 경우의 결점은 산화물 층에 대하여 고도의 선택성을 가지며, 비중합화 기체를 사용하고, 또 얇은 질화물 층 위에 있는 산화물 층에 사용하기에 적합한 공정이 필요하다는 것을 보여주고 있다. 통상적인 공정의 결점을 극복하기 위하여, 새로운 방법이 제공되는 바, 본 발명의 목적은 질화물 층을 덮은 산화물 층을 고도의 선택성을 가지고 에칭하는 에칭 방법을 제공하는 데에 있다. 본 발명의 관련 목적은 얇은 질화물 층 위에 있는 산화물 층에 적합한 방법을 제공하는 것이다. 본 발명의 또 다른 목적은 비중합화 기체를 사용하는 공정을 제공하는 것이다.
도 1은 종래의 에칭 챔버의 단면도.
<도면의 주요 부분에 대한 부호의 설명>
10 : 에칭 장치
12 : 하우징
14 : 에칭 챔버
16 : 전극 지지체
18 : RF 전원
20 : 다기관
22 : 기판
23 : 오리피스
24 : 배기관
본 발명은, 전술한 목적 및 기타의 목적을 달성하기 위하여, 기판의 상부에 있는 질화물 층을 덮은 산화물을 에칭하는 방법을 제공한다. 상기 기판에 대하여, 탄소와 불소 함유 기체 및 질소 함유 기체로부터 유도된 플라스마를 사용하여 질화물 층을 덮은 산화물 층에 대하여 고도의 선택성을 갖는 에칭을 행한다. 산화물 층을 관통하여 질화물 층까지 상기 기판을 에칭하면, 질화물 층이 에칭되는 것과 실질적으로 평형을 이루면서 질화물 층 위에 침적되는 SixNy종(種)이 생성된다.
상기 탄소와 불소 함유 기체는 CF4, C2F6, 또는 이들 화합물의 혼합물인 것이 바람직하다. 질소 함유 기체는 N2, NH3또는 이들 화합물의 혼합물인 것이 바람직하다. 산화물 층 아래에 있는 질화물 층은 저압 화학적 증착법(low pressure chemical vapor deposition; LPCVD), 또는 플라스마 증진 화학적 증착법(plasma enhanced chemical vapor deposition; PECVD)과 같은 화학적 증착법(CVD)으로 형성된 질화물 층인 것이 바람직하다. 상기 질화물 층은 플라스마 증진 화학적 증착법으로 형성되는 것이 더 바람직하다.
전술한 전반적인 설명 및 후술되는 상세한 설명은 예시적인 것으로, 본 발명을 한정하고자 한 것이 아님을 이해하여야 한다.
본 발명은 첨부 도면과 함께 후술되는 상세한 설명을 읽으면 가장 잘 이해할 수 있다. 일반적인 관례에 따라, 도면의 여러 가지 특징부들이 일정한 축척으로 도시되어 있지 않음을 강조한다. 오히려, 명확을 기할 목적으로, 여러 가지 특징부의 치수를 임의로 확대 또는 축소하였다. 단 하나의 도면인 도 1은 통상적인 에칭 장치의 단면도를 개략적으로 도시하고 있다.
이하, 도 1을 참고로 본 발명을 설명하겠다. 이 도면은 본 발명을 한정하기 보다는 예시하고자 한 것이다. 이 도면은 본 발명의 방법을 행하는 데에 사용되는 장치의 설명을 용이하게 하고자 첨부되어 있다.
도 1은 종래의 에칭 장치(10)의 단면도이다. 예컨대, 알루미늄으로 제조되는 하우징(12)이 에칭 챔버(14)를 형성한다. 실리콘 웨이퍼와 같은 처리 대상 기판(22)이 전극 지지체(16) 위에 지지된다. 이 전극 지지체(16)는 전형적으로는 캐소드(cathode) 이다. 하우징(12)의 내벽은 전형적으로는 애노드(anode) 이다. 전극 지지체(16)는 RF 전원(18)에 접속되어 있다. 전극 지지체(16)와 대향하여, 공정 기체 공급원(도시되지 않음)에 접속된 기체용 다기관(多岐管)(20)이 근접하게 배치되어 있다. 공정 기체는 기체용 다기관(20)의 오리피스(23)를 통해 기체 용 다기관(20)으로부터 방출됨에 따라 기판(22)를 향하여 지향된다. 기판 지지체(16)에 RF 전력이 인가되고 기체용 다기관(20)에 공정 기체가 공급되면, 기체용 다기관(20)과 기판(22) 사이의 공간에 플라스마가 형성된다. 진공 펌프(도시되지 않음)에 접속된 배기관(24)이 상기 에칭 챔버 내의 압력을 유지함과 아울러, 사용된 기체와 반응 생성물을 방출한다.
일반적으로, 탄소와 불소를 모두 함유하고 있는 에칭용의 탄화불소 기체, 예컨대 CF4, C2F6, C3F8, CH2F4등이 플라스마에 노출되는 경우, 플라스마 중에는 여러가지 단편(斷片)이 발생하는데, 이들 단편으로는 유리 불소 원자, CF 및 CF2기(基) 등이 있다. 기판 위의 산화규소를 에칭하기 위하여 불소를 사용할 수 있다. 그러나, 에칭 공정 중에, 탄소와 불소의 중합체가 또한 형성되어 기판 위에 침적됨으로써 패시베이션화 층을 형성한다. 그러한 중합체는 산소 원자에 의해 침식되며, 따라서 산화물 층으로부터의 산소 원자들은 중합체가 형성됨에 따라 산화물 층의 에칭을 방해하지 않고 중합체를 해리시키게 된다. 그러나, 산소가 존재하지 않는 경우, 예컨대 산소를 함유하지 않는 층, 즉 질화물 층에 도달되는 경우, 패시베이션화 중합체 층을 해리시킬 산소가 존재하지 않는다. 그 지점에서, 산화규소 층은 계속해서 에칭되게 되며, 패시베이션화된 질화물 층은 더 늦은 속도로 에칭된다.
불행하게도, 패시베이션화 중합체는 또한 불소에 의해 해리되며, 플라스마중에서 불소 이온들이 계속적으로 형성되면 중합체 층에 충격을 주고, 그렇지 않으면 그 중합체 층을 침식시킨다. 그러한 침식으로 말미암아, 중합체가 해리되며, 그렇게 되면 질화물 층은 플라스마에 의해 에칭되게 된다.
역시 질화물 층 표면 위에 패시베이션화 중합체를 생성하는 HeO2와 CHF3로 구성되는 에칭용 기체를 사용하려는 시도가 있어 왔다. 상기 중합체는 그다지 균일하게 축적되지 않으며, 그 결과 에칭 정지 질화물 층(the etch stop nitride layer) 위에서 특성적으로 중앙에서 가장자리까지에 걸쳐 에칭 속도의 높은 불균일성(a characteristically high center-to-edge non-uniformity of the etch rate)이 초래된다. 에칭 속도에 있어서의 불균성으로 말미암아, 후속 공정에서의 질화물 층의 에칭 중에 심각한 문제가 발생한다. 더욱이, 질화물 박막이 플라스마 증진 화학적 증착법으로 형성된 경우, 질화물 층의 에칭의 용이성 때문에 그러한 에칭 속도의 불균일성은 더욱 증대된다.
탄소와 불소 함유 기체와 질소 함유 기체로부터 유도된 플라스마를 사용하여 에칭을 행하면 전술한 결점이 감소되는 것으로 밝혀졌다. 구체적으로, 질화물 층 위에 산화물 층이 있는 기판을 탄소와 불소 함유 기체 및 질소 함유 기체로부터 유도된 플라스마를 사용하여 에칭하면, SixNy종이 생성되는 것으로 밝혀졌다. 상기 SixNy종(식중, x = 2, 3, 또는 4 이고, y = 1, 2, 3, 또는 4임)은 에칭이 산화물 층을 관통하여 질화물 층까지 진행되는 경우, N, N2 -및 N-와 같은 질소기 및 질소 이온과, 에칭 부산물인 SiFx와의 반응에 의해 발생된다.(단일 공정 중에 Si3Ny종과 함께 몇 가지 다른 SixNy종이 형성되는 것도 가능하다. 예를 들면, Si3Ny종과 함께 Si2Ny종이 형성될 수도 있다) Si3N4인 것이 바람직한 SixNy종은 화학적 증착법, 특히 플라스마 증진 화학적 증착법에 의해 형성되는 질화물을 위한 플라스마 전구체이다. 전구체로서, SixNy종은 질화물 층에 대하여 친화성을 가지고 있어, 에칭된 질화물 층의 부분에 "점착된다(sticking)".
에칭 플라스마 중에 SixNy가 존재하면, 질화물 에칭의 역공정(逆工程)이 발생하는데, 즉 질화물 층의 에칭된 부분들에 질화물이 침적된다. 질화물 침적 공정은 질화물 층의 에칭 공정과 실질적으로 평형을 이루어, 질화물 층에 대하여 산화물 층의 높은 선택성을 발생시킨다.
본 명세서에서 사용되는 "산화물 층"이라 함은 가령 이산화규소, 산화실란 (silane oxide) 또는 테트라에틸오르토실리케이트(tetraethylorthosilicate; TEOS) 산화물과 같은 반도체 제조에 통상적으로 사용되는 산화물 층을 말한다. 마찬가지로, "질화물 층"이라 함은 질화규소와 같은 반도체 제조에 통상적으로 사용되는 질화물 층을 말한다. 본 발명은 또한 두께가 약 200 옹스트롬 미만인 얇은 질화물 층에 적합하다.
본 발명에 있어서, 사용되는 에칭용 탄화불소 기체는 CF4, C2F6또는 이들 화합물의 혼합물인 것이 바람직하다. 질소 함유 기체는 NH3, N2또는 이들 화합물의 혼합물인 것이 바람직하다. 본 발명에 따른 방법은 다이오드 챔버(diode chambers) 또는 고밀도 플라스마 챔버(high-density plasma chamber)와 같은 통상적으로 사용되는 공정 챔버 내에서 행할 수 있다. 에칭 챔버의 동력은 그 챔버의 전극들을 통해서 플라스마에 용량 결합될 수 있는 RF 에너지에 의해서 공급된다. RF 에너지는 또한 챔버 벽을 통해서 플라스마에 유도 결합될 수 있다.
다음의 실시예는 본 발명의 전체적인 특성을 보다 명확히 입증하기 위하여 본 명세서에 기재한 것이다. 이 실시예는 예시적인 것으로서 본 발명을 한정하는 것은 아니다.
실시예
RF 에칭 챔버 내에서, 두께가 약 200 옹스트롬인 질화규소 층 위에 두께가 약 1000 옹스트롬인 이산화규소 층이 있고, 상기 질화규소 층이 플라스마 증진 화학적 증착법에 의해 형성된 실리콘 웨이퍼를 에칭하였다. 에칭 기체는 CF4와 N2로 이루어졌으며, 압력은 160 mTorr였다. 전원의 전력은 800W 였다. 13.56 MHz의 RF 에너지에 의해 바이어스된 - 500 V의 바이어스 전압을 인가했다. 질화물 층에 대한 산화물 층의 선택성은 3:1 이었다.
구체적인 실시예를 참고로 하여 본 발명을 설명하였으나, 본 발명을 제시된 세부 사항으로 한정코자 한 것은 아니다. 오히려, 본 발명의 사상으로부터 벗어나지 않고 청구 범위와 균등의 범위 내에서 세부 사항에 대한 여러 가지 수정이 이루어질 수 있다.
본 발명에 따르면, 기판 위의 질화물 층에 덮힌 산화물 층에 대하여 고도의 선택성을 가지고, 그 산화물 층을 선택적으로 에칭할 수 있는 에칭 방법이 제공된다.

Claims (20)

  1. 산화물 층에 대하여 고도의 선택성을 가지고, 기판 상부의 질화물 층을 덮고 있는 산화물 층을 에칭하는 에칭 방법으로서,
    탄소와 불소 함유 기체와 질소 함유 기체로부터 유도된 플라스마를 제공하는 단계와,
    상기 플라스마를 상기 기판과 접촉시켜 에칭하는 단계
    를 포함하고,
    상기 에칭에 의해 SiF4가 생성되고, 상기 플라스마는 상기 질화물 SiF4와 질소 종의 반응으로부터 유도된 SixNy종을 함유하며,
    상기 SixNy종은 상기 질화물 층의 에칭과 실질적으로 평형을 이루면서 상기 질화물 층에 침적되는 것인
    에칭 방법.
  2. 제1항에 있어서, 상기 기판은 실리콘인 에칭 방법.
  3. 제1항에 있어서, 상기 산화물 층은 이산화규소, 테트라에틸오르토실리케이트 산화물 및 산화실란으로 이루어진 군으로부터 선택되는 에칭 방법.
  4. 제1항에 있어서, 상기 질화물 층은 질화규소인 에칭 방법.
  5. 제1항에 있어서, 상기 탄소와 불소 함유 기체는 CF4, C2F6및 이들 화합물의 혼합물로 이루어지는 군으로부터 선택되는 에칭 방법.
  6. 제1항에 있어서, 상기 질소 함유 기체는 N2, NH3및 이들 화합물의 혼합물로 이루어지는 군으로부터 선택되는 에칭 방법.
  7. 제1항에 있어서, 상기 질화물 층은 두께가 약 200 옹스트롬 미만인 에칭 방법.
  8. 제1항에 있어서, 상기 에칭은 전극을 구비한 챔버 내에서 행해지며, 상기 에칭 방법은 RF 에너지를 상기 전극을 통해서 플라스마에 용량 결합시키는 단계를 더 포함하는 에칭 방법.
  9. 제1항에 있어서, 상기 에칭은 챔버 벽을 구비하는 에칭 챔버 내에서 행해지며, 상기 에칭 방법은 RF 에너지를 상기 챔버 벽을 통해서 상기 플라스마에 유도 결합시키는 단계를 더 포함하는 에칭 방법.
  10. 제1항에 있어서, 상기 질화물 층은 화학적 증착법에 의해 기판에 형성되는 에칭 방법.
  11. 삭제
  12. 삭제
  13. 질화물을 덮은 z산화물의 선택적 에칭 방법으로서,
    질화물 층 위에 산화물 층이 덮힌 기판을 탄화불소-질소 플라스마에 노출시키는 단계와,
    상기 산화물 층과 질화물 층을 에칭하여 SixNy종을 생성하는 단계와,
    상기 SixNy종을 상기 질화물 층의 에칭과 실질적으로 평형을 이루면서 상기 질화물 층 위에 침적시키는 단계
    를 포함하는 에칭 방법.
  14. 제13항에 있어서, 상기 기판은 실리콘인 에칭 방법.
  15. 제13항에 있어서, 상기 산화물 층은 이산화규소, 테트라에틸오르토실리케이트 산화물 및 산화실란으로 이루어진 군으로부터 선택되는 에칭 방법.
  16. 제13항에 있어서, 상기 질화물 층은 질화규소인 에칭 방법.
  17. 제13항에 있어서, 상기 질화물 층은 두께가 약 200 옹스트롬 미만인 에칭 방법.
  18. 제13항에 있어서, 상기 에칭은 전극을 구비한 챔버 내에서 행해지며, 상기 에칭 방법은 RF 에너지를 상기 전극을 통해서 플라스마에 용량 결합시키는 단계를 더 포함하는 에칭 방법.
  19. 제13항에 있어서, 상기 에칭은 챔버 벽을 구비하는 에칭 챔버 내에서 행해지며, 상기 에칭 방법은 RF 에너지를 상기 챔버 벽을 통해서 상기 플라스마에 유도 결합시키는 단계를 더 포함하는 에칭 방법.
  20. 제13항에 있어서, 상기 질화물 층은 화학적 증착법에 의해 기판에 형성되는 에칭 방법.
KR1020000020550A 1999-05-05 2000-04-19 유전체 박막의 선택적 에칭 방법 KR100358459B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/305,432 1999-05-05
US9/305,432 1999-05-05
US09/305,432 US6294102B1 (en) 1999-05-05 1999-05-05 Selective dry etch of a dielectric film

Publications (2)

Publication Number Publication Date
KR20010020758A KR20010020758A (ko) 2001-03-15
KR100358459B1 true KR100358459B1 (ko) 2002-10-25

Family

ID=23180756

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020000020550A KR100358459B1 (ko) 1999-05-05 2000-04-19 유전체 박막의 선택적 에칭 방법

Country Status (6)

Country Link
US (1) US6294102B1 (ko)
JP (1) JP3649650B2 (ko)
KR (1) KR100358459B1 (ko)
DE (1) DE10016938C2 (ko)
SG (1) SG83790A1 (ko)
TW (1) TW554439B (ko)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19928297A1 (de) * 1999-06-22 2000-12-28 Bosch Gmbh Robert Verfahren zur Herstellung eines Sensors mit einer Membran
JP3586605B2 (ja) * 1999-12-21 2004-11-10 Necエレクトロニクス株式会社 シリコン窒化膜のエッチング方法及び半導体装置の製造方法
KR100382720B1 (ko) * 2000-08-30 2003-05-09 삼성전자주식회사 반도체 식각 장치 및 이를 이용한 반도체 소자의 식각 방법
JP2002319551A (ja) * 2001-04-23 2002-10-31 Nec Corp 半導体装置およびその製造方法
KR100398574B1 (ko) * 2001-06-28 2003-09-19 주식회사 하이닉스반도체 반도체 소자의 게이트 스페이서 형성방법
DE10245671B4 (de) * 2002-09-30 2004-08-26 Infineon Technologies Ag Herstellungsverfahren für eine Halbleiterstruktur durch selektives isotropes Ätzen einer Siliziumdioxidschicht auf einer Siliziumnitridschicht
US7056830B2 (en) * 2003-09-03 2006-06-06 Applied Materials, Inc. Method for plasma etching a dielectric layer
KR101042951B1 (ko) * 2009-09-21 2011-06-20 현대로템 주식회사 철도차량의 후미차량 전조등 제어회로
US20130143392A1 (en) * 2011-12-06 2013-06-06 Epowersoft, Inc. In-situ sin growth to enable schottky contact for gan devices
US9257293B2 (en) * 2013-03-14 2016-02-09 Applied Materials, Inc. Methods of forming silicon nitride spacers
US10629435B2 (en) * 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09296271A (ja) * 1996-05-02 1997-11-18 Samuko Internatl Kenkyusho:Kk プラズマcvd反応室清掃方法及びプラズマエッチング方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL8204437A (nl) * 1982-11-16 1984-06-18 Philips Nv Werkwijze voor het vervaardigen van een halfgeleiderinrichting met behulp van plasma-etsen.
US4572765A (en) * 1983-05-02 1986-02-25 Fairchild Camera & Instrument Corporation Method of fabricating integrated circuit structures using replica patterning
US5356515A (en) * 1990-10-19 1994-10-18 Tokyo Electron Limited Dry etching method
US5423945A (en) 1992-09-08 1995-06-13 Applied Materials, Inc. Selectivity for etching an oxide over a nitride
CH685692A5 (de) * 1992-01-29 1995-09-15 Sky Disc Holding Sa C O Norasi Fluggerät.
US5880037A (en) * 1992-09-08 1999-03-09 Applied Materials, Inc. Oxide etch process using a mixture of a fluorine-substituted hydrocarbon and acetylene that provides high selectivity to nitride and is suitable for use on surfaces of uneven topography
DE4232475C2 (de) * 1992-09-28 1998-07-02 Siemens Ag Verfahren zum plasmachemischen Trockenätzen von Si¶3¶N¶4¶-Schichten hochselektiv zu SiO¶2¶-Schichten
DE19706682C2 (de) * 1997-02-20 1999-01-14 Bosch Gmbh Robert Anisotropes fluorbasiertes Plasmaätzverfahren für Silizium
US5801094A (en) * 1997-02-28 1998-09-01 United Microelectronics Corporation Dual damascene process
TW351837B (en) * 1997-10-29 1999-02-01 United Semiconductor Corp Method for improving etching process

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09296271A (ja) * 1996-05-02 1997-11-18 Samuko Internatl Kenkyusho:Kk プラズマcvd反応室清掃方法及びプラズマエッチング方法

Also Published As

Publication number Publication date
JP3649650B2 (ja) 2005-05-18
TW554439B (en) 2003-09-21
SG83790A1 (en) 2001-10-16
KR20010020758A (ko) 2001-03-15
US6294102B1 (en) 2001-09-25
JP2001023957A (ja) 2001-01-26
DE10016938C2 (de) 2003-04-24
DE10016938A1 (de) 2000-11-16

Similar Documents

Publication Publication Date Title
US5423945A (en) Selectivity for etching an oxide over a nitride
KR100430046B1 (ko) 헥사 플루오르화 부타디엔 또는 관련 플루오르화 탄화수소를 사용하여 산화물을 에칭하고 넓은 프로세스윈도우를 명시하기 위한 프로세스
KR100260775B1 (ko) 플라즈마처리장치
KR100611610B1 (ko) Hdp-cvd시스템에서의입자성능을개선하기위한시즈닝프로세스에서의산소대실란비율조절
US4412119A (en) Method for dry-etching
TWI453818B (zh) 電漿蝕刻方法
EP0552490A1 (en) Process for etching an oxide layer over a nitride
EP0202907A2 (en) In-situ photoresist capping process for plasma etching
JPH07161702A (ja) 酸化物のプラズマエッチング方法
JP2004512668A (ja) フルオロカーボンのエッチングガスを用いた磁気的に増強されたプラズマエッチング方法
JP2001526463A (ja) 基板から有機反射防止皮膜をエッチングするためのシステムと方法
KR100358459B1 (ko) 유전체 박막의 선택적 에칭 방법
US5268200A (en) Method of forming plasma etch apparatus with conductive coating on inner metal surfaces of chamber to provide protection from chemical corrosion
EP0418592B1 (en) Cleaning process for removal of deposits from the susceptor of a chemical vapor deposition apparatus
US6897154B2 (en) Selective etching of low-k dielectrics
KR100856005B1 (ko) 탄소-함유 실리콘 옥사이드 막을 에칭하는 방법
US5880037A (en) Oxide etch process using a mixture of a fluorine-substituted hydrocarbon and acetylene that provides high selectivity to nitride and is suitable for use on surfaces of uneven topography
WO2002050885A1 (fr) Procede de gravage pour film isolant
US6787475B2 (en) Flash step preparatory to dielectric etch
JPH11111680A (ja) エッチング方法
US20040161946A1 (en) Method for fluorocarbon film depositing
US20040084411A1 (en) Method of etching a silicon-containing dielectric material
US6746970B2 (en) Method of forming a fluorocarbon polymer film on a substrate using a passivation layer
US7030475B2 (en) Method and apparatus for forming a thin film
US6455232B1 (en) Method of reducing stop layer loss in a photoresist stripping process using a fluorine scavenger

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee