JP2001505001A - シリコンの異方性エッチングのための方法 - Google Patents

シリコンの異方性エッチングのための方法

Info

Publication number
JP2001505001A
JP2001505001A JP51377499A JP51377499A JP2001505001A JP 2001505001 A JP2001505001 A JP 2001505001A JP 51377499 A JP51377499 A JP 51377499A JP 51377499 A JP51377499 A JP 51377499A JP 2001505001 A JP2001505001 A JP 2001505001A
Authority
JP
Japan
Prior art keywords
polymer
etching
amount
silicon
controlled
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP51377499A
Other languages
English (en)
Other versions
JP4674368B2 (ja
Inventor
レルマー フランツ
シルプ アンドレア
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Robert Bosch GmbH
Original Assignee
Robert Bosch GmbH
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Robert Bosch GmbH filed Critical Robert Bosch GmbH
Publication of JP2001505001A publication Critical patent/JP2001505001A/ja
Application granted granted Critical
Publication of JP4674368B2 publication Critical patent/JP4674368B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00555Achieving a desired geometry, i.e. controlling etch rates, anisotropy or selectivity
    • B81C1/00619Forming high aspect ratio structures having deep steep walls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0111Bulk micromachining
    • B81C2201/0112Bosch process

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Geometry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

(57)【要約】 交互に互いに連続する無関係に制御されるエッチング工程およびポリマー析出工程を用いてシリコン基板にミクロ構造およびナノ構造を異方性エッチングする方法を提供する。この場合、ポリマー析出工程の過程で堆積するポリマーの量は減少し、従ってミクロ構造およびナノ構造のアンダエッチングは回避される。

Description

【発明の詳細な説明】 シリコンの異方性エッチングのための方法 従来の技術 本発明は、請求項1の上位概念によるシリコンの異方性エッチングのための方 法に関する。 半導体技術で有利に使用されるシリコン基板中に、規定された構造、例えばト レンチ、櫛形構造、舌状構造(Zungen)、湾曲横帯(Biegebalken)などをわずかな 選択性から中程度の選択性で異方性にエッチングすることは公知である。エッチ ングするべき個々の構造は、通常シリコン基板上に施与されたエッチングマスク によりいわゆるマスキング層、例えばフォトレジスト層を介して規定される。異 方性エッチング技術の場合、シリコン中で側面に正確に規定された切欠になるこ とが必要である。この深さ方向への切欠はできる限り正 なくてはならない。この場合、エッチングされるべきではないそれぞれのシリコ ン基板領域を覆っているマスキング層の端部は、マスクからシリコンへの構造転 写の側面の正確さをできる限り高く維持するために、アンダエッチングされては ならない。このことから、エッチングを構造ベース上のみに進行させるが、しか しすでに生じた構造の側壁には進行させないようにす る必要が生じる。DE4241045C1号では、プラズマポリマー析出工程お よびプラズマエッチング工程を交互に実施する方法により、シリコン基板中のプ ロフィールのエッチングを実施することが提案されている。この場合、純粋にフ ッ素化合物に基づく化学反応において、析出工程とエッチング工程とを交互に実 施し、その際自体等方性のエッチング工程中に、先行する析出工程の間に施与さ れた側壁のポリマー膜の前方移動により、新たに露出したシリコン側壁の部分が すでに効果的に不動態化されるので、その結果、自体等方性のエッチング工程が 局所的に高度に異方性となる。前方に移動する側壁膜による局所的な異方性のこ の技術は、側壁をエッチングすることなく、極めて高い速度で比較的幅広いエッ チング工程を可能にし、その結果わずかな粗面性を有するのみである。一般に、 前記のプラズマエッチングを実施する際に、マイクロ波励起でこれを行う(PI E=伝搬イオンエッチング(propagation ion etching))と、顕著な壁の粗面化 は生じない。しかし、このプロセスは、プラズマの高周波励起(ICP=電磁誘 導プラズマ(inductive coupled plasma))を用いる、いわゆる誘導結合された装 置上で深刻な問題を生じる。この場合、シリコンのフォトレジストマスクの端部 の下での直接顕著な切欠エッチングとなる。この切欠は、誘導励起の効果であり 、これは基板、例えばシリコンウェハ領域の磁界および電 界と結合しており、かつ異なった構造のICP−装置上で、程度の差はあるもの の強く現れる。誘導結合されたプラズマ装置は、その頑丈さおよび幅広い使用性 のために、ますます重要な役割を果たしており、かつ自体前記のプロセスに公的 である。エッチング切欠の形成の際に、フォトレジストマスクとシリコンとの間 の移行領域は、不連続性としてプラズマ源の電界との関連において、側壁のより 深い部分よりも強い衝撃に曝されている。さらにマスク端部において、前方に移 動する側壁膜の機構は、まだ完全に効果的ではない。このことによりここで側壁 のより弱いパッシベーションが存在する。このことはいわゆるアンダエッチング につながり、その結果エッチングされたシリコン構造はもはや必要とされる正確 さおよび臨界的なディメンションを有さない。 本発明の利点 本発明によるシリコンの異方性エッチングのための方法は、エッチング工程と 交互に行われるポリマー析出工程の過程で折出したポリマーの量が、開始時に過 剰であり、かつ次いで次第に減少することにより、従来公知のICP−エッチン グプロセスにおけるアンダエッチングの際の問題を回避するものである。従って プロセスの開始時に側壁ポリマーの不足を過剰のポリマーにより排除し、その結 果側壁は十分に不動態化されたまま保持される。不連続箇所での比較的高いイオ ン衝撃もまた、今度は臨界領域のエッチングにつながることがない。従って、ま ず過剰量のポリマーを用いて作業し、かつこの過剰量が次いで引き続きポリマー 工程とエッチング工程との交互の過程で減少することは、本方法の重要な特徴お よび特別の利点である。従来出現していた過度のポリマー析出の欠点は、いわゆ るポジティブな傾向のプロフィールの出現であり、このことはつまりエッチング トレンチの側壁はもはや垂直に生じるのではなく、エッチングの深さが増すとと もに狭くなってゆき先端を形成するということである。例えばこのプロフィール を適切なプロセスパラメータにより一回修正するのみで、再度、移行の不連続箇 所での切欠の問題につながる。このこともまたポリマー析出工程の過程で堆積し たポリマーが次第に減少することにより回避することができる。ポジティブなプ ロフィールを有するいわゆる最初に過剰に豊富なプロセスは、切欠の形成なしで 開始され、その結果次第によりわずかなポリマー析出で垂直なプロフィールを生 じ、かつこれとともにエッチングの主要部分が実施されるプロセスに移行する。 本発明による方法の有利な実施例およびその他の例は、請求項2以降に記載さ れている。 本発明による方法の特に有利な実施態様では、ポリマー析出工程の適合を常に 1つのプロセスサイクルからそれぞれ次のサイクルへと行う。有利には、いわゆ る「豊富な」、つまりポジティブなプロフィールにつながるポリマーの多いプロ セスパラメータで開始するが、しかしマスク端部の下の切欠形成は確実に回避さ れる。その後のそれぞれのサイクルで今度はプロセス中のポリマー成分を若干戻 し、その結果、この定常的な種々のプロセスパラメータの適合の際に、プロフィ ール移行中の不連続性および切欠形成の危険が最小化される。パラメータセット の適合は、有利には例えばシリコン中で100μmの深さにエッチングするべき 場合、最初の20μmのエッチング深さを介して行う。 本発明による方法のさらに有利な実施態様では、堆積したポリマーの量は不連 続工程で減少する。例えば1工程あたり長い析出時間で開始し、垂直のプロフィ ールの際に生じるパラメータセットに到達するまで、前記のパラメータセットで 特定の部材をエッチングし、次いで析出時間を減少させ、新規のパラメータセッ トで特定の区間をエッチングするなどである。 有利には、ポリマー量の減少は、エッチング工程またはポリマー析出工程の時 間の変更により制御する。というのも個々の工程の時間のエッチングの際に、不 活性のプラズマ特性は変更されないからである。エッチング工程またはポリマー 析出工程の時間の変更は、容易に、かつ効果の点から評価できるように修正する ことができる。 もう1つの有利な可能性は、ポリマー量を基板温度の変更により制御するか、 または析出の間の圧力を変更することである。その際、ポジティブなプロフィー ルを発生させるパラメータセットから、明らかにわずかにポジティブなプロフィ ールへ突然移行する場合、同様に切欠形成の危険が発生することに注意しなくて はならない。ポジティブなプロフィールは垂直のプロフィールへ移行する際に、 これが突然発生する場合には、その形においてレジストマスクエッジ(または硬 質物質マスクで作業する場合、SiO2マスクエッジ)のプロフィールに極めて 相似し、かつよりわずかにポジティブなプロフィール形への移行は、再び明らか で、かつ顕著な不連続性を側壁にもたらし、これはICP装置の場合、改めて切 欠形成と共に問題を生じうる。従って、この適合を大きすぎる工程で実施せず、 かつ複数の工程で垂直なパラメータセットに移行させることは有利である。特に 、比較的わずかにポジティブな、または垂直のパラメータセットに移行する前に 、まず特定の区間を、ポジティブなパラメータセットでエッチングすることは有 利である。というのもすでに生じた側壁がポリマー堆積として作用し、かつ側壁 膜輸送メカニズムは、このポリマー堆積がすでにより多く広がっているほどより よく機能するからである。実地では例えば合計100μmの深さにシリコンをエ ッチングするべき場合には、例えばそれぞれ10μmの エッチング幅を有する3つのパラメータ工程で前記の適合を行うことができる。 本方法のもう1つの特に有利な実施態様では、すべてのパラメータを常に適合 させる。ポジティブなプロフィールにつながる豊富な、つまりポリマーの多いプ ロセスパラメータセットで開始するが、しかしマスク端部の下の切欠形成は、確 実に回避される。その後のそれぞれのサイクルで今度は影響パラメータ、例えば ポリマー析出の時間、エッチング工程の時間、基板温度または圧力変更を、この 意味で変化させる。全パラメータセットを常にこのように適合させる場合もまた 、プロフィール移行中の不連続性ひいては切欠形成の危険を最小化する。 実地では、本発明による方法のそれぞれの有利な実施態様において、まずポジ ティブな傾向の、先端が細くなってゆくプロフィールから出発し、かつ次第に垂 直なプロフィールに移行するにも関わらず、総じて垂直なエッチングプロフィー ルが得られる。このことは、垂直にエッチングするプロセスのポジティブなプロ フィール割合が、側壁ポリマーによってのみ保護されるが、しかしもはやマスキ ングによって保護されていないということによるものである。というのはポジテ ィブなテーパーのプロフィールはトレンチ内でマスク端部から突出し、かつ傾斜 した側壁は、すでに垂直の側壁よりも強いイオン衝撃に曝されているからである 。その結果、垂直にエッチングされるプロセスは、全プロフィールの突出部分を 徐々に除去し、かつこのことと共にこの垂直なプロフィール形からの逸脱をその 代わりに修正するからである。この場合の前提は、垂直なエッチングプロセスパ ラメータセットへの移行の後で、不連続的な工程であるか、常に記載された方法 であるかに関わらず、まだ十分に長い時間さらにエッチングして、このプロフィ ール修正を完全に行うことのみである。記載された初期化工程のための10〜2 0μmおよびその後のその値を介して垂直にエッチングするプロセスによりエッ チングされる、約80μmの値は、プロセスデザインのための大まかなきっかけ となる。もちろん前記の方法でその他の、100μmより大きなエッチング深さ を実現することも可能である。 本発明による方法で例えば幅1μmにすぎない、隔離されているシリコン通路 を、構造損失を生じることなく、深さ40μmにわたってエッチングすることが できる。前記の技術を用いて、アスペクト比、つまり深さ対構造幅の比が100 :1〜200:1を有する構造を製造することも可能である。この場合エッチン グ速度は5μm/分以上、マスク選択性は100:1(フォトレジスト)または 200:1(SiO2)の値となる。このような構造は、従来いわゆるLIGA 技術(PMMA中でのシンクロトン照射)を用いてコス トをかけ、かつ極めて高価に製造できるのみであった。 実施例 相応して準備したシリコン基板、つまり例えばフォトレジストからなるエッチ ングマスクで被覆し、その際エッチングマスクは、異方性エッチングするべきシ リコン基板の範囲を露出させたシリコン基板を、エッチング室、またはその他の 適切な反応容器中で、第一のポリマー折出工程に供し、マスク端部にポリマー堆 積を析出させる。引き続き、すでに施与したポリマー堆積を「消費する」第一の エッチング工程を行い、かつほぼ純粋に異方性エッチングする。本発明による方 法にとって、第一工程がポリマー析出工程であり、従って側壁保護のメカニズム がその後のエッチング工程の間に機能することは重要である。引き続き交互にさ らにポリマー析出工程およびエッチング工程を行う。本発明による方法は、もち ろん類似の、個々のプロセス工程を実施する装置を用いて実施することもできる 。前記の方法で、例えばSF6とArとからなり、0〜200Ncm3のガス流お よび10〜100μバールのプロセス圧力を有する混合物を使用する。プラズマ 発生は、この場合有利には出力300〜1200ワット(2.45Ghz)での マイクロ波放射で、またはICP源において出力500〜200ワットでの高周 波放射により行うが、これは本発明による方法にとっ て特に有利である。同時に、基板電極にイオン促進のために基板バイアス電圧を 励起させる。基板バイアス電圧は有利には、35ボルト〜70ボルトであり、か つ高周波供給(13.56メガヘルツ)を用いて出力2〜10ワットで達成する ことができる。 第一のポリマー析出工程およびもちろんサイクルになってその後に続く工程は 、例えばCHF3(トリフルオロメタン)およびArからなる混合物を用いて実 施する。しかし有利には、トリフルオロメタンの代わりにその他のフッ素含有ガ ス、例えばオクタフルオロシクロブタン(フレオンC318)、ヘキサフルオロ プロペン(HFP、ヘキスト社)またはそのダイマーまたはテトラフルオロエタ ン(TFE)もまた使用することもまた可能である。アルゴンを用いないでプロ セスを実施することもまた可能である。該混合物は、有利には0〜200Ncm-3 のガス流および10〜100μバールのプロセス圧力を有する。本発明による 方法の有利な実施態様では、オクタフルオロシクロブタンまたはヘキサフルオロ プロパンを使用する。というのも前記の化合物は、ICP励起のもとで特に良好 な重合特性を有するからである。ポリマー析出工程の間に露出面、つまりエッチ ングベースおよび側面を極めて均一にポリマーで被覆する。前記のポリマー層は 、エッチングマスクのエッジもしくは面で、極めて効果的な一次的なエッチング 保護を形成する。エッチング ベース上で重合工程の間に施与されるポリマー層は、その後に引き続くエッチン グ工程の間に急速に破壊される。というのも該ポリマーはイオンアシストで際め て急速に除去され、かつ反応性のプラズマ種の化学反応はエッチングベースのシ リコンとともに先行させることができる。エッチングするべき構造の側壁は、エ ッチング工程中に先行するポリマー析出工程の間に施与された側壁ポリマーによ り保護される。 それからその後に続く第一のエッチング工程の間に、反応器中でSF6とAr とからなる混合物中での放電を用いて、化学的に反応性の種および帯電粒子を発 生させる。このようにして発生させたプラスに荷電したカチオンを、基板電極で 励起させた電気的なバイアス電圧によりシリコン基板へ加速し、かつエッチング マスクにより露出されている基板表面に次第に垂直に堆積させ、かつ反応性プラ ズマ種とシリコンとの化学反応を促進する。さらに、これはポリマー膜をエッチ ングトレンチの深さへ輸送し、このことは特に、完全に垂直に堆積せず、かつ側 壁上に発生するイオンの割合に依存する。エッチング工程は、所望のエッチング 深さに達成するまで実施する。これに引き続き再度ポリマー析出工程を行うが、 しかしその際、今度は最初の回よりも少ないポリマーを析出させる。エッチング 工程および重合工程は、堆積したポリマーが常に減少するようにプロセスパラメ ータを適合させるように交互 に繰り返す。前記のプロセスパラメータは以下に記載する物理的な固有範囲を包 含する: 1.ICP出力の、例えば>1000ワットへの上昇、同時にパッシベーション ガス流、例えばC48、C36、(C362、CHF3の、例えば>200SC CM(SCCM=標準cm3/分=1バールでcm3/分)への上昇、このことは 増強されたポリマー析出につながる。 2.析出工程のための時間の増大、このことは同様に増強されたポリマー析出に つながる。 3.エッチング工程の時間の短縮、このことは側壁のパッシベーションを効果的 に向上する。 4.ウェハ温度の低下、このこともまたポリマー析出を増加させる。 5.10μバールでのポリマー析出のためのICP装置のための有利な圧力範囲 での析出工程の実施、 6.エッチングラジカル濃度を上昇させるが、しかしポリマーを除去するイオン 濃度を低下させるためのエッチング工程の間の圧力の20〜30μバールへの上 昇。

Claims (1)

  1. 【特許請求の範囲】 1.プラズマ中で互いに交互に連続し、無関係に制御されるエッチング工程お よびポリマー析出工程を用いてシリコン基板にミクロ構造およびナノ構造を異方 性エッチングする方法において、堆積するポリマーの量がポリマー析出工程の過 程で減少することを特徴とする、シリコン基板を異方性エッチングする方法。 2.第一のプロセス工程が、ポリマー析出工程である、請求項1記載の方法。 3.堆積するポリマーの量が、常に減少する、請求項1または2記載の方法。 4.堆積するポリマーの量が、不連続的な工程で減少する、請求項1または2 記載の方法。 5.ポリマー量の減少が、ポリマー析出時間の変更により制御される、請求項 1から4までのいずれか1項記載の方法。 6.ポリマー量の減少が、エッチング工程の時間の変更により制御される、請 求項1から4までのいずれか1項記載の方法。 7.ポリマー量の減少が、基板温度の変更により制御される、請求項1から4 までのいずれか1項記載の方法。 8.ポリマー量の減少が、圧力の変更により制御される、請求項1から4まで のいずれか1項記載の方法 。 9.ポリマー量の減少が、ポリマー析出時間および/またはエッチング工程の 時間および/または基板温度の変更および/または圧力の変更により制御される 、請求項1から4までのいずれか1項記載の方法。
JP51377499A 1997-08-21 1998-08-19 シリコンの異方性エッチングのための方法 Expired - Lifetime JP4674368B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
DE19736370A DE19736370C2 (de) 1997-08-21 1997-08-21 Verfahren zum anisotropen Ätzen von Silizium
DE19736370.9 1997-08-21
PCT/DE1998/002406 WO1999010922A1 (de) 1997-08-21 1998-08-19 Verfahren zum anisotropen ätzen von silizium

Publications (2)

Publication Number Publication Date
JP2001505001A true JP2001505001A (ja) 2001-04-10
JP4674368B2 JP4674368B2 (ja) 2011-04-20

Family

ID=7839712

Family Applications (1)

Application Number Title Priority Date Filing Date
JP51377499A Expired - Lifetime JP4674368B2 (ja) 1997-08-21 1998-08-19 シリコンの異方性エッチングのための方法

Country Status (5)

Country Link
US (1) US6284148B1 (ja)
EP (1) EP0943155B1 (ja)
JP (1) JP4674368B2 (ja)
DE (2) DE19736370C2 (ja)
WO (1) WO1999010922A1 (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006503425A (ja) * 2002-10-14 2006-01-26 ローベルト ボツシユ ゲゼルシヤフト ミツト ベシユレンクテル ハフツング 基板に構造を異方性エッチングするためのプラズマ装置及び方法
JP2006054305A (ja) * 2004-08-11 2006-02-23 Sumitomo Precision Prod Co Ltd エッチング方法及びエッチング装置
JP4847671B2 (ja) * 2000-10-19 2011-12-28 ローベルト ボツシユ ゲゼルシヤフト ミツト ベシユレンクテル ハフツング 誘導結合プラズマを用いて基板をエッチングする装置および方法
JP2013084996A (ja) * 2013-02-01 2013-05-09 Seiko Epson Corp ノズルプレートの製造方法及び流体噴射ヘッドの製造方法
US10446409B2 (en) 2017-08-29 2019-10-15 Fuji Electric Co., Ltd. Method of manufacturing semiconductor device

Families Citing this family (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19900179C1 (de) * 1999-01-07 2000-02-24 Bosch Gmbh Robert Plasmaätzanlage
DE19904307C2 (de) 1999-01-28 2001-09-20 Bosch Gmbh Robert Verfahren zur Herstellung von dreidimensionalen Strukturen mittels eines Ätzprozesses
US6383938B2 (en) 1999-04-21 2002-05-07 Alcatel Method of anisotropic etching of substrates
FR2797997B1 (fr) * 1999-08-26 2002-04-05 Cit Alcatel Procede et dispositif pour le traitement de substrat sous vide par plasma
JP4161493B2 (ja) * 1999-12-10 2008-10-08 ソニー株式会社 エッチング方法およびマイクロミラーの製造方法
US20020158046A1 (en) * 2001-04-27 2002-10-31 Chi Wu Formation of an optical component
US20020158047A1 (en) * 2001-04-27 2002-10-31 Yiqiong Wang Formation of an optical component having smooth sidewalls
US7357486B2 (en) * 2001-12-20 2008-04-15 Hewlett-Packard Development Company, L.P. Method of laser machining a fluid slot
US20030155328A1 (en) * 2002-02-15 2003-08-21 Huth Mark C. Laser micromachining and methods and systems of same
US6818562B2 (en) 2002-04-19 2004-11-16 Applied Materials Inc Method and apparatus for tuning an RF matching network in a plasma enhanced semiconductor wafer processing system
US6846746B2 (en) 2002-05-01 2005-01-25 Applied Materials, Inc. Method of smoothing a trench sidewall after a deep trench silicon etch process
US6849554B2 (en) * 2002-05-01 2005-02-01 Applied Materials, Inc. Method of etching a deep trench having a tapered profile in silicon
US6759340B2 (en) * 2002-05-09 2004-07-06 Padmapani C. Nallan Method of etching a trench in a silicon-on-insulator (SOI) structure
US7074723B2 (en) 2002-08-02 2006-07-11 Applied Materials, Inc. Method of plasma etching a deeply recessed feature in a substrate using a plasma source gas modulated etchant system
DE10237249B4 (de) 2002-08-14 2014-12-18 Excelitas Technologies Singapore Pte Ltd Verfahren zum selektiven Abtragen von Material aus der Oberfläche eines Substrats
US6924235B2 (en) * 2002-08-16 2005-08-02 Unaxis Usa Inc. Sidewall smoothing in high aspect ratio/deep etching using a discrete gas switching method
US6921490B1 (en) 2002-09-06 2005-07-26 Kotura, Inc. Optical component having waveguides extending from a common region
US6900133B2 (en) * 2002-09-18 2005-05-31 Applied Materials, Inc Method of etching variable depth features in a crystalline substrate
DE10246063A1 (de) * 2002-10-02 2004-04-22 Robert Bosch Gmbh Verfahren zum anisotropen Ätzen eines Siliziumsubstrates
US6833325B2 (en) * 2002-10-11 2004-12-21 Lam Research Corporation Method for plasma etching performance enhancement
US7169695B2 (en) * 2002-10-11 2007-01-30 Lam Research Corporation Method for forming a dual damascene structure
US7977390B2 (en) 2002-10-11 2011-07-12 Lam Research Corporation Method for plasma etching performance enhancement
US7381650B2 (en) * 2003-04-07 2008-06-03 Unaxis Usa Inc. Method and apparatus for process control in time division multiplexed (TDM) etch processes
US7115520B2 (en) * 2003-04-07 2006-10-03 Unaxis Usa, Inc. Method and apparatus for process control in time division multiplexed (TDM) etch process
US7294580B2 (en) * 2003-04-09 2007-11-13 Lam Research Corporation Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition
US6916746B1 (en) 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
DE10318568A1 (de) 2003-04-15 2004-11-25 Technische Universität Dresden Siliziumsubstrat mit positiven Ätzprofilen mit definiertem Böschungswinkel und Verfahren zur Herstellung
US6969822B2 (en) 2003-05-13 2005-11-29 Hewlett-Packard Development Company, L.P. Laser micromachining systems
US7754999B2 (en) 2003-05-13 2010-07-13 Hewlett-Packard Development Company, L.P. Laser micromachining and methods of same
DE10333995B4 (de) 2003-07-25 2018-10-25 Robert Bosch Gmbh Verfahren zum Ätzen eines Halbleitermaterials
US7521000B2 (en) * 2003-08-28 2009-04-21 Applied Materials, Inc. Process for etching photomasks
US7056830B2 (en) * 2003-09-03 2006-06-06 Applied Materials, Inc. Method for plasma etching a dielectric layer
DE10345402B4 (de) * 2003-09-30 2005-10-13 Infineon Technologies Ag Verfahren zur Bearbeitung einer Halbleiterstruktur mit einer Vertiefung
US20050211668A1 (en) * 2004-03-26 2005-09-29 Lam Research Corporation Methods of processing a substrate with minimal scalloping
US20070212888A1 (en) * 2004-03-29 2007-09-13 Sumitomo Precision Products Co., Ltd. Silicon Substrate Etching Method
US7459100B2 (en) * 2004-12-22 2008-12-02 Lam Research Corporation Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate
US7879510B2 (en) 2005-01-08 2011-02-01 Applied Materials, Inc. Method for quartz photomask plasma etching
US7790334B2 (en) * 2005-01-27 2010-09-07 Applied Materials, Inc. Method for photomask plasma etching using a protected mask
US7829243B2 (en) 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication
US8293430B2 (en) * 2005-01-27 2012-10-23 Applied Materials, Inc. Method for etching a molybdenum layer suitable for photomask fabrication
US7491647B2 (en) * 2005-03-08 2009-02-17 Lam Research Corporation Etch with striation control
US7241683B2 (en) * 2005-03-08 2007-07-10 Lam Research Corporation Stabilized photoresist structure for etching process
US20070079866A1 (en) * 2005-10-07 2007-04-12 Applied Materials, Inc. System and method for making an improved thin film solar cell interconnect
EP1804281B1 (en) * 2005-12-28 2011-12-14 STMicroelectronics Srl Process for digging a deep trench in a semiconductor body and semiconductor body so obtained
US7910489B2 (en) * 2006-02-17 2011-03-22 Lam Research Corporation Infinitely selective photoresist mask etch
US7786019B2 (en) * 2006-12-18 2010-08-31 Applied Materials, Inc. Multi-step photomask etching with chlorine for uniformity control
CN102282094A (zh) * 2008-11-17 2011-12-14 惠普开发有限公司 用于表面增强拉曼散射(sers)的衬底
US20100193884A1 (en) * 2009-02-02 2010-08-05 Woo Tae Park Method of Fabricating High Aspect Ratio Transducer Using Metal Compression Bonding
WO2011057047A2 (en) * 2009-11-09 2011-05-12 3M Innovative Properties Company Process for anisotropic etching of semiconductors
WO2011056783A2 (en) * 2009-11-09 2011-05-12 3M Innovative Properties Company Etching process for semiconductors
US8384183B2 (en) * 2010-02-19 2013-02-26 Allegro Microsystems, Inc. Integrated hall effect element having a germanium hall plate
DE102010003488A1 (de) 2010-03-30 2011-10-06 Ihp Gmbh - Innovations For High Performance Microelectronics / Leibniz-Institut Für Innovative Mikroelektronik Verkapselung eines BiCMOS kompatiblen RFMEMS Schalters
US8133349B1 (en) 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
KR101828063B1 (ko) 2011-05-17 2018-02-09 삼성전자주식회사 반도체 장치 및 그 형성방법
US8652969B2 (en) * 2011-10-26 2014-02-18 International Business Machines Corporation High aspect ratio and reduced undercut trench etch process for a semiconductor substrate
US9220852B2 (en) 2012-04-10 2015-12-29 Boehringer Ingelheim Microparts Gmbh Method for producing trench-like depressions in the surface of a wafer
TWI658509B (zh) 2014-06-18 2019-05-01 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude 用於tsv/mems/功率元件蝕刻的化學物質
WO2016040547A1 (en) 2014-09-11 2016-03-17 Massachusetts Institute Of Technology Processing system for small substrates
CN105590843A (zh) * 2014-11-17 2016-05-18 北京北方微电子基地设备工艺研究中心有限责任公司 一种斜孔刻蚀方法
CN104711678B (zh) * 2015-02-04 2017-07-04 杭州电子科技大学 一种在交变电场下制备硅纳米结构材料的方法
US10056297B1 (en) 2016-06-20 2018-08-21 Paul C. Lindsey, Jr. Modified plasma dicing process to improve back metal cleaving
US11133190B2 (en) 2017-05-05 2021-09-28 Lawrence Livermore National Security, Llc Metal-based passivation-assisted plasma etching of III-v semiconductors
US11276727B1 (en) 2017-06-19 2022-03-15 Rigetti & Co, Llc Superconducting vias for routing electrical signals through substrates and their methods of manufacture
US20210101009A1 (en) * 2018-02-19 2021-04-08 The General Hospital Corporation Systems and methods for ultra-focal transcranial magnetic stimulation
JP2020122740A (ja) 2019-01-31 2020-08-13 セイコーエプソン株式会社 構造体形成方法およびデバイス
US11513108B2 (en) 2020-01-14 2022-11-29 Mks Instruments, Inc. Method and apparatus for pulse gas delivery with concentration measurement
US11358858B2 (en) 2020-01-24 2022-06-14 Panasonic Intellectual Property Management Co., Ltd. Semiconductor device and method of manufacturing thereof
CN112520688A (zh) * 2020-11-13 2021-03-19 中国科学院微电子研究所 一种纳米森林结构的制备方法
US11854817B2 (en) 2021-07-08 2023-12-26 Key Foundry Co., Ltd. Manufacturing method for deep trench capacitor with scalloped profile

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63246824A (ja) * 1987-04-02 1988-10-13 Toshiba Corp 半導体装置の製造方法
JPH01194325A (ja) * 1988-01-29 1989-08-04 Toshiba Corp ドライエッチング方法
JPH07503815A (ja) * 1992-12-05 1995-04-20 ローベルト ボツシユ ゲゼルシヤフト ミツト ベシユレンクテル ハフツング ケイ素の異方性エッチング法
JPH10135192A (ja) * 1996-08-01 1998-05-22 Surface Technol Syst Ltd 半導体基盤の表面処理方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4784720A (en) * 1985-05-03 1988-11-15 Texas Instruments Incorporated Trench etch process for a single-wafer RIE dry etch reactor
JP2502536B2 (ja) 1986-08-08 1996-05-29 松下電器産業株式会社 パタ―ン形成方法
KR900007687B1 (ko) * 1986-10-17 1990-10-18 가부시기가이샤 히다찌세이사꾸쇼 플라즈마처리방법 및 장치
JP2918892B2 (ja) * 1988-10-14 1999-07-12 株式会社日立製作所 プラズマエッチング処理方法
US5618379A (en) * 1991-04-01 1997-04-08 International Business Machines Corporation Selective deposition process
US5474650A (en) * 1991-04-04 1995-12-12 Hitachi, Ltd. Method and apparatus for dry etching
US5241245A (en) * 1992-05-06 1993-08-31 International Business Machines Corporation Optimized helical resonator for plasma processing
DE4241453C2 (de) * 1992-12-09 1995-04-20 Daimler Benz Ag Verfahren zum Plasmaätzen von Gräben in Silizium
DE4317623C2 (de) * 1993-05-27 2003-08-21 Bosch Gmbh Robert Verfahren und Vorrichtung zum anisotropen Plasmaätzen von Substraten und dessen Verwendung
DE4420962C2 (de) * 1994-06-16 1998-09-17 Bosch Gmbh Robert Verfahren zur Bearbeitung von Silizium

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63246824A (ja) * 1987-04-02 1988-10-13 Toshiba Corp 半導体装置の製造方法
JPH01194325A (ja) * 1988-01-29 1989-08-04 Toshiba Corp ドライエッチング方法
JPH07503815A (ja) * 1992-12-05 1995-04-20 ローベルト ボツシユ ゲゼルシヤフト ミツト ベシユレンクテル ハフツング ケイ素の異方性エッチング法
JPH10135192A (ja) * 1996-08-01 1998-05-22 Surface Technol Syst Ltd 半導体基盤の表面処理方法

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4847671B2 (ja) * 2000-10-19 2011-12-28 ローベルト ボツシユ ゲゼルシヤフト ミツト ベシユレンクテル ハフツング 誘導結合プラズマを用いて基板をエッチングする装置および方法
JP2006503425A (ja) * 2002-10-14 2006-01-26 ローベルト ボツシユ ゲゼルシヤフト ミツト ベシユレンクテル ハフツング 基板に構造を異方性エッチングするためのプラズマ装置及び方法
US7855150B2 (en) 2002-10-14 2010-12-21 Robert Bosch Gmbh Plasma system and method for anisotropically etching structures into a substrate
JP2006054305A (ja) * 2004-08-11 2006-02-23 Sumitomo Precision Prod Co Ltd エッチング方法及びエッチング装置
JP4578887B2 (ja) * 2004-08-11 2010-11-10 住友精密工業株式会社 エッチング方法及びエッチング装置
JP2013084996A (ja) * 2013-02-01 2013-05-09 Seiko Epson Corp ノズルプレートの製造方法及び流体噴射ヘッドの製造方法
US10446409B2 (en) 2017-08-29 2019-10-15 Fuji Electric Co., Ltd. Method of manufacturing semiconductor device

Also Published As

Publication number Publication date
WO1999010922A1 (de) 1999-03-04
DE19736370C2 (de) 2001-12-06
DE59814043D1 (de) 2007-08-09
EP0943155A1 (de) 1999-09-22
JP4674368B2 (ja) 2011-04-20
US6284148B1 (en) 2001-09-04
EP0943155B1 (de) 2007-06-27
DE19736370A1 (de) 1999-03-04

Similar Documents

Publication Publication Date Title
JP4674368B2 (ja) シリコンの異方性エッチングのための方法
JP4852196B2 (ja) 深開口部を形成するためにプラズマ処理室内でシリコン層をエッチングする方法
KR100531560B1 (ko) 실리콘용이방성플루오르계플라즈마에칭방법
US5501893A (en) Method of anisotropically etching silicon
KR101941312B1 (ko) 보쉬 에칭 프로세스 이후 평활한 측벽들을 달성하기 위한 방법
KR100515424B1 (ko) 다양한기판의이방성플라즈마에칭방법
JP2915807B2 (ja) 六弗化イオウ、臭化水素及び酸素を用いる珪化モリブデンのエッチング
JP4153606B2 (ja) プラズマエッチング方法およびプラズマエッチング装置
KR101399181B1 (ko) 플라즈마 프로세싱 시스템에 대한 마스크 언더컷 및 노치를최소화시키는 방법
JP2010283362A (ja) 実質的にアンダカットのないシリコンを絶縁体構造上に作製するエッチング工程
KR20160044545A (ko) 하드마스크를 측면으로 트리밍하기 위한 방법
US20040055995A1 (en) Notch-free etching of high aspect SOI structures using alternating deposition and etching and pulsed plasma
JP4209774B2 (ja) シリコン基板のエッチング方法およびエッチング装置
JP4065213B2 (ja) シリコン基板のエッチング方法及びエッチング装置
JP2010245101A (ja) ドライエッチング方法
JPS63292628A (ja) 配向傾斜壁を形成する半導体エッチング方法
CN105097494B (zh) 刻蚀方法
JPS6356312B2 (ja)
CN108074800B (zh) 碳化硅半导体基材沟槽栅蚀刻方法
Ohara et al. Improvement of high aspect ratio Si etching by optimized oxygen plasma irradiation inserted DRIE
Midha et al. Anisotropic pattern transfer of fine resist features to silicon nitride via an intermediate titanium layer
JP4568445B2 (ja) ドライエッチング法
TWI833452B (zh) 形成半導體元件的導電層的方法
Lai et al. Scalloping minimization in deep Si etching on Unaxis DSE tools
JP2007134660A (ja) ドライエッチング方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050818

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080826

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20081121

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090109

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090126

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090309

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090226

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091006

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20091228

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100113

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100208

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100302

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100701

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20100715

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100811

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100827

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20101210

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110107

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140204

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term